diff options
author | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
---|---|---|
committer | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
commit | fd3e84941de463fa1a7ebab0a69515b4bf2614cd (patch) | |
tree | 3fa721a13d41d2c0451d663a59a220a38fd5e614 /fpga/usrp2/coregen | |
parent | 3b66804e41891e358c790b453a7a59ec7462dba4 (diff) | |
download | uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.gz uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.bz2 uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.zip |
Removed copy of FPGA source files.
Diffstat (limited to 'fpga/usrp2/coregen')
183 files changed, 0 insertions, 18480 deletions
diff --git a/fpga/usrp2/coregen/.gitignore b/fpga/usrp2/coregen/.gitignore deleted file mode 100644 index 956cab52b..000000000 --- a/fpga/usrp2/coregen/.gitignore +++ /dev/null @@ -1,3 +0,0 @@ -/xlnx_auto* -/*log -/tmp diff --git a/fpga/usrp2/coregen/Makefile.srcs b/fpga/usrp2/coregen/Makefile.srcs deleted file mode 100644 index a3a5d826d..000000000 --- a/fpga/usrp2/coregen/Makefile.srcs +++ /dev/null @@ -1,27 +0,0 @@ -# -# Copyright 2010 Ettus Research LLC -# - -################################################## -# Coregen Sources -################################################## -COREGEN_SRCS = $(abspath $(addprefix $(BASE_DIR)/../coregen/, \ -fifo_xlnx_2Kx36_2clk.v \ -fifo_xlnx_2Kx36_2clk.xco \ -fifo_xlnx_512x36_2clk.v \ -fifo_xlnx_512x36_2clk.xco \ -fifo_xlnx_64x36_2clk.v \ -fifo_xlnx_64x36_2clk.xco \ -fifo_xlnx_16x19_2clk.v \ -fifo_xlnx_16x19_2clk.xco \ -fifo_xlnx_16x40_2clk.v \ -fifo_xlnx_16x40_2clk.xco \ -fifo_xlnx_32x36_2clk.v \ -fifo_xlnx_32x36_2clk.xco \ -fifo_xlnx_512x36_2clk_36to18.v \ -fifo_xlnx_512x36_2clk_36to18.xco \ -fifo_xlnx_512x36_2clk_18to36.v \ -fifo_xlnx_512x36_2clk_18to36.xco \ -fifo_xlnx_512x36_2clk_prog_full.v \ -fifo_xlnx_512x36_2clk_prog_full.xco \ -)) diff --git a/fpga/usrp2/coregen/_xmsgs/pn_parser.xmsgs b/fpga/usrp2/coregen/_xmsgs/pn_parser.xmsgs deleted file mode 100644 index e7bbdb9d5..000000000 --- a/fpga/usrp2/coregen/_xmsgs/pn_parser.xmsgs +++ /dev/null @@ -1,18 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<!-- IMPORTANT: This is an internal file that has been generated --> -<!-- by the Xilinx ISE software. Any direct editing or --> -<!-- changes made to this file may result in unpredictable --> -<!-- behavior or data corruption. It is strongly advised that --> -<!-- users do not edit the contents of this file. --> -<!-- --> -<!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - -<messages> -<msg type="info" file="ProjectMgmt" num="1062" ><arg fmt="%s" index="1">Parsing Verilog file "/home/jblum/src/ettus/fpga_b200/usrp2/coregen/pll_100_40_75.v" into library work</arg> -</msg> - -<msg type="info" file="ProjectMgmt" num="1062" ><arg fmt="%s" index="1">Parsing Verilog file "/home/jblum/src/ettus/fpga_b200/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.v" into library work</arg> -</msg> - -</messages> - diff --git a/fpga/usrp2/coregen/coregen.cgp b/fpga/usrp2/coregen/coregen.cgp deleted file mode 100644 index dd85a7f50..000000000 --- a/fpga/usrp2/coregen/coregen.cgp +++ /dev/null @@ -1,22 +0,0 @@ -# Date: Fri Oct 15 07:50:19 2010 - -SET addpads = false -SET asysymbol = false -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = false -SET simulationfiles = Structural -SET speedgrade = -5 -SET verilogsim = true -SET vhdlsim = false -SET workingdirectory = /tmp/ - -# CRC: 983b9b45 diff --git a/fpga/usrp2/coregen/coregen_s6.cgc b/fpga/usrp2/coregen/coregen_s6.cgc deleted file mode 100644 index 90b359eab..000000000 --- a/fpga/usrp2/coregen/coregen_s6.cgc +++ /dev/null @@ -1,2352 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xilinx="http://www.xilinx.com" > - <spirit:vendor>xilinx.com</spirit:vendor> - <spirit:library>project</spirit:library> - <spirit:name>coregen_s6</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:componentInstances> - <spirit:componentInstance> - <spirit:instanceName>fifo_s6_1Kx36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_s6_1Kx36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">1022</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">1024</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">11</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">1024</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">11</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">1023</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc6slx75</xilinx:device> - <xilinx:deviceFamily>spartan6</xilinx:deviceFamily> - <xilinx:package>csg484</xilinx:package> - <xilinx:speedGrade>-3</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_s6_2Kx36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_s6_2Kx36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">2046</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">2048</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">12</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">11</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">2048</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">12</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">2047</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc6slx75</xilinx:device> - <xilinx:deviceFamily>spartan6</xilinx:deviceFamily> - <xilinx:package>csg484</xilinx:package> - <xilinx:speedGrade>-3</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_s6_512x36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_s6_512x36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">510</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">511</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc6slx75</xilinx:device> - <xilinx:deviceFamily>spartan6</xilinx:deviceFamily> - <xilinx:package>csg484</xilinx:package> - <xilinx:speedGrade>-3</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_16x19_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="4.3" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_16x19_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">14</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">19</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">19</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_16x40_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="4.3" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_16x40_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">14</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">40</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">40</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_2Kx36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="4.3" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_2Kx36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">2046</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">2048</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">12</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">12</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">2048</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">12</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">2047</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>VHDL</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Foundation_ISE</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>VHDL_and_Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_32x36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_32x36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">23</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">32</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">32</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">Single_Programmable_Full_Threshold_Constant</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">24</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Structural</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_512x36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="4.3" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_512x36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">510</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">511</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_512x36_2clk_18to36</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_512x36_2clk_18to36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">1014</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">1024</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">Single_Programmable_Full_Threshold_Constant</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">1015</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">18</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_512x36_2clk_36to18</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_512x36_2clk_36to18</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">508</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">18</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">1024</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">10</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">509</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_512x36_2clk_prog_full</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="6.1" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_512x36_2clk_prog_full</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">499</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Block_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">512</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">Single_Programmable_Full_Threshold_Constant</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">9</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>fifo_xlnx_64x36_2clk</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="4.3" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">fifo_xlnx_64x36_2clk</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">62</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">64</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_INT_CLK">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">7</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">7</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">64</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">7</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">First_Word_Fall_Through</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">63</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">36</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com"> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc3s2000</xilinx:device> - <xilinx:deviceFamily>spartan3</xilinx:deviceFamily> - <xilinx:package>fg456</xilinx:package> - <xilinx:speedGrade>-5</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>false</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2012-04-24+06:33</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - </spirit:vendorExtensions> - </spirit:componentInstance> - <spirit:componentInstance> - <spirit:instanceName>pll_100_40_75</spirit:instanceName> - <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="3.5" /> - <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">pll_100_40_75</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">40.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">250.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">3</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">CLK_IN1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">CLK_OUT1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">CLK_OUT2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">CLK_OUT3</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">CLK_OUT4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">CLK_OUT5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">CLK_OUT6</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">CLK_OUT7</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">DADDR</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">DCLK</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">DRDY</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">DWE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">DIN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">DOUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">DEN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">PSCLK</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">PSEN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">PSINCDEC</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">PSDONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">40.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">75.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">75.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">CLK_IN2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">CLKFB_IN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">CLKFB_IN_P</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">CLKFB_IN_N</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">CLKFB_OUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">CLKFB_OUT_P</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">CLKFB_OUT_N</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">lin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">DONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">RESET</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">LOCKED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">POWER_DOWN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">CLK_IN_SEL</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">INPUT_CLK_STOPPED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">CLKFB_STOPPED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">4.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">4.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_DCM">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKDV_DIVIDE">2.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKFX_DIVIDE">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKFX_MULTIPLY">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKIN_DIVIDE_BY_2">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKIN_PERIOD">25.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKOUT_PHASE_SHIFT">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_DESKEW_ADJUST">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_PHASE_SHIFT">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_FEEDBACK">1X</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_STARTUP_WAIT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT2_PORT">CLK0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT3_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT4_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT5_PORT">CLK0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT6_PORT">CLK0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_DCM_CLKGEN">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_MULTIPLY">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFXDV_DIVIDE">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_MD_MAX">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_STARTUP_WAIT">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKIN_PERIOD">10.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_SPREAD_SPECTRUM">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT2_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT3_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">25.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">6</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_PLL_CASCADE">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">AUTO</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">DCM_SP</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_USED">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_USED">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_USED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_USED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_USED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_USED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMPONENT_NAME">pll_100_40_75</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLATFORM">lin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREQ_SYNTH">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_JITTER_SEL">No_Jitter</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_POWER">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MIN_O_JITTER">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_MAX_I_JITTER">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DYN_RECONFIG">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMTYPE_SEL">PLL_BASE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLK_VALID">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_IN_FREQ">40.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_RESET">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_LOCKED">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INCLK_STOPPED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_POWER_DOWN">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_STATUS">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FREEZE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_OUT_CLKS">3</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW1">__primary__________40.000____________0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLK_SUM_ROW2">no_secondary_input_clock </spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1">CLK_OUT1___100.000______0.000______50.0______252.791____220.216</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2">CLK_OUT2____40.000______0.000______50.0______309.264____220.216</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3">CLK_OUT3____75.000______0.000______50.0______269.846____220.216</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4">no_CLK_OUT4_output</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5">no_CLK_OUT5_output</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6">no_CLK_OUT6_output</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7">no_CLK_OUT7_output </spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ">40.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ">75.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ">75.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ">100.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ">40.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ">75.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_PHASE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_PHASE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_PHASE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_PHASE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE">50.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE">N/A</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F">4.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F">4.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD">25.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_REF_JITTER">0.010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE">6</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE">15</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKDV_DIVIDE">2.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKFX_DIVIDE">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKFX_MULTIPLY">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKIN_DIVIDE_BY_2">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKIN_PERIOD">25.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKOUT_PHASE_SHIFT">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_FEEDBACK">1X</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_FEEDBACK_PORT">CLKOUT2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_DESKEW_ADJUST">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_PHASE_SHIFT">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_STARTUP_WAIT">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT2_PORT">CLK0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT3_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT4_PORT">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT5_PORT">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLK_OUT6_PORT">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_NOTES">None</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLKFXDV_DIVIDE">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLKFX_DIVIDE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLKFX_MULTIPLY">4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLKIN_PERIOD">25.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLKFX_MD_MAX">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_SPREAD_SPECTRUM">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_STARTUP_WAIT">FALSE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLK_OUT2_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_CLKGEN_CLK_OUT3_PORT">CLKFX</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE">AUTO</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_MMCM">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_PLL">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_DCM">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERRIDE_DCM_CLKGEN">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCM_PLL_CASCADE">NONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMARY_PORT">CLK_IN1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SECONDARY_PORT">CLK_IN2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT1_PORT">CLK_OUT1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT2_PORT">CLK_OUT2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT3_PORT">CLK_OUT3</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT4_PORT">CLK_OUT4</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT5_PORT">CLK_OUT5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT6_PORT">CLK_OUT6</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_OUT7_PORT">CLK_OUT7</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RESET_PORT">RESET</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOCKED_PORT">LOCKED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_PORT">CLKFB_IN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT">CLKFB_IN_P</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT">CLKFB_IN_N</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_PORT">CLKFB_OUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT">CLKFB_OUT_P</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT">CLKFB_OUT_N</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_DOWN_PORT">POWER_DOWN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DADDR_PORT">DADDR</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DCLK_PORT">DCLK</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DRDY_PORT">DRDY</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DWE_PORT">DWE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_PORT">DIN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_PORT">DOUT</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEN_PORT">DEN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSCLK_PORT">PSCLK</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSEN_PORT">PSEN</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSINCDEC_PORT">PSINCDEC</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PSDONE_PORT">PSDONE</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_STATUS_PORT">STATUS</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT">CLK_IN_SEL</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT">INPUT_CLK_STOPPED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT">CLKFB_STOPPED</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS">250.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIMITIVE">MMCM</spirit:configurableElementValue> - </spirit:configurableElementValues> - <spirit:vendorExtensions> - <xilinx:instanceProperties> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc6slx75</xilinx:device> - <xilinx:deviceFamily>spartan6</xilinx:deviceFamily> - <xilinx:package>csg484</xilinx:package> - <xilinx:speedGrade>-3</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - <xilinx:packageInfo> - <xilinx:sourceCoreCreationDate>2011-12-28+09:11</xilinx:sourceCoreCreationDate> - </xilinx:packageInfo> - </xilinx:instanceProperties> - <xilinx:generationHistory> - <xilinx:fileSet> - <xilinx:name>apply_current_project_options_generator</xilinx:name> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>customization_generator</xilinx:name> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>model_parameter_resolution_generator</xilinx:name> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>ip_xco_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75.xco</xilinx:name> - <xilinx:userFileType>xco</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:52 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7F9C6649</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>tcl_flow_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.ucf</xilinx:name> - <xilinx:userFileType>ucf</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xB54DEDD1</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.v</xilinx:name> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:54 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF0E263D1</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.xdc</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>xdc</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:02 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x8A9C2191</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/implement.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x847BA9AE</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/implement.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xEF940814</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6966A508</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7F8B5943</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6D5DA0FA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xB9373CFA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xDCE9D96C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x9E6E156D</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/xst.prj</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:02 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7EF6AFD3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/xst.scr</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:02 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7BC1F2CC</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simcmds.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x80B0E436</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_isim.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x3B0D2786</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_isim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x3479DE2E</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x23E49D4C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x196566F3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xA92E962D</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_ncsim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:57 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x414DA0D8</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_vcs.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:59 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x040C0268</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/ucli_commands.key</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:59 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x957E258B</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/vcs_session.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:59 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x859D76CE</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/wave.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:57 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF6D99A50</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/wave.sv</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x5BAF49BA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/pll_100_40_75_tb.v</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:54 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x338F9EC4</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/pll_100_40_75_tb.v</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:55 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF8A7FBD8</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/sdf_cmd_file</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:57 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xE37E41C3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simcmds.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x59F13085</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_isim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:58 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x513F3CD7</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6032836B</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x8556A6D6</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:56 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF19800C9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_ncsim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:57 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xEFFEEFB9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_vcs.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:59 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xE87CCB6C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/ucli_commands.key</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:59 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x9DC0E037</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/vcs_session.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:00 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x28340249</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/wave.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:57 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x251C4591</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.ucf</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>ucf</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x4904DEF4</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.v</xilinx:name> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:53 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF7DE77A9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.veo</xilinx:name> - <xilinx:userFileType>veo</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:55 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xC3431095</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.xdc</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>xdc</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:01 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x590C1CA7</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75_xmdf.tcl</xilinx:name> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:21:55 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x970F3026</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>associated_files_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75/clk_wiz_v3_5_readme.txt</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>txt</xilinx:userFileType> - <xilinx:timeStamp>Tue Apr 24 06:24:06 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x1BF90E4F</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>ejava_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.ucf</xilinx:name> - <xilinx:userFileType>ucf</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xB54DEDD1</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.v</xilinx:name> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF0E263D1</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/example_design/pll_100_40_75_exdes.xdc</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>xdc</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x8A9C2191</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/implement.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x847BA9AE</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/implement.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xEF940814</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6966A508</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7F8B5943</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_ise.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6D5DA0FA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xB9373CFA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xDCE9D96C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/planAhead_rdn.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x9E6E156D</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/xst.prj</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7EF6AFD3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/implement/xst.scr</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7BC1F2CC</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simcmds.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x80B0E436</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_isim.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x3B0D2786</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_isim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x3479DE2E</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x23E49D4C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x196566F3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_mti.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xA92E962D</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_ncsim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x414DA0D8</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/simulate_vcs.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x040C0268</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/ucli_commands.key</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x957E258B</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/vcs_session.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x859D76CE</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/wave.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF6D99A50</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/functional/wave.sv</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x5BAF49BA</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/pll_100_40_75_tb.v</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x338F9EC4</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/pll_100_40_75_tb.v</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF8A7FBD8</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/sdf_cmd_file</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xE37E41C3</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simcmds.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x59F13085</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_isim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x513F3CD7</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.bat</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x6032836B</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x8556A6D6</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_mti.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF19800C9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_ncsim.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xEFFEEFB9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/simulate_vcs.sh</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xE87CCB6C</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/ucli_commands.key</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x9DC0E037</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/vcs_session.tcl</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x28340249</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/simulation/timing/wave.do</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x251C4591</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.ucf</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>ucf</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x4904DEF4</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.v</xilinx:name> - <xilinx:userFileType>verilog</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:03 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xF7DE77A9</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.veo</xilinx:name> - <xilinx:userFileType>veo</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xC3431095</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.xdc</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>xdc</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x590C1CA7</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75_xmdf.tcl</xilinx:name> - <xilinx:userFileType>tcl</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:04 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x970F3026</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>all_documents_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75/doc/clk_wiz_gsg521.pdf</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>pdf</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:08 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x7660EFEE</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/doc/clk_wiz_v3_5_readme.txt</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>txt</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:08 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x1BF90E4F</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75/doc/clk_wiz_v3_5_vinfo.html</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:08 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xD0135075</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>readme_documents_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75/doc/clk_wiz_v3_5_readme.txt</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>txt</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:09 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x1BF90E4F</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>asy_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75.asy</xilinx:name> - <xilinx:userFileType>asy</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:17 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x61F47740</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>ise_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./_xmsgs/pn_parser.xmsgs</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>unknown</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:24 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xEDD97934</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.gise</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>gise</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:25 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x3AB6E652</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - <xilinx:file> - <xilinx:name>./pll_100_40_75.xise</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>xise</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:25 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0xAD3860B6</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>deliver_readme_generator</xilinx:name> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>flist_generator</xilinx:name> - <xilinx:file> - <xilinx:name>./pll_100_40_75_flist.txt</xilinx:name> - <xilinx:userFileType>ignore</xilinx:userFileType> - <xilinx:userFileType>txtFlist</xilinx:userFileType> - <xilinx:userFileType>txt</xilinx:userFileType> - <xilinx:timeStamp>Mon Jun 25 01:22:25 GMT 2012</xilinx:timeStamp> - <xilinx:checkSum>0x4D0B8946</xilinx:checkSum> - <xilinx:generationId>generationID_4013899584</xilinx:generationId> - </xilinx:file> - </xilinx:fileSet> - <xilinx:fileSet> - <xilinx:name>view_readme_generator</xilinx:name> - </xilinx:fileSet> - </xilinx:generationHistory> - </spirit:vendorExtensions> - </spirit:componentInstance> - </spirit:componentInstances> - <spirit:vendorExtensions> - <xilinx:instanceProperties> - <xilinx:projectOptions> - <xilinx:projectName>coregen_s6</xilinx:projectName> - <xilinx:outputDirectory>./</xilinx:outputDirectory> - <xilinx:workingDirectory>./tmp/</xilinx:workingDirectory> - <xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory> - </xilinx:projectOptions> - <xilinx:part> - <xilinx:device>xc6slx75</xilinx:device> - <xilinx:deviceFamily>spartan6</xilinx:deviceFamily> - <xilinx:package>csg484</xilinx:package> - <xilinx:speedGrade>-3</xilinx:speedGrade> - </xilinx:part> - <xilinx:flowOptions> - <xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat> - <xilinx:designEntry>Verilog</xilinx:designEntry> - <xilinx:asySymbol>true</xilinx:asySymbol> - <xilinx:flowVendor>Other</xilinx:flowVendor> - <xilinx:addPads>false</xilinx:addPads> - <xilinx:removeRPMs>false</xilinx:removeRPMs> - <xilinx:createNDF>false</xilinx:createNDF> - <xilinx:implementationFileType>Ngc</xilinx:implementationFileType> - <xilinx:formalVerification>false</xilinx:formalVerification> - </xilinx:flowOptions> - <xilinx:simulationOptions> - <xilinx:simulationModel>Behavioral</xilinx:simulationModel> - <xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage> - <xilinx:foundationSym>false</xilinx:foundationSym> - </xilinx:simulationOptions> - </xilinx:instanceProperties> - </spirit:vendorExtensions> -</spirit:design> - diff --git a/fpga/usrp2/coregen/coregen_s6.cgp b/fpga/usrp2/coregen/coregen_s6.cgp deleted file mode 100644 index 1abd1b021..000000000 --- a/fpga/usrp2/coregen/coregen_s6.cgp +++ /dev/null @@ -1,22 +0,0 @@ -# Date: Fri May 4 20:42:23 2012 - -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc6slx75 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = csg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = true -SET vhdlsim = false -SET workingdirectory = ./tmp/ - -# CRC: f7d4ca66 diff --git a/fpga/usrp2/coregen/fifo_generator_release_notes.txt b/fpga/usrp2/coregen/fifo_generator_release_notes.txt deleted file mode 100644 index 554ec87f4..000000000 --- a/fpga/usrp2/coregen/fifo_generator_release_notes.txt +++ /dev/null @@ -1,160 +0,0 @@ -COPYRIGHT (c) 2006, 2007 XILINX, INC. -ALL RIGHTS RESERVED - -Core name : FIFO Generator -Version : v4.1 -Release Date : August 8, 2007 -File : fifo_generator_release_notes.txt - -Revision History -Date By Version Change Description -======================================================================== -09/2006 Xilinx, Inc. 3.2 Initial creation. -02/2007 Xilinx, Inc. 3.3 Revised for v3.3. -02/2007 Xilinx, Inc. 3.3 Revised for v3.3 rev 1. -08/2007 Xilinx, Inc. 3.4 Revised for v4.1. -======================================================================== - -INTRODUCTION -RELEASE NOTES - 1. General Core Design - 1.1 Enhancements - 1.2 Resolved Issues - 1.3 Outstanding Issues - 2. General Simulation - 2.1 Enhancements - 2.2 Resolved Issues - 2.3 Outstanding Issues - 3. Documentation - 3.1 Enhancements - 3.2 Resolved Issues - 3.3 Outstanding Issues -OTHER GENERAL INFORMATION -TECHNICAL SUPPORT - -======================================================================== - -INTRODUCTION -============ -Thank you using the FIFO Generator core from Xilinx! -In order to obtain the latest core updates and documentation, -please visit the Intellectual Property page located at: -http://www.xilinx.com/ipcenter/index.htm -This document contains the release notes for FIFO Generator v4.1 -which includes enhancements, resolved issues and outstanding known -issues. For release notes and known issues for CORE Generator 9.2i IP -Update 1 and FIFO Generator v4.1 please see Answer Record 25222. - -RELEASE NOTES -============= -This section lists any enhancements, resolved issues and outstanding -known issues. - - -1. General Core Design - 1.1 Enhancements - 1.1.1 Error Correction Checking (ECC) feature support for - Virtex-5 block RAM FIFO configurations - - 1.1.2 Full range data count widths now supported for non-symmetric - aspect ratio configurations - - 1.1.3 Option to define asynchronous reset value for full condition - flags (FULL, ALMOST_FULL, PROG_FULL). Applies to block RAM, - distributed RAM and shift RAM-based FIFO configurations only - - 1.1.4 Support added for use embedded output registers in block RAM - FIFO configurations (Virtex-4 and Virtex-5 only) - - 1.2 Resolved Issues - 1.2.1 Coregen GUI - For built-in FIFOs, GUI reports incorrect - number of built-in FIFO primitives used. - Change request: 4433738 - - 1.2.2 Programmable full flag is always asserted even when FIFO is - empty due to incorrect threshold setting. - Change request: 435835 - - 1.2.3 "ERROR:LIT:250 - Pins WEA0, WEA1, WEA2, WEA3 of RAMB16 symbol - .. , these pins should be connected to the same signal" occur - during MAP when targeting Virtex-4 and Virtex-5. - Change request: 338260 - - 1.2.4 Write Data Count and Read Data Count overestimate the number - of words written or read when core is configured with this - combination of options: First-Word-Fall-Through(FWFT), - accurate data count using extra logic, non-symmetric port - aspect ratio. - Change request: 436886 - - 1.2.5 SBITERR and DBITERR outputs are not driven in behavior - models. - Change request: 433637 - - 1.2.6 Maximum programmable empty threshold negate value is - incorrect. - Change request: 433921 - - 1.2.7 Programmable full flag behavior is incorrect when the - core is configured with this combination of options: - FWFT, non-symmetric port aspect ratio, single or - multiple programmable full threshold input port. - Change request: 435874 - - 1.2.8 Programmable empty flag stuck high when the core is - configured with this combination of options: block or - distributed RAM FIFO, single or multiple programmable - empty threshold input port. - Change request: 443569 - - 1.3 Outstanding Issues - 1.3.1 "WARNING:Ngdbuild:452 - logical net - 'u1/BU2/prog_*_thresh_assert<*>' has no driver" occur during - NgdBuild although programmable empty or full is not selected. - Warnings can be safely ignored. - Change request: 431975 - -2. General Simulation - 2.1 Enhancements - None at this time. - - 2.2 Resolved Issues - None at this time. - - 2.3 Outstanding Issues - 2.3.1 Ncelab warnings during Verilog structural and timing simulations - in ncsim for Virtex5 Block RAM FIFOs. - The simulations will be successful, but there will be warnings - similar to the following in the log file: "memory index out of - declared bounds" in simprims_ver_virtex5_source.v or - unisims_ver_virtex5_source.v. Cadence does not want to fix this - issue. These warning messages can safely be ignored. - Change request: 423374, 423375 - -3. Documentation - 3.1 Enhancements - 3.1.1 Added clarification on FIFO flag latency. - - 3.1.1 Added clarification on actual FIFO depth. - - 3.2 Resolved Issues - None at this time. - - 3.3 Outstanding Issues - None at this time. - - -TECHNICAL SUPPORT -================= -The fastest method for obtaining specific technical support for the -FIFO Generator core is through the http://support.xilinx.com/ -website. Questions are routed to a team of engineers with specific -expertise in using the FIFO Generator core. Xilinx will provide -technical support for use of this product as described in the FIFO -Generator Datasheet. Xilinx cannot guarantee timing, functionality, -or support of this product for designs that do not follow these -guidelines. - - - - diff --git a/fpga/usrp2/coregen/fifo_generator_ug175.pdf b/fpga/usrp2/coregen/fifo_generator_ug175.pdf Binary files differdeleted file mode 100644 index 5fba6029c..000000000 --- a/fpga/usrp2/coregen/fifo_generator_ug175.pdf +++ /dev/null diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.asy b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.asy deleted file mode 100644 index 9664f3a57..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.asy +++ /dev/null @@ -1,49 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 fifo_s6_1Kx36_2clk -RECTANGLE Normal 32 32 544 768 -LINE Wide 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName din[35:0] -PINATTR Polarity IN -LINE Normal 0 144 32 144 -PIN 0 144 LEFT 36 -PINATTR PinName wr_en -PINATTR Polarity IN -LINE Normal 0 176 32 176 -PIN 0 176 LEFT 36 -PINATTR PinName wr_clk -PINATTR Polarity IN -LINE Normal 0 240 32 240 -PIN 0 240 LEFT 36 -PINATTR PinName rd_en -PINATTR Polarity IN -LINE Normal 0 272 32 272 -PIN 0 272 LEFT 36 -PINATTR PinName rd_clk -PINATTR Polarity IN -LINE Normal 144 800 144 768 -PIN 144 800 BOTTOM 36 -PINATTR PinName rst -PINATTR Polarity IN -LINE Wide 576 80 544 80 -PIN 576 80 RIGHT 36 -PINATTR PinName dout[35:0] -PINATTR Polarity OUT -LINE Normal 576 208 544 208 -PIN 576 208 RIGHT 36 -PINATTR PinName full -PINATTR Polarity OUT -LINE Wide 576 368 544 368 -PIN 576 368 RIGHT 36 -PINATTR PinName wr_data_count[10:0] -PINATTR Polarity OUT -LINE Normal 576 432 544 432 -PIN 576 432 RIGHT 36 -PINATTR PinName empty -PINATTR Polarity OUT -LINE Wide 576 592 544 592 -PIN 576 592 RIGHT 36 -PINATTR PinName rd_data_count[10:0] -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.gise b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.gise deleted file mode 100644 index 90240bfb2..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.gise +++ /dev/null @@ -1,31 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_s6_1Kx36_2clk.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="fifo_s6_1Kx36_2clk.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_s6_1Kx36_2clk.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc deleted file mode 100644 index f7e21b27e..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$7:`40<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>7;1234=678;1;495?892;?5>?81:3<<>409:3<5>7092;4=6?4:2;BC7<9:1:"=?i;029MKVR\3zycjQjmqvz[qnumzb757>127924?OIX\^1|ah_dosp|Ys`{oxdRo|sdpw8<<76;<0==4FNQWW>uthoVof|ywPtipfwmYimnki1750?3f?46=AGZ^X7~}of]eqijX|axne26:1<11>772@D[YY4rne\bpjkW}byi~fParqfvq:>294996??:HLSQQ<wzfmTjxbc_ujqavnXflmjxh26:1<2=>772F__\XZ5d`vb[firf}626=0>d:32>JSSX\^1~iQkauc\gjsi|5;;6=0>d:32>JSSX\^1{Qkauc\gjsi|5;;6=0>4:37>LHW]]0JHI\N<0194;7338>1EC^ZT;CG@WD;9:0;2<5=1191<=>?0123456789:24>4FIHKJML6?012345753:81EC^ZT;FJE956294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=?5<6;KMTPR=l`d7?84?>06873<H]]Z^X7j`uu>01?69981?6D@_UU8GMUG;;3:5=<5;:HLSQQ<CAYH7?7>11197>LHW]]0\IL2<:1<24>2=AGZ^X7YJB=194;753=0DYY^ZT;FLTD:4294:>6:5OTVSQQ<CGYH7?7>14:7650><=<?><9>?1:41?3?33?32:559984:23?682<25;7968;52<0>1?80:L:46@CB<>0FIHKJML??;7CBEDGFIHKJMLONAd95wi~0=jyl85:"3394B==0NOL3<=>>;908<52<09:;==570123456789:;<=>;;90751=?<;;?75K7A09:0>?780805;64974:2<?19912:;799845=<0>>?397L<7;@CBE3GFI11JHI\N<1<:?DBCZH6:<374AEFQE976601JHI\N<00=f>GCL[K7=>4?>89B@ATF489546OKDSC?5;><IMNYM1<18:CG@WG;;720MIJ]A=6=<>GCL[K79364AEFQE90902KOH_O37?:8EABUI52546OKDSC?=;><IMNYN1>19:CG@WD;99427LJKRC>25;?<IMNYN1?=>c9B@ATE4891<374AEFQF974611JHI\M<0<;?DBCZK69255NDEPA868?3HNO^O2;>99B@ATE4<437LJKRC>5:==FLMXI0:07;@FGVG:?611JHI\M<8<1?DC43HFG56O\YOA\V@A53K:87O[=8:@VWZOINF;0O<>4CBA@GFED>JIHONML2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6J7c:FBPDYPAM^CSLm4D@VB[ROC\AUI>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?68AD0502OJML8N2@18AKG43LDIn6KA_SQWVDKXIk1NBR\\TSCN[G3<NHFXI?5ID29E@F2<NMIN?6HKP59E@UC33ONYI<>4FGDE6654NOLM<=>?8:DEBC329<20JKHI7GDE5>A43NDO=6G=;H21?L753@897D==;H61?L3?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461<AGC_S=:8;HLJPZ62?2CEEYQ?669JJLRX8>=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^T<O94IOKW[5E03@DBXR>K7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561<AGC_S<:8;HLJPZ72?2CEEYQ>669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661<AGC_S?:8;HLJPZ42?2CEEYQ=669JJLRX:>=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR<K7:KMMQY5M>1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761<AGC_S>:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G48MKOSWH<0ECG[_C;8MKOSWOCGI>5FNW08HL0<DFKOII94LNEJGDJ33E__>95CUU17?ISS<=1GYY;;;MWW20=J[NEE96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O30?K77;2D:=95A1007?K76;=1E=<:;;O3211=I98<?7C?>759M54>33G;:5>5A1368J447<2D:><:4N0010>H6::>0B<<;4:L2602<F88=86@>2668J44?<2D:>4=4N017?K748=1E=>?;;O3061=I9:9?7C?<459M56333G;8:95A1257?K740=1E=>7<;O370>H6<9>0B<:>4:L2072<F8>886@>4568J422<2D:8;:4N0640>H6<190B<;;;O3666=I9?>0B<894:L2222<F8<386@>6818J4133G;<<95A1637?K70:=1E=:=;;O3401=I9>??7C?8659M52133G;<495A16;0?K7?<2D:4=:4N0:20>H60;>0B<6<4:L2<12<F82>86@>8768J4>0;2D:5>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3718J6143G93?6@<929M055<F=;87C:=3:L776=I<=90B9;<;O657>H3?:1E85<4N408J34<F>80B5<4N8d8JGYE]ZZBBR^]OQQ4?KCS_FX@86@@ND38K7=HC81[86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH5<X[O:7\?4R`9QEHD6>K]N^;5]EFAFE3=UMNINN95]SUC7?WUSJ>1Y_YZVPDg8VVRXX[CD^DZV_@g8VVRXX[CD^DZV_C38W45<[@GTOBBCIRKLJZEOMJAj7^GB_EGUMFC13ZE^^NK7;RRBVQGI>>1X^[OC_@58WWPFDVH?7^WAC59WVPC33]S[I>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T0\]Q@ZVI\[Q:QRV@R^40[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T1\]Q@ZVI\[Q9QRV@R^41[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T2\]Q@ZVI\[Q8QRV@R^42[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T3\]Q@ZVI\[Q?QRV@R^43[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T4\]Q@ZVI\[Q>QRV@R^7:[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T5\]Q@ZVI\[Q=QRV@R^7;[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T6\]Q@ZVI\[Q<QRV@R^74[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T7\]Q@ZVI\[Q3QRV@R^75[jYg5:5=>j4U1-dvc(un&mht#mcky-N|jtXZMU[BY\T8\]Q@ZVI\[Q2QRV@R^76[jYg5:5=>m4U1-dvc(un&mht#mcky-N|jtX_[U[BY\T0\]TVZVI\[Q:QRV@R^;\kZ~h494:?n5Z0.eqb+ta'nis"nbdx.O{kwYPZVZEX_U>]^UQ[UHSZR8VSUA]_9]l[}i;87;8o6[?/fpe*w`(ojr%oaew/LzlvZQUWYD_^V<R_VP\TKRUS:WTTB\P7^m\|j:7689h7X> gsd-vc)`kq$h`fv Mymq[RTXXG^YW>SPWS]SJQT\<TUSC_Q9_n]{k9699:i0Y=!hrg,qb*adp'iggu!Bxnp\SWYWF]XP8PQXR^RMPW]2UVRD^R;Po^zl8586;j1^<"i}f/pe+be&jf`t"Cwos]TVZVI\[Q>QRY]_QLWV^0ZWQEYS9Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR<VSZ\PPOVQ_2[XPFXT?RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS>WT[_Q_NUPX<XY_G[U9SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\0TU\^R^ATSY:YZ^HZV;TcRv`<1<274=R8&myj#|i/fa{*fjlp&XOS]@[RZ2^[WBXXG^YW<SPXNP\26Yh;81^<"i}f/pe+be&jf`t"\K_QLWV^7ZW[NT\CZ][3_\\JTX>;Ud?<5Z0.eqb+ta'nis"nbdx.PG[UHSZR8VS_JPPOVQ_6[XPFXT:<Q`309V4*aun'xm#jmw.bnh|*TCWYD_^V=R_SF\TKRUS=WTTB\P61]l74=R8&myj#|i/fa{*fjlp&XOS]@[RZ6^[WBXXG^YW8SPXNP\1<Yh;81^<"i}f/pe+be&jf`t"\K_QLWV^3ZW[NT\CZ][7_\\JTX=1Ud?<5Z0.eqb+ta'nis"nbdx.PG[UHSZR<VS_JPPOVQ_2[XPFXT9:Q`309V4*aun'xm#jmw.bnh|*TCWYD_^V9R_SF\TKRUS1WTTB\P57]l74=R8&myj#|i/fa{*fjlp&XOS]@[RZ:^[WBXXG^YW4SPXNP\10Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^6ZW^XT\CZ][0_\\JTX1Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS8WT[_Q_NUPX6XY_G[U3Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP>PQXR^RMPW]4UVRD^R9Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U<]^UQ[UHSZR>VSUA]_7]l75=R8&myj#|i/fa{*fjlp&]YS]@[RZ6^[RTXXG^YW8SPXNP\1Zi482_;#j|i.sd,cf~)keas#Z\PPOVQ_0[X_[U[BY\T6\][KWY3Wf9;7X> gsd-vc)`kq$h`fv WS]SJQT\>TU\^R^ATSY4YZ^HZV9Tc>>4U1-dvc(un&mht#mcky-TVZVI\[Q<QRY]_QLWV^>ZWQEYS?Q`319V4*aun'xm#jmw.bnh|*QUWYD_^V6R_VP\TKRUS0WTTB\P1^m15>S7'nxm"h gbz-gim'{nT|cz}_ckm858592_;#j|i.sd,cf~)keas#jPpovq[goi4849=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<2<15>S7'nxm"h gbz-gim'{nT|cz}_ckm818592_;#j|i.sd,cf~)keas#jPpovq[goi4<49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0;0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<6<15>S7'nxm"h gbz-gim'{nT|cz}_ckm8=8592_;#j|i.sd,cf~)keas#jPpovq[goi4049<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=473\:$kh!rg-dg}(ddbr$~iQnup\flhX1;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5:5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0<0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm32?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>0:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg929:91^<"i}f/pe+be&jf`t"|k_qlwvZad4<49<6[?/fpe*w`(ojr%oaew/sf\tkruWni7:3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn28>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=:=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`8<86n2_;#j|i.sd,cf~)keas#jPpovq[beX88l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV;:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT><h4U1-dvc(un&mht#mcky-q`Zvi|{UloR=>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP40d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^72b>S7'nxm"h gbz-gim'{nT|cz}_fa\24`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ16n2_;#j|i.sd,cf~)keas#jPpovq[beX08l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV39:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=2=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6:2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?6;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0>0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc929:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2:>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;>78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<6<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo525>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>::73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT>?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\=7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2?>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<27>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8622>74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]>UVxnhxmj_ymq[41Xg:k0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0Y:YZtbl|inSua}_05\k45>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?T9\]qaasdmVrd~R?7_n1b?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P5PQ}eew`aZ~hzV;3Sb?<f:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S0WT~hjzcd]{kwY618Usc1>112;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q2QR|jdtaf[}iuW83Tc>h4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]>UVxnhxmj_ymq[766Wqe7<3?<9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S0WT~hjzcd]{kwY58Ve8j6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_<[Xzln~ohQwos]154Yg5:5=>74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]>UVxnhxmj_ymq[77Xg:o0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0Y:YZtbl|inSua}_302[}i;87>97X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X=XYummhiRv`r^015Z~h494T_Z><9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S0WT~hjzcd]{kwY5:Ve8i6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_<[Xzln~ohQwos]174Yg5:58?5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^?ZW{ooynkPxnp\667Xpf6;2R]X02;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q2QR|jdtaf[}iuW;9Tc>k4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]>UVxnhxmj_ymq[726Wqe7<3=6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7\1TUyii{le^zlvZ43Wf9n7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X=XYummhiRv`r^065Z~h494?>6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_<[Xzln~ohQwos]114Yg5:5S^Y?389V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9R3VSkkubg\|jtX:<Ud>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z6502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_00;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T>?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y4:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^61<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S8<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X>;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]46==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R6=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W08:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7<3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?6;463\:$kh!rg-dg}(ddbr${Qnup\flh;;78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d783<>;T2,cw`)zo%lou lljz,swYwf}xTnd`35?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?2;463\:$kh!rg-dg}(ddbr${Qnup\flh;?78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d743<>;T2,cw`)zo%lou lljz,swYwf}xTnd`39?03?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\476<]9%l~k }f.e`|+ekcq%|~R~ats]amkY6:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV89<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS><?;T2,cw`)zo%lou lljz,swYwf}xTnd`P4328Q5)`zo$yj"ilx/aoo})pzVzexQmio]665=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ0582_;#j|i.sd,cf~)keas#z|Ppovq[goiW>8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT4?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ6219V4*aun'xm#jmw.bnh|*quWyd~Ril<1<14>S7'nxm"h gbz-gim'~xT|cz}_fa?5;473\:$kh!rg-dg}(ddbr${Qnup\cf:56;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k595>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh090=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm35?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>5:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg919:91^<"i}f/pe+be&jf`t"y}_qlwvZad4149<6[?/fpe*w`(ojr%oaew/vp\tkruWni753?i;T2,cw`)zo%lou lljz,swYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_03e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]15c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[67a3\:$kh!rg-dg}(ddbr${Qnup\cfY39o1^<"i}f/pe+be&jf`t"y}_qlwvZadW<;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU==k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS:?i;T2,cw`)zo%lou lljz,swYwf}xTknQ71g9V4*aun'xm#jmw.bnh|*quWyd~Ril_805?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4949:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=3=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn692?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?7;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol090=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc939:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij29>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;?78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<9<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo535>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]360=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU:>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]160=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU8>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]760=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU>>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]560=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU<>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef];60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU2>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=;=7`=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V7R_sggqfcXpfxT==?Pxn>3:14<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U6]^pf`pebWqeyS<>>_ym?4;YT_9927X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X=XYummhiRv`r^33[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T9\]qaasdmVrd~R?>1^zl858412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[8_\v`brklUscQ>1^m0a>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W4SPrdfvg`Yg{U:><Qwo=2=07=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V7R_sggqfcXpfxT=??Pxn>3:ZUP8:30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y:YZtbl|inSua}_00\k6><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U6]^pf`pebWqeyS9Q`389V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9R3VSkkubg\|jtX<Ve:?55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5^?ZW{ooynkPxnp\1Zi412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[8_\v`brklUscQ:_n30a>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W4SPrdfvg`Yg{U==Rv`<1<27==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V7R_sggqfcXpfxT:Ra<e:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6S0WT~hjzcd]{kwY09Vrd0=0>399V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9R3VSkkubg\|jtX?Ve8i6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_<[Xzln~ohQwos];5Z~h494:?55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5^?ZW{ooynkPxnp\<Zi4l2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[8_\v`brklUscQ61^zl858392_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[8_\v`brklUscQ61^zl858X[^:846[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_<[Xzln~ohQwos]:[j4?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P03:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U:>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z4502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_20;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T8?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y2:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^41<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S:<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X0;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]:0g=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*KflmUoekhPws]q`^77UVMEHR68_n]b`aY4WF__Snw31?6`?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IdbcWmcmjRy}_sfX55[XOGNT4:Q`_`fg[6YH]]Ugyy2=>5a8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NeabXl`lmSz|PreY24XY@FMU3;RaPaef\7ZIR\Vf~x1=14b9V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYcaolT{Q}dZ33YZAILV2<SbQnde]0[JSSWe090;c:W3+bta&{l$ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[02^[BHCW1=TcRokd^1\KPRXd|~793:l;T2,cw`)zo%l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT11_\CKBX0>UdSljk_2]LQQYk}}6=29m4U1-dvc(un&mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU>0\]DJAY??VeTmijP3^MVPZjr|5=58n5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV??]^EM@Z>0WfUjhiQ<_NWW[iss414?o6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW<>R_FLG[=1XgVkohR=POTV\hpr;17><7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A`{w_ekebZquW{nP==SPGOF\<2YhWdsS8Q@UU3202=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*Kj}qUoekhPws]q`^77UVMEHR68_n]nq}Y2WF__?<:8;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mlw{[aoanV}ySjT11_\CKBX0>UdS`{w_4]LQQ26<>1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR;;QRIAD^:4[jYj}qU>SB[[501;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXkp6;2>64U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}979;11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rmv<3<0<>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7?3=7;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:36:20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=7=7==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0;0<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;?7937X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>;:6?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy2?>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}6:2>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:56:30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>0:6?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy2;>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}6>2>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:16:30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>4:6?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy27>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}622>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:76:30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>2:6?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc2=>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{682>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:36:30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>6:6?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc29>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6<2>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:?6:30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>::15<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ33YZAILV2<SbQbuy]6[JSS494?86[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY2>0?65?P6(o{l%~k!hl1,q`*au9'myhn<!rea,`l`aW~xT~iU>0\]DJAY??VeTaxvP5^MVP9776:;?m6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY2>0?12[VQ6<11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_46ZWNDOS59Po^ov|Z3XG\^7==0PSV37=>S7'nxm"h gm2-va)`z8$l~im=.sf`+aoanV}ySjT11_\CKBX0>UdS`{w_4]LQQ:687Uihi:;3:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP==SPGOF\<2YhWdsS8Q@UU>2:15<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ33YZAILV2<SbQbuy]6[JSS4;4??6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY2<>518Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-gmc`X{UyhV??]^EM@Z>0WfUfyuQ:_NWW8183<2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX55[XOGNT4:Q`_lw{[0YH]]6?2<:<;T2,cw`)zo%l`= }d.eq5+aulj8%~im dhde[rtXzmQ:<PQHNE];3ZiXe|rT9RAZT=7=01=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[02^[BHCW1=TcRczx^7\KPR;=7;??6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY29>568Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-gmc`X{UyhV??]^EM@Z>0WfUfyuQ:_NWW8386<:1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_46ZWNDOS59Po^ov|Z3XG\^7;3:;;T2,cw`)zo%l`= }d.eq5+aulj8%~im dhde[rtXzmQ:<PQHNE];3ZiXe|rT9RAZT=5=515<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ33YZAILV2<SbQbuy]6[JSS414?;6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY27>^QT415<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ33YZAILV2<SbQbuy]6[JSS404?;6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\99WTKCJP86]l[hsW<UDYY26>^QT566<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}d^vnt969:01^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi=2=6d=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTe1??>3;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`6:2?74U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[l:56;30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h>0:7?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd2;>3;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`6>2?74U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[l:16;30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h>4:7?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd27>3;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`622?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY7:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^31=>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS<>=8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnW;837X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\77><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSdQ;299V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaV?946[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[34?3\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgP73:8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`U3>55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZ?482_;#j|i.sd,ci6)zm%l~< }fvdw+HkrpVXJAR\K_DL\BR@S98>?7X> gsd-vc)`d9$yh"i}1/pescr(EdsSjafnf]fiur~Wo}mxR^XR^14[jYJ]QU>:Ra>13;8Q5)`zo$yj"ic0/pg+bt6&{l|jy!]AL]QABIR\VOE=>=4U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe302>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce?:5Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId0/Jj4543\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn9?;5Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId3/Jj61<]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EWHYANm8&Ec?=8:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXnk8<7X> gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]j7a=R8&myj#|i/fn3*wb(o{;%~kyit.elmkaXmdzuRhxfu]SSWY4?VeTAXVP57]l60=R8&myj#|i/fn3*wb(o{;%~kyit.wpawYqieco>h5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/abvwim}6;2?k4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,`ewt~fl~7=3<j;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-gdtuqgo0?0=e:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*fguzpdnx1=12g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1>1259V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?4;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86:2?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><0<266=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;:78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1<11318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28685<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8682<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=6=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;<7;9i6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.bcqv|hb|5:5>h5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/abvwim}6:2?k4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,`ewt~fl~7>3<j;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-gdtuqgo0>0=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0=0=4:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>3:4443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95;5>95Z0.eqb+ta'nf;"j gscp*wus{&i:#{?31?317>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:56;>0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0?0>229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?7;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q9595=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><5<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:3688;7X> gsd-vc)`d9$yh"i}ar,qwqu(kfg{<?j4U1-dvc(un&mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV<Tc?k4U1-dvc(un&mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV<Tc<<?;T2,cw`)zo%l`= }d.eqev(u{}y$obc13;8Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTe>k4U1-dvc(un&mg<#|k/srmpw)Je|rTkn>!cnwmp^?ZWNDOS:;Po^ov|Z4XG\^:<<=i;T2,cw`)zo%l`= }d.psjqt(EdsSjm?.bmvjq]>UVMEHR9:_n]nq}Y5WF__===>429V4*aun'xm#jb?.sf,vuhsz&GfyuQhc1,`kphsS0WTKCJP74]l[hsW;UDYY?>_HLU[55c3\:$kh!rg-dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z12WfUfyuQ=_NWW645c3\:$kh!rg-dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z12WfUfyuQ=_NWW745b3\:$kh!rg-dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z12WfUfyuQ=_NWW0474l2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP144l2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP074l2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP374l2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP274l2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP=74m2_;#j|i.sd,ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY0=VeTaxvP2^MVP=76;m1^<"i}f/pe+bj7&{n$~}`{r.Onq}Y`k9$hcx`{[8_\CKBX?<UdS`{w_3]LQQ?69h1^<"i}f/pe+bj7&{n$~}`{r.L264g<]9%l~k }f.eo4+tc'{zex!A173b?P6(o{l%~k!hl1,q`*twf}x$B<9=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>3:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4849?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2=>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8685;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}6?2?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<4<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:16;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d0:0=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>;:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs404856[?/fpe*w`(oe:%~i!}povq+be7&je~byU6]^EM@Z12WfUfyuQ=_NWW858412_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Q2QRIAD^56[jYj}qU9SB[[<0<0=>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq]>UVMEHR9:_n]nq}Y5WF__0?0<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY:YZAILV=>SbQbuy]1[JSS4:4856[?/fpe*w`(oe:%~i!}povq+be7&je~byU6]^EM@Z12WfUfyuQ=_NWW818412_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Q2QRIAD^56[jYj}qU9SB[[<4<0=>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq]>UVMEHR9:_n]nq}Y5WF__0;0<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY:YZAILV=>SbQbuy]1[JSS4>4856[?/fpe*w`(oe:%~i!}povq+be7&je~byU6]^EM@Z12WfUfyuQ=_NWW8=8412_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Q2QRIAD^56[jYj}qU9SB[[<8<16>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqY7:;1^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~T=?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_301?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ55:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U?>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP5308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[3453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V=9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ7239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\=70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7<3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28485>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1<1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:46;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?34?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb64<49:6[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1=4=63=R8&myj#|i/fn3*wb(zyd~"il0/alqkrXl86<2?84U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_e3?<;413\:$kh!rg-dh5(ul&x{by| gb2-gjsi|Vn:040=5:W3+bta&{l$ka>!re-qtkru'ni;"naznu]g5Z65=2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=R?=5:W3+bta&{l$ka>!re-qtkru'ni;"naznu]g5Z45=2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=R==5:W3+bta&{l$ka>!re-qtkru'ni;"naznu]g5Z25=2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=R;=5:W3+bta&{l$ka>!re-qtkru'ni;"naznu]g5Z05=2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=R9=5:W3+bta&{l$ka>!re-qtkru'ni;"naznu]g5Z>5=2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=R7<9:W3+bta&{l$ka>!ws-dsdu)z~x#@czx^aliu7XOGNT5<Q`_LW[[27Xg8;9i6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.bcqv|hb|5:5>h5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#jczx/abvwim}6:2?k4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,`ewt~fl~7>3<j;T2,cw`)zo%l`= xr.etev(p{}y$o<!hmtz-gdtuqgo0>0=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0=0=4:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>3:4443\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q95;5>95Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?31?317>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:56;>0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0?0>229V4*aun'xm#jb?.vp,crgt&~y"m>/w3?7;433\:$kh!rg-dh5(pz&m|m~ xsuq,g4)q9595=?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><5<10>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:3688n7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!c`pq}kcs4949i6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.bcqv|hb|5;5>h5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/abvwim}692?k4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,`ewt~fl~7?3<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';7<3<;;T2,cw`)zo%l`= xr.etev(p{}y$o?!y1=2=575<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6484986[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2>>000?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5949:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';7>3?=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>0:72<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64:4:>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?34?07?P6(o{l%~k!hl1,tv*apiz$|y} c3-u59299;:0Y=!hrg,qb*ak8'}y#jyns/uppv)dgdz:??5Z0.eqb+ta'nf;"z| gvcp*rus{&ida}?PGOF\=4YhWD_SS:?Po328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr16==R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUmnRg=5:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZo3i2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\99WTKCJP92]l[dbcW=UDYYQly=3=0d=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_46ZWNDOS4=Po^cg`Z2XG\^Tot26>5a8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ33YZAILV38SbQnde]7[JSSWjs753?>4c9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[02^[BHCW09TcRokd^6\KPRXd|~7>3:m;T2,cw`)zo%l`= xr.et`f7)minty!Baef\`l`aW~xT~iU>0\]DJAY>;VeTmijP4^MVPZjr|5958o5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW<>R_FLG[<5XgVkohR:POTV\hpr;<7>i7X> gsd-vc)`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ:<PQHNE]:7ZiXimnT8RAZT^nvp939<k1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8:VSJ@K_81\kZgclV>TCXZPltv?2;2e3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRbzt=5=0g=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_46ZWNDOS4=Po^cg`Z2XG\^T`xz38?6a?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY24XY@FMU2?RaPaef\0ZIR\Vf~x171529V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[02^[BHCW09TcRokd^6\KPRXpfx7=3?>_HLU[52c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=0=542c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=1=542c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=6=542c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=7=542c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=4=542c3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=5=54353\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]68TULBIQ63^m\eabX<VE^XRv`r=5=547X[^:>>6[?/fpe*w`(oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP==SPGOF\=6YhWhnoS9Q@UU]{kw:068;:S^Y=539V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[02^[BHCW09TcRokd^6\KPRXpfx7;3?>1^QT71b<]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^77UVMEHR7<_n]b`aY3WF__Sua}<9<251b<]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^77UVMEHR7<_n]b`aY3WF__Sua}<8<2561<]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVir0=0<7:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:66:=0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<3<03>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp682>94U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^az8184?2_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2:>258Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZe~4?48;6[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>4:61<]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVir050<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;87937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>2:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1<1399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss4:4846[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?0;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy2:>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZjr|5<5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww828402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz38?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}622>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv969;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<0<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7>3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:46:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=6=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey080<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;>7937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>4:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~161399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu404?>6[?/fpe*w`(oe:%{!hwea2*rbdmq~$hdhi_vp\va]68TULBIQ63^m\eabX<VE^X1?1439V4*aun'xm#jb?.vp,crbd9'}oohv{/ekebZquW{nP==SPGOF\=6YhWhnoS9Q@UU>1:14<]9%l~k }f.eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[02^[BHCW09TcRokd^6\KPR;;7>97X> gsd-vc)`d9$|~"ixdb3-saebp}%oekhPws]q`^77UVMEHR7<_n]b`aY3WF__090;2:W3+bta&{l$ka>!ws-dsae6&~nhiuz dhde[rtXzmQ:<PQHNE]:7ZiXimnT8RAZT=7=07=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+aoanV}ySjT11_\CKBX1:UdSljk_5]LQQ:16=80Y=!hrg,qb*ak8'}y#jykc0,t`fc|&nbjkQxr^pg_46ZWNDOS4=Po^cg`Z2XG\^7;3:=;T2,cw`)zo%l`= xr.et`f7)minty!kigd\swYulR;;QRIAD^;0[jYflmU?SB[[<9<76>S7'nxm"h gm2-sw)`mi:"zjleyv,`l`aW~xT~iU>0\]DJAY>;VeTmijP4^MVP9?9:o1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'mcmjRy}_sf\phv;879;7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`6;2>?4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1??>228Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=3=75=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j878482_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;;79;7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`6?2>>4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1;1319V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf<7<04>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?3;573\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:?6::0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa535>k5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS=<i;T2,cw`)zo%l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ>319V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_021b>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\67`<]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ55n2_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX<;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV?9j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT:?h4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR9=f:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP83d8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^;2`>S7'nxm"h gm2-sw)uidU|~Rka_h37<>S7'nxm"h gm2-sw)pxg~y#@czx^ejg5(`k9$hcx`{[8_\CKBX00UdS`{w_3]LQQ76W@D]S=:=;T2,cw`)zo%l`= xr.usjqt(EdsSjgl0/e`4+eh}g~P5PQHNE];=ZiXe|rT>RAZT3376>S7'nxm"h gm2-sw)pxg~y#@czx^ejg5(`k9$hcx`{[8_\CKBX00UdS`{w_3]LQQ56:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{<1<1<>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~7=3<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjq:56;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at=1=6==R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|d090=8:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkr;=7837X> gsd-vc)`d9$|~"ynup,cle7∋"naznu>5:7><]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfex191299V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphs414946[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov?=;5b3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byU6]^EM@Z>>WfUfyuQ=_NWW8584m2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczT9\]DJAY?1VeTaxvP2^MVP979;l1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[8_\CKBX00UdS`{w_3]LQQ:56:o0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{atZ;^[BHCW13TcRczx^0\KPR;;7>;7X> gsd-vc)`d9$|~"ynup,cle7∋"naznuY:YZAILV22SbQbuy]1[JSS4:4:=>h4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmp^?ZWNDOS57Po^ov|Z4XG\^7?3<;3:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkr\1TULBIQ79^m\ip~X:VE^X1=1_cfg46c<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexV7R_FLG[=?XgVg~tR<POTV?0;5a3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byU6]^EM@Z>>WfUfyuQ=_NWW8186;l1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[8_\CKBX00UdS`{w_3]LQQ:26:l0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{atZ;^[BHCW13TcRczx^0\KPR;=7;8i6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uovX=XY@FMU35RaPmtz\6ZIR\5<5?k5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw_<[XOGNT44Q`_lw{[7YH]]6=2<=j;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjq]>UVMEHR66_n]nq}Y5WF__0:0<f:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkr\1TULBIQ79^m\ip~X:VE^X19112g8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|R3VSJ@K_9;\kZkrpV8TCXZ38?61?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Q2QRIAD^::[jYj}qU9SB[[<9<\WR64m2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczT9\]DJAY?1VeTaxvP2^MVP9?9<;1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[8_\CKBX00UdS`{w_3]LQQ:>6VY\<?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZ65?2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczP1358Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|V89;6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\771<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexR:=7:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrX=;=0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^413>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~T;?94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZ>5?2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczP93`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn:0=0=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl86:2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb64;49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`4:46;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f28185j2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd0>6:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj><7<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<28>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn:050=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl8622?o4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W98j7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g5Z75i2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd0]16d=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi?P33c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn:S9<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc9V?9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`4Y1:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_e3\37g<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj>_90b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo=R7=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;6;2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb54849n6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`7:56;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f18685j2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd3>7:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj=<4<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th?29>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn90:0=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;632?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb54049m6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`7Y7:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_e0\57g<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj=_30b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo>R==a:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;U?>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a4X=;k0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f1[34f3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk2^51e>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th?Q72`9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm8T5<94U1-dvc(un&gna"j`uu]j858602_;#j|i.sd,i`k(lfSd2>0?3;?P6(o{l%~k!bel-gkprXa5;:2<64U1-dvc(un&gna"j`uu]j8449911^<"i}f/pe+hcj'me~xRg312<2<>S7'nxm"h mdo,`jssW`6:83?7;T2,cw`)zo%fi`!kotv\m9726820Y=!hrg,qb*kbe&ndyyQf<04=5==R8&myj#|i/lgn+air|Vc7=:0>8:W3+bta&{l$ahc dnww[l:607;37X> gsd-vc)jmd%ocxzPi=3::41<]9%l~k }f.ofi*bh}}Ub0<0>8:W3+bta&{l$ahc dnww[l:587;37X> gsd-vc)jmd%ocxzPi=02:4><]9%l~k }f.ofi*bh}}Ub0?<1199V4*aun'xm#`kb/emvpZo;::4:46[?/fpe*w`(elg$hb{{_h>10;7?3\:$kh!rg-nah)cg|~Te1<:>0:8Q5)`zo$yj"cjm.flqqYn4;<5=55Z0.eqb+ta'dof#iazt^k?628602_;#j|i.sd,i`k(lfSd2=8?3;?P6(o{l%~k!bel-gkprXa5822<94U1-dvc(un&gna"j`uu]j878602_;#j|i.sd,i`k(lfSd2<0?3;?P6(o{l%~k!bel-gkprXa59:2<64U1-dvc(un&gna"j`uu]j8649911^<"i}f/pe+hcj'me~xRg332<2<>S7'nxm"h mdo,`jssW`6883?7;T2,cw`)zo%fi`!kotv\m95268=0Y=!hrg,qb*kbe&ndyyQf<2<23>S7'nxm"h mdo,`jssW`6?2<94U1-dvc(un&gna"j`uu]j8086?2_;#j|i.sd,i`k(lfSd29>058Q5)`zo$yj"cjm.flqqYn4>4:;6[?/fpe*w`(elg$hb{{_h>;:41<]9%l~k }f.ofi*bh}}Ub040>6:W3+bta&{l$ahc dnww[lY79?1^<"i}f/pe+hcj'me~xRgP1058Q5)`zo$yj"cjm.flqqYnW8::;6[?/fpe*w`(elg$hb{{_h]2541<]9%l~k }f.ofi*bh}}UbS<<>7:W3+bta&{l$ahc dnww[lY6;8=0Y=!hrg,qb*kbe&ndyyQf_0623>S7'nxm"h mdo,`jssW`U:9<94U1-dvc(un&gna"j`uu]j[406?2_;#j|i.sd,i`k(lfSdQ>7058Q5)`zo$yj"cjm.flqqYnW82:;6[?/fpe*w`(elg$hb{{_h]2=40<]9%l~k }f.ofi*bh}}UbS??8;T2,cw`)zo%fi`!kotv\mZ479>1^<"i}f/pe+hcj'me~xRgP2034?P6(o{l%~k!bel-gkprXaV89=:5Z0.eqb+ta'dof#iazt^k\66703\:$kh!rg-nah)cg|~TeR<;169V4*aun'xm#`kb/emvpZoX:<;<7X> gsd-vc)jmd%ocxzPi^0552=R8&myj#|i/lgn+air|VcT>:?8;T2,cw`)zo%fi`!kotv\mZ4?9>1^<"i}f/pe+hcj'me~xRgP2835?P6(o{l%~k!bel-gkprXaV9:;6[?/fpe*w`(elg$hb{{_h]0441<]9%l~k }f.ofi*bh}}UbS>?>7:W3+bta&{l$ahc dnww[lY4:8=0Y=!hrg,qb*kbe&ndyyQf_2123>S7'nxm"h mdo,`jssW`U88<94U1-dvc(un&gna"j`uu]j[636>2_;#j|i.sd,i`k(lfSdQ;179V4*aun'xm#`kb/emvpZoX=8<0Y=!hrg,qb*kbe&ndyyQf_735?P6(o{l%~k!bel-gkprXaV=::6[?/fpe*w`(elg$hb{{_h];53=R8&myj#|i/lgn+air|VcT59=4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<1<70>S7'nxm"h mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{kc!kotva[l:687>?7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*bh}}hTe1?>>568Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#iaztc]j8449<=1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,`jssjVc7=>0;4:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>20;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa5;>29:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<04=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;9>4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2>8?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m97>6=90Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0<0;4:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>14;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa58:29:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<30=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;::4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2=4?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9426=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0?81459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?6283<2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`6943:;;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&ndyylPi=0::15<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn4;4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2<0?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9566=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0><1459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?7683<2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`6883:;;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&ndyylPi=16:15<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn4:4??6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2;>518Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#iaztc]j8083;2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`6=29=4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<6<77>S7'nxm"h mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{kc!kotva[l:?6=90Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb04088:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uid=37X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8W%~lc!r.q5[kinf`~%~lc SER-WLKWDLU[^DC>8/pbi4`<]9%l~k }f.ofi*aee'~f|R|nm^pg[`h582_;#j|i.sd,i`k(okg%x`~Pr`o\vaYbf8;87X> gsd-vc)uidUyhRka169V4*aun'xm#ob_sgdkprXmg;87X> gsd-vc)uidU|~Rka1e9V4*aun'xm#}{bmi,VVRXN\FGSJKA299V4*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm;946[?/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`74?3\:$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk33a8Q5)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|Vxnk1>12b9V4*aun'xm#}{bmi,jbqul{y"j||t/pg[wusW{ol0<0=c:W3+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlm7>3<m;T2,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumnU;>o5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`W88i7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qabY5:j1^<"i}f/pe+wusjea$bjy}dsqw*btt|'}yS}{_sgd8585k2_;#j|i.sd,vvredb%ekz|krrv-cwus&~xT~~zPrde?5;4e3\:$kh!rg-qwqdkc&dl{j}su,dvvr){UyyQ}ef]36g=R8&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh_03g?P6(o{l%~k!}su`oo*tcW{ySl}}ef03?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g576<]9%l~k }f.pppgjl'{nT~~zParpfcZb59j1^<"i}f/pe+wusjea$~iQ}su]`khd6l2_;#j|i.sd,vvredb%yhR||t^alig76l2_;#j|i.sd,vvredb%|~R||t^cpv`a582_;#j|i.sd,vvredb%|~R||t^cpv`aXl88;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo>?:4U1-dvc(un&xxxobd/vp\vvrXizxnkR||tqmw5f=R8&myj#|i/sqwfim({UyyQlol`2`>S7'nxm"h rrvahn)pzVxxxRm`mc3b?PUBZVKGEL]l;TQFVZPN[@HGI>5YCB;8RLCPW]S[I;5XE@>3:3=PMH6:2;5XE@>1:==PMH686=09;VGB86813^OI0=09;VGA84813^OI0?07;VGA86<76?1\IO2<>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh3j2UTSUBAM^]\4ZYX]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[23XgVg~tR<POTV6510<WVUS@CCP_^33[ZYR8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb<:9;^]\\IHJWVU:=RQPU1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe0.Mk73k2UTSUBAM^]\57YXW\:$kh!rg-dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z12WfUfyuQ=_NWW742>3VUTTA@B_^]27ZYX]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U6]^pf`pebWqeyS<6Po075?ZYXPEDFSRQ>4^]\Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY24XY@FMU3;RaPmtz\1ZIR\:;>=6QP_YNMIZYX9<UTSX> gsd-vc)`d9$|~"ynup,cle7∋"naznuY:YZAILV22SbQbuy]1[JSS414T_Z>;8:]\[]JIEVUT=;QP_T2,cw`)zo%l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU2=RaPMTZ\34Yh98?:7RQPXMLN[ZY6?VUTY=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y:YZtbl|inSua}_022[}i;87UX[==<;^]\\IHJWVU:4RQPU1-dvc(un&xxxobd/vp\vvrXizxnkR||tqmw0f=XWVRGB@QP_0;\[ZS7'nxm"h gbz-gim'Drd~R\K_QLWV^6ZW[NT\CZ][0_\\JTX>:UdSua30?361>YXWQFEARQP1^]\Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY24XY@FMU3;RaPmtz\1ZIR\<;?n6QP_YNMIZYX:9UTSX> gsd-vc)`kq$h`fv Mymq[RTXXG^YW=SPWS]SJQT\9TUSC_Q6_n]{k9699=i0SRQWLOO\[Z46WVU^<"i}f/pe+be&jf`t"Cwos]Q@ZVI\[Q<QR\K_QLWV^>ZWQEYS88Po^zl8586<k1TSRVCNL]\[74XWV_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR=VSZ\PPOVQ_=[XPFXT>RaPxn>3:42d3VUTTA@B_^]17ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\:TUYHR^ATSY0YZ^HZV<:SbQwo=2=51e<WVUS@CCP_^07[ZYR8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW<3TcRv`<1<20g=XWVRGB@QP_37\[ZS7'nxm"h gbz-gim'Drd~RY]_QLWV^4ZW^XT\CZ][2_\\JTX?VeTtb2?>06a?ZYXPEDFSRQ=6^]\Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ3XgVrd0=0>4e9\[Z^KFDUTS?QP_T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjq]>UVMEHR66_n]nq}Y5WF__090>2d9\[Z^KFDUTS>QP_T2,cw`)zo%fi`!hbl,wiuYuidUyhRka1428[ZY_DGGTSR:P_^W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6S0WT~hjzcd]{kwY6:8Usc1>1_RU315=XWVRGB@QP_4]\[P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P5PQ}eew`aZ~hzV8>=Rv`<1<\WR62j2UTSUBAM^]\2ZYX]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^77UVMEHR7<_n]b`aY3WF__Sua}<2<251e<WVUS@CCP_^5\[ZS7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W4SPrdfvg`Yg{U<=Rv`<1<215=XWVRGB@QP_9]\[P6(o{l%~k!hl1,tv*qwf}x$A`{w_fk`4+ad8'idyczT9\]DJAY?1VeTaxvP2^MVP67282UTSUBAM^]\=ZYX]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U6]^pf`pebWqeyS?=>_ym?4;YT_9i0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mcke9geqgXkfex%>&d:fbpdYdg|d$<'k;ecweZeh}g~#>$j4d`vb[firf}"8%i5kauc\gjsi|!>"h6jnt`]`kphs <#o7io{a^alqkr/> n0hlzn_bmvjq.0!m1omyoPcnwmp->.l2njxlQlotlw,</a3mkmRm`uov?=?69?2nieyk}r79gmk.7!?1oec&>)69gmk.68 =0hd`'10+4?aoi 88";6jfn)30-2=cag":8$94dhl+50/03mce$<8&7:fjj-70!>1oec&>8(58`lh/90#=7iga(3+4?aoi ;:";6jfn)02-2=cag"9>$94dhl+66/03mce$?:&7:fjj-42!>1oec&=6(58`lh/:>#<7iga(3:*3>bnf!82%;5kio*0-2=cag"8<$94dhl+74/03mce$><&7:fjj-54!>1oec&<4(58`lh/;<#=7iga(5+5?aoi <#=7iga(7+5?aoi >#=7iga(9+5?aoi 0#=7iga<1<4?aoi48:5;6jfn=32:2=cag6:>394dhl?56803mce0<:17:fjj9726>1oec2>6?58`lh;9>4<7iga<0:=3>bnf5;22;5kio>2:2=cag69<394dhl?64803mce0?<17:fjj9446>1oec2=4?58`lh;:<4<7iga<34=3>bnf58<2:5kio>1<;1<l`d7>409;ekm87803mce0>>17:fjj9566>1oec2<2?58`lh;;:4<7iga<26==>bnf59>6=08;ekm8639>2nbb1=16:fjj929>2nbb1;16:fjj909>2nbb1916:fjj9>9>2nbb1717:flqq.7!>1ocxz'1(:8`jss 8:"46j`uu*25,><lf$<<&8:flqq.6; 20hb{{(06*<>bh}}":9$64dnww,40.02ndyy&>7(:8`jss 82"46j`uu*2=,1<lf$?'7;emvp-47!11ocxz'20+;?air|!89%55kotv+66/?3me~x%<;)99gkpr/:<#37iazt)05-==cg|~#>:'7;emvp-4?!11ocxz'28+4?air|!9"46j`uu*04,><lf$>?&8:flqq.4: 20hb{{(21*<>bh}}"88$64dnww,63.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=255kotv?528?3me~x1?7>99gkpr;904<7iazt=3=<>bh}}69<364dnww877902ndyy2=2?:8`jss4;9546j`uu>10;><lf0?;18:flqq:5>720hb{{<35=<>bh}}694364dnww87?9?2ndyy2=>99gkpr;;9437iazt=12:==cg|~7??07;emvp954611ocxz335<b?air|59>6=07;emvp9526>1ocxz33?58`jss4=4<7iazt=7=3>bh}}6=2:5kotv?3;1<lf0508;emvp9?902ooek<age78ahvsq;l0jdh`_fgmawgsg{U}:R?# Ykomk~'KFXN,Jkaescwkw&6:';:>6hffn]p2Z7Lp:<T>nbd319emciX{?U:Gu=9_3aoo)`nnfUlick}aumq[s0X9%DDBH"AOOG0b7=aaoeT;Q>Ky15[7ekc%lbjbQheogqeqiuW<T=!ul_icp[lhsWjf`1="l_icp[vtt|4:'oR|k_gpfu87+kVoemobj_sqw[vik4;'oRfns^pg[agsiVidycz21-a\`lhX`nd0=9,b]kevYpzVnjxlQlotlw94*dWog`Rhcafq\vvrX~hf6=!mPilroahci|h~bccQ{yqg>6)eX}zoTi`ljdegg[wc`59&hSz|Pfsgr94*dW|ynSiazt^ppp87+kVehh|ilnu\hjq:8%iTdl}Powgqbiip59&hS}|jlncg[igsmgir1="l_emvpZqnl}b6?;"l_lqdkkYqie7: nQ}d^fftqn:998? nQjrsmq[lhmmj~bccQ{yqg>4)eX`hyTycjjrgnls86+kVbjRocmnqw[cskd4:'oRfns^ppp87+kVnjxlQlotlw[roc|a7:<!mPh`q\swYfkb7; nQzsd]fgf;7$jUhc`c`n^aokfm:8%iT{Qncj]okr;7$jUyhR~ats]tmaro58:'oR{|e^dtbqYci}kTob{at<3/gZnf{Vkgab}{_dosp|;7$jUyhRjnt`]`kphsW~coxe3>1-a\kscunee|Saax=1.`[rtXxg~ySzgkti?24)eXx{elShctx]w}uc:8%iT{Qkauc\gjsi|V}bhyf210.`[utbdfkoSkhs<3/gZbh}}UyyQyam?3(fYoizUomyoPcnwmp86+kVzycjQiumn\pmtb{aUeijo{e^tbh877:;&hSz|Pddrwl877:=&hS}|`g^gntqX|axneQaefcwaZpfd4?'oR~}of]fiur~W}byi~fParqfvqYqie7? nQgar]ueioc59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk58:9?!m`mqmmakrXfhgn1kgio^q5[4M;?U9oae#c^tbhlbXdf}6<!mPpskn[coagV~r|h3>ky15(fYwzfmTjxbc_u{sa86+kVgnab|v_u{sa87+u;i0jdh`_r4\5N~4>V8h`fQiigm\c`hbzh~d~Rx9_0]{wqY6<2l~`aj4iohfgqbea}oy~i5fnkg`pvdn|lxy;6gat^aoo==iojh~eaj7;ntfvcjhh1{~biPelrw}42<x{elShctx]wlwct`!:"=95rne\ahvsqV~c~h}g(0+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntqX|axne&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pUdk|h):*51=wzfmTi`~{y^vkv`uo 0#::6~}of]fiur~W}byi~f39;2=5g=wzfmTi`~{y^vkv`uoWhyxiz'0(3a?uthoVof|ywPtipfwmYf{zoyx%?&1c9svjaXmdzuRzgrdqk[dutm{~#>$?m;qplcZcjx}sTxe|jsi]bwvcu|!9"=o5rne\ahvsqV~c~h}g_`qpawr/< ;i7}|`g^gntqX|axneQnsrgqp-3.9k1{~biPelrw}ZrozlycSl}|esv+2,7e3yxdkRkbpu{\pmtb{aUj~k}t)5*5g=wzfmTi`~{y^vkv`uoWhyxiz'8(3a?uthoVof|ywPtipfwmYf{zoyx%7&1e9svjaXmdzuRzgrdqk[dutm{~757>11c9svjaXmdzuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=o5rne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntqX|axneQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnki%9&1c9svjaXmdzuRzgrdqk[kc`i}o#4$?m;qplcZcjx}sTxe|jsi]mabgsm!3"=i5rne\ahvsqV~c~h}g_ogdeqc;13:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<=4psmd[cskdV~c~h}g(8+21>vugnUmyabPtipfwm:>294:m6~}of]eqijX|axneQnsrgqp-6.9h1{~biPftno[qnumzbTm~}jru*2-4g<x{elSk{cl^vkv`uoWhyxiz'2(3b?uthoVl~`aQ{hsgplZgt{lx$>'>a:rqkbYa}efTxe|jsi]bwvcu|!>"=l5rne\bpjkW}byi~fParqfvq.2!8k0|ah_gwohZrozlycSl}|esv+2,7f3yxdkRhzlm]wlwct`Vkxh|{(6+2e>vugnUmyabPtipfwmYf{zoyx%6&1`9svjaXn|fgSyf}erj\evubz}"2%<m4psmd[cskdV~c~h}g_`qpawr;13:5=l5rne\bpjkW}byi~fPndebp`.7!8k0|ah_gwohZrozlycSckhaug+5,7f3yxdkRhzlm]wlwct`Vdnklzj(3+2e>vugnUmyabPtipfwmYimnki%=&1`9svjaXn|fgSyf}erj\j`af|l"?%<o4psmd[cskdV~c~h}g_ogdeqc/= ;j7}|`g^dvhiYs`{oxdR`jg`vf,3/6i2zycjQiumn\pmtb{aUeijo{e)5*5d=wzfmTjxbc_ujqavnXflmjxh&7)0c8twi`Wog`Rzgrdqk[kc`i}o#5$?l;qplcZ`rdeUdk|h^lfcdrb400;2;5}d^aoo46<zmUomyoPcnwmp-6.991yhRjnt`]`kphs 8#:=6|k_ecweZeh}g~#=='>0:pg[agsiVidycz'2(33?wbXlh~jSnaznu*0-46<zmUomyoPcnwmp-2.991yhRjnt`]`kphs <#:<6|k_ecweZeh}g~#:$??;sf\`drfWje~by&8)028vaYci}kTob{at):*55=ulVnjxlQlotlw,</682xoSio{a^alqkr;87;87jPd`vb[firf}6:<7>1109q`Zbf|hUhcx`{<02=55=ulVnjxlQlotlw848682xoSio{a^alqkr;:7;;7jPd`vb[firf}682<>4re]geqgXkfex1:1119q`Zbf|hUhcx`{<4<24>tcWmkmRm`uov?2;773{nThlzn_bmvjq:068:0~iQkauc\gjsi|525==5}d^fbpdYdg|d040:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su3f?qkw'Vil#kgio^q5[4M;?U9oae!nfm:?phcm{lgcz;4v`nj`3=pzVkhg;5xr^aoo46<{UomyoPcnwmp-6.991|~Rjnt`]`kphs 8#:=6y}_ecweZeh}g~#=='>0:uq[agsiVidycz'2(33?rtXlh~jSnaznu*0-46<{UomyoPcnwmp-2.991|~Rjnt`]`kphs <#:<6y}_ecweZeh}g~#:$??;vp\`drfWje~by&8)028swYci}kTob{at):*55=pzVnjxlQlotlw,</682}ySio{a^alqkr;87;87z|Pd`vb[firf}6:<7>1109tvZbf|hUhcx`{<02=55=pzVnjxlQlotlw848682}ySio{a^alqkr;:7;;7z|Pd`vb[firf}682<>4ws]geqgXkfex1:1119tvZbf|hUhcx`{<4<24>quWmkmRm`uov?2;773~xThlzn_bmvjq:068:0{Qkauc\gjsi|525==5xr^fbpdYdg|d040:;vp\ak0<{UyysO@qd`7>FGp?<n6K4;:0yP35<1890i6<=<02`;>647;kqe99>51:l604<13-?8i7;<8:P2`<1890i6<=<02`;>647;k1X=:9561`94?74;99i47==0768W3c=>9h1<7?<311a<?558??0h;><:182>4}T?90=<=4m:01046d?2:8;?o5yT320>5<6280:j<u\718545<e2898<>l7:2037g=#=;k1=:64V41e>7}r91:1=6{>8083?x"6n808=6l90283>33=;3<>wE;=8:X51?2|9o0:i7?<:069y!4713<;?6*:3e8547=n>?31<75f69c94?=h>9=1<75`61394?=n>>81<75f67`94?=h=m>1<7*>f286b2=i9o81<65`5e194?"6n:0>j:5a1g095>=h=m81<7*>f286b2=i9o81>65`5e394?"6n:0>j:5a1g097>=h=m:1<7*>f286b2=i9o81865`5bd94?"6n:0>j:5a1g091>=h=jn1<7*>f286b2=i9o81:65`5ba94?"6n:0>j:5a1g093>=h=jh1<7*>f286b2=i9o81465`5bc94?"6n:0>j:5a1g09=>=h=j31<7*>f286b2=i9o81m65`5b:94?"6n:0>j:5a1g09f>=h=j=1<7*>f286b2=i9o81o65`5b494?"6n:0>j:5a1g09`>=h=j?1<7*>f286b2=i9o81i65`5b694?"6n:0>j:5a1g09b>=h=j81<7*>f286b2=i9o81==54o4a2>5<#9o919k94n0d1>47<3f?h<7>5$0d0>0`03g;m>7?=;:m6fc<72-;m?7;i7:l2b7<6;21d9ok50;&2b6<2n>1e=k<51598k0dc290/=k=55g58j4`528?07b;mc;29 4`42<l<7c?i2;35?>i2jk0;6)?i3;7e3>h6n;0:;65`5cc94?"6n:0>j:5a1g095==<g<h26=4+1g191c1<f8l96<74;n7ge?6=,8l868h8;o3e6?7f32e>h44?:%3e7?3a?2d:j?4>b:9l1a>=83.:j>4:f69m5c4=9j10c8j8:18'5c5==o=0b<h=:0f8?j3c>3:1(<h<:4d4?k7a:3;n76a:d483>!7a;3?m;6`>f382b>=h=jo1<7*>f286b2=i9o81>=54o4a0>5<#9o919k94n0d1>77<3f?i47>5$0d0>0`03g;m>7<=;:m6f2<72-;m?7;i7:l2b7<5;21b95l50;&2b6<2il1e=k<50:9j1=g=83.:j>4:ad9m5c4=921b95750;&2b6<2il1e=k<52:9j1=>=83.:j>4:ad9m5c4=;21b95950;&2b6<2il1e=k<54:9j1=0=83.:j>4:ad9m5c4==21b95:50;&2b6<2il1e=k<56:9j1=5=83.:j>4:ad9m5c4=?21b95<50;&2b6<2il1e=k<58:9j1=7=83.:j>4:ad9m5c4=121b95>50;&2b6<2il1e=k<5a:9j12`=83.:j>4:ad9m5c4=j21b9:k50;&2b6<2il1e=k<5c:9j12b=83.:j>4:ad9m5c4=l21b9:m50;&2b6<2il1e=k<5e:9j12d=83.:j>4:ad9m5c4=n21b9:750;&2b6<2il1e=k<51198m01?290/=k=55`g8j4`528;07d;87;29 4`42<kn7c?i2;31?>o2??0;6)?i3;7ba>h6n;0:?65f56794?"6n:0>mh5a1g0951=<a<=?6=4+1g191dc<f8l96<;4;h747?6=,8l868oj;o3e6?7132c>;?4?:%3e7?3fm2d:j?4>7:9j127=83.:j>4:ad9m5c4=9110e89?:18'5c5==ho0b<h=:0;8?l3>93:1(<h<:4cf?k7a:3;j76g:9183>!7a;3?ji6`>f382f>=n=1l1<7*>f286e`=i9o81=n54i4:f>5<#9o919lk4n0d1>4b<3`?3h7>5$0d0>0gb3g;m>7?j;:k6<f<72-;m?7;ne:l2b7<6n21b95;50;&2b6<2il1e=k<52198m01f290/=k=55`g8j4`52;;07d;9f;29 4`42<kn7c?i2;01?>o2>l0;6)?i3;7ba>h6n;09?65`64694?"6n:0=9h5a1g094>=h><i1<7*>f2851`=i9o81=65`64`94?"6n:0=9h5a1g096>=h><k1<7*>f2851`=i9o81?65`64;94?"6n:0=9h5a1g090>=h><21<7*>f2851`=i9o81965`64594?"6n:0=9h5a1g092>=h><<1<7*>f2851`=i9o81;65`64794?"6n:0=9h5a1g09<>=h><91<7*>f2851`=i9o81565`64094?"6n:0=9h5a1g09e>=h>>?1<7*>f2853c=i9o81<65`66f94?"6n:0=;k5a1g095>=h>>i1<7*>f2853c=i9o81>65`66`94?"6n:0=;k5a1g097>=h>>k1<7*>f2853c=i9o81865`66;94?"6n:0=;k5a1g091>=h>>21<7*>f2853c=i9o81:65`66594?"6n:0=;k5a1g093>=h>><1<7*>f2853c=i9o81465`66694?"6n:0=;k5a1g09=>=h>>91<7*>f2853c=i9o81m65f64394?=e=;31<7?50;2xL04?3-8;57;=9:m2b5<722wi=??50;394?6|@<837)<?9;315>i6:90;66sm6583>gc=9h<1=k?tH40;?_0228<ph7m5e;31>c<683;:6<h51d827?7328o1o7j5e;d955<693;96<h512820?{#:931:=84$7;9252<,?l1:=;4$0ga>4ca3`<9>7>5$0d0>3443g;m>7>4;h415?6=,8l86;<<;o3e6?7<3`<9<7>5$0d0>3443g;m>7<4;h42b?6=,8l86;<<;o3e6?5<3`<:i7>5$0d0>3443g;m>7:4;h42`?6=,8l86;<<;o3e6?3<3`<:o7>5$0d0>3443g;m>784;h42f?6=,8l86;<<;o3e6?1<3`<:m7>5$0d0>3443g;m>764;h42=?6=,8l86;<<;o3e6??<3`<;57>5;h45e?6=3`<=h7>5;n444?6=3`<:;7>5$0d0>37?3g;m>7>4;h422?6=,8l86;?7;o3e6?7<3`<:97>5$0d0>37?3g;m>7<4;h420?6=,8l86;?7;o3e6?5<3`<:?7>5$0d0>37?3g;m>7:4;h426?6=,8l86;?7;o3e6?3<3`<:=7>5$0d0>37?3g;m>784;h424?6=,8l86;?7;o3e6?1<3`<;j7>5$0d0>37?3g;m>764;h43a?6=,8l86;?7;o3e6??<3`<=57>5;n43g?6=3`<9h7>5$0d0>34b3g;m>7>4;h41g?6=,8l86;<j;o3e6?7<3`<9n7>5$0d0>34b3g;m>7<4;h41e?6=,8l86;<j;o3e6?5<3`<957>5$0d0>34b3g;m>7:4;h41<?6=,8l86;<j;o3e6?3<3`<9;7>5$0d0>34b3g;m>784;h412?6=,8l86;<j;o3e6?1<3`<997>5$0d0>34b3g;m>764;h410?6=,8l86;<j;o3e6??<3f?>i7>5;n75f?6=,8l8688l;o3e6?6<3f?=m7>5$0d0>00d3g;m>7?4;n75=?6=,8l8688l;o3e6?4<3f?=47>5$0d0>00d3g;m>7=4;n753?6=,8l8688l;o3e6?2<3f?=:7>5$0d0>00d3g;m>7;4;n751?6=,8l8688l;o3e6?0<3f?=87>5$0d0>00d3g;m>794;n757?6=,8l8688l;o3e6?><3f?=>7>5$0d0>00d3g;m>774;n41b?6=3`<3m7>5;h40=?6=,8l86;=n;o3e6?6<3`<847>5$0d0>35f3g;m>7?4;h403?6=,8l86;=n;o3e6?4<3`<8:7>5$0d0>35f3g;m>7=4;h401?6=,8l86;=n;o3e6?2<3`<887>5$0d0>35f3g;m>7;4;h407?6=,8l86;=n;o3e6?0<3`<8>7>5$0d0>35f3g;m>794;h405?6=,8l86;=n;o3e6?><3`<8<7>5$0d0>35f3g;m>774;n433?6=3f<;=7>5;h446?6=3`?=<7>5;h470?6=,8l86;::;o3e6?6<3`<??7>5$0d0>3223g;m>7?4;h476?6=,8l86;::;o3e6?4<3`<?=7>5$0d0>3223g;m>7=4;h474?6=,8l86;::;o3e6?2<3`<8j7>5$0d0>3223g;m>7;4;h40a?6=,8l86;::;o3e6?0<3`<8h7>5$0d0>3223g;m>794;h40g?6=,8l86;::;o3e6?><3`<8n7>5$0d0>3223g;m>774;h47b?6=,8l86;;?;o3e6?6<3`<?i7>5$0d0>3373g;m>7?4;h47`?6=,8l86;;?;o3e6?4<3`<?o7>5$0d0>3373g;m>7=4;h47f?6=,8l86;;?;o3e6?2<3`<?m7>5$0d0>3373g;m>7;4;h47=?6=,8l86;;?;o3e6?0<3`<?47>5$0d0>3373g;m>794;h473?6=,8l86;;?;o3e6?><3`<?:7>5$0d0>3373g;m>774;n76`?6=3`<;m7>5;h755?6=3`<3n7>5;n45g?6=3f<<=7>5;h45f?6=3f?o87>5$0d0>0`03g;m>7>4;n7g7?6=,8l868h8;o3e6?7<3f?o>7>5$0d0>0`03g;m>7<4;n7g5?6=,8l868h8;o3e6?5<3f?o<7>5$0d0>0`03g;m>7:4;n7`b?6=,8l868h8;o3e6?3<3f?hh7>5$0d0>0`03g;m>784;n7`g?6=,8l868h8;o3e6?1<3f?hn7>5$0d0>0`03g;m>764;n7`e?6=,8l868h8;o3e6??<3f?h57>5$0d0>0`03g;m>7o4;n7`<?6=,8l868h8;o3e6?d<3f?h;7>5$0d0>0`03g;m>7m4;n7`2?6=,8l868h8;o3e6?b<3f?h97>5$0d0>0`03g;m>7k4;n7`0?6=,8l868h8;o3e6?`<3f?h>7>5$0d0>0`03g;m>7??;:m6g4<72-;m?7;i7:l2b7<6921d9n>50;&2b6<2n>1e=k<51398k0da290/=k=55g58j4`528907b;me;29 4`42<l<7c?i2;37?>i2jm0;6)?i3;7e3>h6n;0:965`5ca94?"6n:0>j:5a1g0953=<g<hi6=4+1g191c1<f8l96<94;n7ae?6=,8l868h8;o3e6?7?32e>n44?:%3e7?3a?2d:j?4>9:9l1ag=83.:j>4:f69m5c4=9h10c8j6:18'5c5==o=0b<h=:0`8?j3c03:1(<h<:4d4?k7a:3;h76a:d683>!7a;3?m;6`>f382`>=h=m<1<7*>f286b2=i9o81=h54o4f6>5<#9o919k94n0d1>4`<3f?hi7>5$0d0>0`03g;m>7<?;:m6g6<72-;m?7;i7:l2b7<5921d9o650;&2b6<2n>1e=k<52398k0d0290/=k=55g58j4`52;907d;7b;29 4`42<kn7c?i2;28?l3?i3:1(<h<:4cf?k7a:3;07d;79;29 4`42<kn7c?i2;08?l3?03:1(<h<:4cf?k7a:3907d;77;29 4`42<kn7c?i2;68?l3?>3:1(<h<:4cf?k7a:3?07d;74;29 4`42<kn7c?i2;48?l3?;3:1(<h<:4cf?k7a:3=07d;72;29 4`42<kn7c?i2;:8?l3?93:1(<h<:4cf?k7a:3307d;70;29 4`42<kn7c?i2;c8?l30n3:1(<h<:4cf?k7a:3h07d;8e;29 4`42<kn7c?i2;a8?l30l3:1(<h<:4cf?k7a:3n07d;8c;29 4`42<kn7c?i2;g8?l30j3:1(<h<:4cf?k7a:3l07d;89;29 4`42<kn7c?i2;33?>o2?10;6)?i3;7ba>h6n;0:=65f56594?"6n:0>mh5a1g0957=<a<==6=4+1g191dc<f8l96<=4;h741?6=,8l868oj;o3e6?7332c>;94?:%3e7?3fm2d:j?4>5:9j125=83.:j>4:ad9m5c4=9?10e89=:18'5c5==ho0b<h=:058?l3093:1(<h<:4cf?k7a:3;376g:7183>!7a;3?ji6`>f382=>=n=0;1<7*>f286e`=i9o81=l54i4;3>5<#9o919lk4n0d1>4d<3`?3j7>5$0d0>0gb3g;m>7?l;:k6<`<72-;m?7;ne:l2b7<6l21b95j50;&2b6<2il1e=k<51d98m0>d290/=k=55`g8j4`528l07d;75;29 4`42<kn7c?i2;03?>o2?h0;6)?i3;7ba>h6n;09=65f57d94?"6n:0>mh5a1g0967=<a<<n6=4+1g191dc<f8l96?=4;h76b?6=3f<>87>5$0d0>33b3g;m>7>4;n46g?6=,8l86;;j;o3e6?7<3f<>n7>5$0d0>33b3g;m>7<4;n46e?6=,8l86;;j;o3e6?5<3f<>57>5$0d0>33b3g;m>7:4;n46<?6=,8l86;;j;o3e6?3<3f<>;7>5$0d0>33b3g;m>784;n462?6=,8l86;;j;o3e6?1<3f<>97>5$0d0>33b3g;m>764;n467?6=,8l86;;j;o3e6??<3f<>>7>5$0d0>33b3g;m>7o4;n441?6=,8l86;9i;o3e6?6<3f<<h7>5$0d0>31a3g;m>7?4;n44g?6=,8l86;9i;o3e6?4<3f<<n7>5$0d0>31a3g;m>7=4;n44e?6=,8l86;9i;o3e6?2<3f<<57>5$0d0>31a3g;m>7;4;n44<?6=,8l86;9i;o3e6?0<3f<<;7>5$0d0>31a3g;m>794;n442?6=,8l86;9i;o3e6?><3f<<87>5$0d0>31a3g;m>774;n447?6=,8l86;9i;o3e6?g<3f<;h7>5;h43<?6=3f?=h7>5;h465?6=3f<=j7>5;c70f?6=93:1<v*=088264=O=:k0D8<7;n314?6=3th>?n4?:083>5}#:9319?74H41b?M3502e:j=4?::a7=3=83>1<7>t$32:>47f3A?8m6F:299'567=i2c=:7>5;h52>5<<a8l>6=44o0d5>5<<uk9m?7>54;294~"5800:=l5G52c8L04?3-;8=7o4i7494?=n?80;66g>f483>>i6n?0;66sm3g094?2=83:p(?>6:03b?M34i2B>>55+1239e>o1>3:17d9>:188m4`22900c<h9:188yg37m3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th>=h4?:283>5}#:931=<94H41b?M3502B=>6*>7d814==#9:;1=6g96;29?l162900c<h9:188yg35;3:1?7>50z&14<<69>1C9>o4H40;?M053-;<i7<?8:&274<63`<=6=44i6394?=h9o<1<75rb432>5<3290;w)<?9;32e>N2;h1C9?64H708 41b2;:37)?<1;c8m30=831b;<4?::k2b0<722e:j;4?::a14b=8391<7>t$32:>4703A?8m6F:299K27=#9>o1>=64$012>4=n>?0;66g81;29?j7a>3:17pl:2383>6<729q/>=751058L05f3A?946F92:&23`<5811/=>?51:k52?6=3`=:6=44o0d5>5<<uk?:<7>54;294~"5800:=l5G52c8L04?3-;<i7<?8:J56>"6;80j7d89:188m27=831b=k;50;9l5c0=831vn8?8:187>5<7s-8;57?>a:J67d=O=;20(<=>:`9j23<722c<=7>5;h3e1?6=3f;m:7>5;|`653<72=0;6=u+21;954g<@<9j7E;=8:&274<f3`<=6=44i6394?=n9o?1<75`1g494?=zj<;>6=4;:183!4713;:m6F:3`9K17><,89:6l5f6783>>o093:17d?i5;29?j7a>3:17pl:1b83>0<729q/>=7510`8L05f3A?946F92:&23`<5811b:;4?::k5<?6=3`=:6=44i0d6>5<<g8l=6=44}c72f?6=<3:1<v*=08825d=O=:k0D8<7;%305?g<a?<1<75f7083>>o6n<0;66a>f783>>{e=8k1<7:50;2x 76>28;j7E;<a:J66==#9:;1m6g96;29?l162900e<h::188k4`12900qo;?f;290?6=8r.9<44>1`9K16g<@<837E8=;%34a?4702.:?<4n;h45>5<<a>;1<75f1g794?=h9o<1<75rb5f`>5<2290;w)<?9;32g>N2;h1C9?64$012>4=n>?0;66g98;29?l162900e<h8:188k4`12900qo:kb;291?6=8r.9<44>1b9K16g<@<837)?<1;38m30=831b:54?::k45?6=3`;m;7>5;n3e2?6=3th?hl4?:483>5}#:931=<m4H41b?M3502.:?<4>;h45>5<<a?21<75f7083>>o6n>0;66a>f783>>{e<m31<7;50;2x 76>28;h7E;<a:J66==#9:;1=6g96;29?l0?2900e:?50;9j5c1=831d=k850;9~f1b?290>6=4?{%03=?76k2B>?l5G53:8 456281b:;4?::k5<?6=3`=:6=44i0d4>5<<g8l=6=44}c6g3?6==3:1<v*=08825f=O=:k0D8<7;%305?7<a?<1<75f6983>>o093:17d?i7;29?j7a>3:17pl;d483>0<729q/>=7510a8L05f3A?946*>3082?l012900e;650;9j34<722c:j:4?::m2b3<722wi8i:50;794?6|,;:26<?l;I70e>N2:11/=>?51:k52?6=3`<36=44i6394?=n9o=1<75`1g494?=zj=n86=4::183!4713;:o6F:3`9K17><,89:6<5f6783>>o103:17d9>:188m4`02900c<h9:188yg2c:3:197>50z&14<<69j1C9>o4H40;?!7493;0e;850;9j2=<722c<=7>5;h3e3?6=3f;m:7>5;|`7`4<72<0;6=u+21;954e<@<9j7E;=8:&274<63`<=6=44i7:94?=n?80;66g>f683>>i6n?0;66sm4e294?3=83:p(?>6:03`?M34i2B>>55+12395>o1>3:17d87:188m27=831b=k950;9l5c0=831vn9mi:186>5<7s-8;57?>c:J67d=O=;20(<=>:09j23<722c=47>5;h52>5<<a8l<6=44o0d5>5<<uk>hi7>55;294~"5800:=n5G52c8L04?3-;8=7?4i7494?=n>10;66g81;29?l7a?3:17b?i6;29?xd3km0;684?:1y'65?=98i0D8=n;I71<>"6;80:7d89:188m3>=831b;<4?::k2b2<722e:j;4?::a0fe=83?1<7>t$32:>47d3A?8m6F:299'567=92c=:7>5;h4;>5<<a>;1<75f1g594?=h9o<1<75rb5ab>5<2290;w)<?9;32g>N2;h1C9?64$012>4=n>?0;66g98;29?l162900e<h8:188k4`12900qo:l9;291?6=8r.9<44>1b9K16g<@<837)?<1;38m30=831b:54?::k45?6=3`;m;7>5;n3e2?6=3th?o54?:483>5}#:931=<m4H41b?M3502.:?<4>;h45>5<<a?21<75f7083>>o6n>0;66a>f783>>{e<j=1<7;50;2x 76>28;h7E;<a:J66==#9:;1=6g96;29?l0?2900e:?50;9j5c1=831d=k850;9~f1e1290>6=4?{%03=?76k2B>?l5G53:8 456281b:;4?::k5<?6=3`=:6=44i0d4>5<<g8l=6=44}c6`1?6==3:1<v*=08825f=O=:k0D8<7;%305?7<a?<1<75f6983>>o093:17d?i7;29?j7a>3:17pl;c583>0<729q/>=7510a8L05f3A?946*>3082?l012900e;650;9j34<722c:j:4?::m2b3<722wi8n=50;794?6|,;:26<?l;I70e>N2:11/=>?51:k52?6=3`<36=44i6394?=n9o=1<75`1g494?=zj=i96=4::183!4713;:o6F:3`9K17><,89:6<5f6783>>o103:17d9>:188m4`02900c<h9:188yg2d93:197>50z&14<<69j1C9>o4H40;?!7493;0e;850;9j2=<722c<=7>5;h3e3?6=3f;m:7>5;|`7a7<72<0;6=u+21;954e<@<9j7E;=8:&274<63`<=6=44i7:94?=n?80;66g>f683>>i6n?0;66sm4d394?3=83:p(?>6:03`?M34i2B>>55+12395>o1>3:17d87:188m27=831b=k950;9l5c0=831vn9k?:186>5<7s-8;57?>c:J67d=O=;20(<=>:09j23<722c=47>5;h52>5<<a8l<6=44o0d5>5<<uk>oj7>55;294~"5800:=n5G52c8L04?3-;8=7?4i7494?=n>10;66g81;29?l7a?3:17b?i6;29?xd3ll0;684?:1y'65?=98i0D8=n;I71<>"6;80:7d89:188m3>=831b;<4?::k2b2<722e:j;4?::a0ab=83?1<7>t$32:>47d3A?8m6F:299'567=92c=:7>5;h4;>5<<a>;1<75f1g594?=h9o<1<75rb5f5>5<2290;w)<?9;32g>N2;h1C9?64$012>4=n>?0;66g98;29?l162900e<h8:188k4`12900qo:lb;291?6=8r.9<44>1b9K16g<@<837)?<1;38m30=831b:54?::k45?6=3`;m;7>5;n3e2?6=3th?o=4?:483>5}#:931=<m4H41b?M3502.:?<4>;h45>5<<a?21<75f7083>>o6n>0;66a>f783>>{e<kl1<7;50;2x 76>28;h7E;<a:J66==#9:;1=6g96;29?l0?2900e:?50;9j5c1=831d=k850;9~f6b6290?6=4?{%03=?70n2B>?l5G53:8m3g=831b;84?::k145<722e:ih4?::a7a6=83>1<7>t$32:>41a3A?8m6F:299j2d<722c<97>5;h034?6=3f;ni7>5;|`0gc<72=0;6=u+21;952`<@<9j7E;=8:k5e?6=3`=>6=44i323>5<<g8on6=44}c1`a?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb2ag>5<3290;w)<?9;34b>N2;h1C9?64i7c94?=n?<0;66g=0183>>i6ml0;66sm3d694?2=83:p(?>6:05e?M34i2B>>55f6`83>>o0=3:17d<?0;29?j7bm3:17pl<e283>1<729q/>=7516d8L05f3A?946g9a;29?l122900e?>?:188k4cb2900qo=j2;290?6=8r.9<44>7g9K16g<@<837d8n:188m23=831b>=>50;9l5`c=831vn>k>:187>5<7s-8;57?8f:J67d=O=;20e;o50;9j30<722c9<=4?::m2a`<722wi?h>50;694?6|,;:26<9i;I70e>N2:11b:l4?::k41?6=3`8;<7>5;n3fa?6=3th8:>4?:583>5}#:931=:h4H41b?M3502c=m7>5;h56>5<<a;:;6=44o0gf>5<<uk9=>7>54;294~"5800:;k5G52c8L04?3`<j6=44i6794?=n:9:1<75`1dg94?=zj:<:6=4;:183!4713;<j6F:3`9K17><a?k1<75f7483>>o5890;66a>ed83>>{e;?:1<7:50;2x 76>28=m7E;<a:J66==n>h0;66g85;29?l4783:17b?je;29?xd4=o0;694?:1y'65?=9>l0D8=n;I71<>o1i3:17d9::188m7672900c<kj:188yg50>3:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f612290?6=4?{%03=?70n2B>?l5G53:8m3g=831b;84?::k145<722e:ih4?::a722=83>1<7>t$32:>41a3A?8m6F:299j2d<722c<97>5;h034?6=3f;ni7>5;|`036<72=0;6=u+21;952`<@<9j7E;=8:k5e?6=3`=>6=44i323>5<<g8on6=44}c146?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb202>5<4290;w)<?9;70=>N2;h1C9?64i7c94?=n9><1<75`1dg94?=zj:;<6=4<:183!4713;<56F:3`9K17><,89:6>l4i000>5<<a88?6=44o0gf>5<<uk99<7>53;294~"5800>?45G52c8L04?3`<j6=44i055>5<<g8on6=44}c13`?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb235>5<4290;w)<?9;34=>N2;h1C9?64$012>6d<a8886=44i007>5<<g8on6=44}c12b?6=;3:1<v*=08867<=O=:k0D8<7;h4b>5<<a8==6=44o0gf>5<<uk9;o7>54;294~"5800:;k5G52c8L04?3`<j6=44i6794?=n:9:1<75`1dg94?=zj:;>6=4<:183!4713;<56F:3`9K17><,89:6>l4i000>5<<a88?6=44o0gf>5<<uk9:i7>53;294~"5800>?45G52c8L04?3`<j6=44i055>5<<g8on6=44}c13f?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb237>5<4290;w)<?9;34=>N2;h1C9?64$012>6d<a8886=44i007>5<<g8on6=44}c12`?6=;3:1<v*=08867<=O=:k0D8<7;h4b>5<<a8==6=44o0gf>5<<uk9;m7>54;294~"5800:;k5G52c8L04?3`<j6=44i6794?=n:9:1<75`1dg94?=zj:;86=4<:183!4713;<56F:3`9K17><,89:6>l4i000>5<<a88?6=44o0gf>5<<uk9:o7>53;294~"5800>?45G52c8L04?3`<j6=44i055>5<<g8on6=44}c13=?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb231>5<4290;w)<?9;34=>N2;h1C9?64$012>6d<a8886=44i007>5<<g8on6=44}c12f?6=;3:1<v*=08867<=O=:k0D8<7;h4b>5<<a8==6=44o0gf>5<<uk9;47>54;294~"5800:;k5G52c8L04?3`<j6=44i6794?=n:9:1<75`1dg94?=zj:;:6=4<:183!4713;<56F:3`9K17><,89:6>l4i000>5<<a88?6=44o0gf>5<<uk9:m7>53;294~"5800>?45G52c8L04?3`<j6=44i055>5<<g8on6=44}c133?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb233>5<4290;w)<?9;34=>N2;h1C9?64$012>6d<a8886=44i007>5<<g8on6=44}c12=?6=;3:1<v*=08867<=O=:k0D8<7;h4b>5<<a8==6=44o0gf>5<<uk9;:7>54;294~"5800:;k5G52c8L04?3`<j6=44i6794?=n:9:1<75`1dg94?=zj::m6=4<:183!4713;<56F:3`9K17><,89:6>l4i000>5<<a88?6=44o0gf>5<<uk9:47>53;294~"5800>?45G52c8L04?3`<j6=44i055>5<<g8on6=44}c131?6=<3:1<v*=08823c=O=:k0D8<7;h4b>5<<a>?1<75f21294?=h9lo1<75rb22f>5<4290;w)<?9;34=>N2;h1C9?64$012>6d<a8886=44i007>5<<g8on6=44}c171?6=<3:1<v*=08825==O=:k0D8<7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd4<m0;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi?9m50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb26a>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg53i3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th8844?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm35:94?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f620290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk9?:7>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl<4583>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a715=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj:i<6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f6e1290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb2a6>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn>m;:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj:i86=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f6e5290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb2a2>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn>m?:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj:hm6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f6db290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb2`0>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn>l=:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj:h:6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f6d7290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb2ce>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn>oj:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj:ko6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f6gd290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb2ca>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn>on:186>5<7s-8;57?>b:J67d=O=;20(<=>:`9j23<722c=47>5;h52>5<<a8l>6=44o0d5>5<<uk9>87>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl<5083>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a70g=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj:?36=4;:183!4713;:m6F:3`9K17><,89:6l5f6783>>o093:17d?i5;29?j7a>3:17pl<5683>1<729q/>=7510c8L05f3A?946*>308b?l012900e:?50;9j5c3=831d=k850;9~f14029086=4?{%03=?3412B>?l5G53:8m3g=831b=:850;9l5`c=831vn9?k:180>5<7s-8;57?89:J67d=O=;20(<=>:2`8m4442900e<<;:188k4cb2900qo:=6;297?6=8r.9<44:389K16g<@<837d8n:188m4112900c<kj:188yg26;3:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f17d29086=4?{%03=?7012B>?l5G53:8 4562:h0e<<<:188m4432900c<kj:188yg25=3:1?7>50z&14<<2;01C9>o4H40;?l0f2900e<99:188k4cb2900qo:>2;290?6=8r.9<44>7g9K16g<@<837d8n:188m23=831b>=>50;9l5`c=831vn9?m:180>5<7s-8;57?89:J67d=O=;20(<=>:2`8m4442900e<<;:188k4cb2900qo:=4;297?6=8r.9<44:389K16g<@<837d8n:188m4112900c<kj:188yg2693:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f17f29086=4?{%03=?7012B>?l5G53:8 4562:h0e<<<:188m4432900c<kj:188yg25;3:1?7>50z&14<<2;01C9>o4H40;?l0f2900e<99:188k4cb2900qo:>0;290?6=8r.9<44>7g9K16g<@<837d8n:188m23=831b>=>50;9l5`c=831vn9?6:180>5<7s-8;57?89:J67d=O=;20(<=>:2`8m4442900e<<;:188k4cb2900qo:=2;297?6=8r.9<44:389K16g<@<837d8n:188m4112900c<kj:188yg27n3:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f17?29086=4?{%03=?7012B>?l5G53:8 4562:h0e<<<:188m4432900c<kj:188yg2593:1?7>50z&14<<2;01C9>o4H40;?l0f2900e<99:188k4cb2900qo:?e;290?6=8r.9<44>7g9K16g<@<837d8n:188m23=831b>=>50;9l5`c=831vn9?8:180>5<7s-8;57?89:J67d=O=;20(<=>:2`8m4442900e<<;:188k4cb2900qo:=0;297?6=8r.9<44:389K16g<@<837d8n:188m4112900c<kj:188yg27l3:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f17129086=4?{%03=?7012B>?l5G53:8 4562:h0e<<<:188m4432900c<kj:188yg26n3:1?7>50z&14<<2;01C9>o4H40;?l0f2900e<99:188k4cb2900qo:?c;290?6=8r.9<44>7g9K16g<@<837d8n:188m23=831b>=>50;9l5`c=831vn9?::180>5<7s-8;57?89:J67d=O=;20(<=>:2`8m4442900e<<;:188k4cb2900qo:>e;297?6=8r.9<44:389K16g<@<837d8n:188m4112900c<kj:188yg27j3:187>50z&14<<6?o1C9>o4H40;?l0f2900e:;50;9j656=831d=hk50;9~f17329086=4?{%03=?7012B>?l5G53:8 4562:h0e<<<:188m4432900c<kj:188yg24n3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th?8:4?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm45494?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f122290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk>?87>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl;4283>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a014=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj=>:6=4;:183!4713;:46F:3`9K17><,89:6<5f6783>>o1l3:17d9>:188k4`12900qo:;0;290?6=8r.9<44>199K16g<@<837)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`77`<72=0;6=u+21;954><@<9j7E;=8:&274<63`<=6=44i7f94?=n?80;66a>f783>>{e<:n1<7:50;2x 76>28;37E;<a:J66==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn97n:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj=326=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f1??290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb5;4>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn979:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj=3>6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f1?3290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb5;0>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn97=:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj=3:6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f1>1290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb5:6>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn96;:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj=286=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f1>5290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb5:2>5<2290;w)<?9;32=>N2;h1C9?64$012>4=n>?0;66g98;29?l0c2900e:?50;9l5c0=831vn96?:186>5<7s-8;57?>9:J67d=O=;20(<=>:09j23<722c=47>5;h4g>5<<a>;1<75`1g494?=zj==m6=4::183!4713;:56F:3`9K17><,89:6<5f6783>>o103:17d8k:188m27=831d=k850;9~f11b290>6=4?{%03=?7612B>?l5G53:8 456281b:;4?::k5<?6=3`<o6=44i6394?=h9o<1<75rb55g>5<2290;w)<?9;32f>N2;h1C9?64$012>d=n>?0;66g98;29?l162900e<h::188k4`12900qo:82;291?6=8r.9<44>189K16g<@<837)?<1;38m30=831b:54?::k5`?6=3`=:6=44o0d5>5<<uk><=7>55;294~"5800:=45G52c8L04?3-;8=7?4i7494?=n>10;66g9d;29?l162900c<h9:188yg2083:197>50z&14<<6901C9>o4H40;?!7493;0e;850;9j2=<722c=h7>5;h52>5<<g8l=6=44}c65b?6==3:1<v*=08825<=O=:k0D8<7;%305?7<a?<1<75f6983>>o1l3:17d9>:188k4`12900qo:9e;291?6=8r.9<44>189K16g<@<837)?<1;38m30=831b:54?::k5`?6=3`=:6=44o0d5>5<<uk>=h7>55;294~"5800:=45G52c8L04?3-;8=7?4i7494?=n>10;66g9d;29?l162900c<h9:188yg21k3:197>50z&14<<6901C9>o4H40;?!7493;0e;850;9j2=<722c=h7>5;h52>5<<g8l=6=44}c65f?6==3:1<v*=08825<=O=:k0D8<7;%305?7<a?<1<75f6983>>o1l3:17d9>:188k4`12900qo:9a;291?6=8r.9<44>1c9K16g<@<837)?<1;c8m30=831b:54?::k45?6=3`;m97>5;n3e2?6=3th?:44?:483>5}#:931=<74H41b?M3502.:?<4>;h45>5<<a?21<75f6e83>>o093:17b?i6;29?xd5i?0;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi>l;50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb3c7>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg4f;3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th9m?4?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm2`394?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f7g7290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk82j7>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=9d83>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a6<b=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj;>:6=4;:183!4713;:46F:3`9K17><,89:6<5f6783>>o1l3:17d9>:188k4`12900qo<;0;290?6=8r.9<44>199K16g<@<837)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`17c<72=0;6=u+21;954><@<9j7E;=8:&274<63`<=6=44i7f94?=n?80;66a>f783>>{e::o1<7:50;2x 76>28;37E;<a:J66==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn?=k:187>5<7s-8;57?>8:J67d=O=;20(<=>:09j23<722c=h7>5;h52>5<<g8l=6=44}c00g?6=<3:1<v*=08825==O=:k0D8<7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5;k0;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi>>o50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb31:>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg4403:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th9j:4?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm2g494?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f7`2290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk8m87>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=f283>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a6c4=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj;l:6=4;:183!4713;:46F:3`9K17><,89:6<5f6783>>o1l3:17d9>:188k4`12900qo<i0;290?6=8r.9<44>199K16g<@<837)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`1ac<72=0;6=u+21;954><@<9j7E;=8:&274<63`<=6=44i7f94?=n?80;66a>f783>>{e:lo1<7:50;2x 76>28;37E;<a:J66==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn?7=:187>5<7s-8;57?>8:J67d=O=;20(<=>:09j23<722c=h7>5;h52>5<<g8l=6=44}c0:5?6=<3:1<v*=08825==O=:k0D8<7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5190;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi>5h50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb3:f>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg4?l3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th94n4?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm29`94?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f7>f290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk8357>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=cd83>1<729q/>=7510:8L05f3A?946F92:&23`<5811/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb3ag>5<3290;w)<?9;32<>N2;h1C9?64H708 41b2;:37)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`1gf<72=0;6=u+21;954><@<9j7E;=8:J56>"6?l09<55+12395>o1>3:17d8k:188m27=831d=k850;9~f7ee290?6=4?{%03=?7602B>?l5G53:8L34<,8=n6?>7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5kh0;694?:1y'65?=9820D8=n;I71<>N1:2.:;h4=099'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj;i26=4;:183!4713;:46F:3`9K17><@?80(<9j:32;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th9o54?:583>5}#:931=<64H41b?M3502B=>6*>7d814==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn?m8:187>5<7s-8;57?>8:J67d=O=;20D;<4$05f>76?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=c783>1<729q/>=7510:8L05f3A?946F92:&23`<5811/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb3a6>5<3290;w)<?9;32<>N2;h1C9?64H708 41b2;:37)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`12<<72=0;6=u+21;954><@<9j7E;=8:J56>"6?l09<55+12395>o1>3:17d8k:188m27=831d=k850;9~f70?290?6=4?{%03=?7602B>?l5G53:8L34<,8=n6?>7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5>>0;694?:1y'65?=9820D8=n;I71<>N1:2.:;h4=099'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj;<=6=4;:183!4713;:46F:3`9K17><@?80(<9j:32;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th9:84?:583>5}#:931=<64H41b?M3502B=>6*>7d814==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn?8;:187>5<7s-8;57?>8:J67d=O=;20D;<4$05f>76?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=6283>1<729q/>=7510:8L05f3A?946F92:&23`<5811/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb341>5<3290;w)<?9;32<>N2;h1C9?64H708 41b2;:37)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`124<72=0;6=u+21;954><@<9j7E;=8:J56>"6?l09<55+12395>o1>3:17d8k:188m27=831d=k850;9~f707290?6=4?{%03=?7602B>?l5G53:8L34<,8=n6?>7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5=<0;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi>8:50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb370>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg42:3:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th99<4?:583>5}#:931=<64H41b?M3502.:?<4>;h45>5<<a?n1<75f7083>>i6n?0;66sm24294?2=83:p(?>6:03;?M34i2B>>55+12395>o1>3:17d8k:188m27=831d=k850;9~f72a290?6=4?{%03=?7602B>?l5G53:8 456281b:;4?::k5`?6=3`=:6=44o0d5>5<<uk8?i7>54;294~"5800:=55G52c8L04?3-;8=7?4i7494?=n>m0;66g81;29?j7a>3:17pl=4e83>1<729q/>=7510:8L05f3A?946*>3082?l012900e;j50;9j34<722e:j;4?::a61e=83>1<7>t$32:>47?3A?8m6F:299'567=92c=:7>5;h4g>5<<a>;1<75`1g494?=zj;hj6=4;:183!4713;:46F:3`9K17><,89:6<5f6783>>o1l3:17d9>:188k4`12900qo<m9;290?6=8r.9<44>199K16g<@<837)?<1;38m30=831b:i4?::k45?6=3f;m:7>5;|`1f=<72=0;6=u+21;954><@<9j7E;=8:&274<63`<=6=44i7f94?=n?80;66a>f783>>{e:k=1<7:50;2x 76>28;37E;<a:J66==#9:;1=6g96;29?l0c2900e:?50;9l5c0=831vn?l9:187>5<7s-8;57?>8:J67d=O=;20(<=>:09j23<722c=h7>5;h52>5<<g8l=6=44}c0a1?6=<3:1<v*=08825==O=:k0D8<7;%305?7<a?<1<75f6e83>>o093:17b?i6;29?xd5j=0;694?:1y'65?=9820D8=n;I71<>"6;80:7d89:188m3b=831b;<4?::m2b3<722wi>o=50;694?6|,;:26<?7;I70e>N2:11/=>?51:k52?6=3`<o6=44i6394?=h9o<1<75rb3`1>5<3290;w)<?9;32<>N2;h1C9?64$012>4=n>?0;66g9d;29?l162900c<h9:188yg4e93:187>50z&14<<6911C9>o4H40;?!7493;0e;850;9j2a<722c<=7>5;n3e2?6=3th?854?:283>5}#:931=:74H41b?M3502.:?<4>7:&2b1<2<01b=?=50;9j572=831d=hk50;9~f6e?290=6=4?{%03=?70k2B>?l5G53:8 4562;=0(<h;:46:?l75;3:17d?=4;29?l75=3:17d?=6;29?l75?3:17b?je;29?xd2:90;6>4?:1y'65?=9>30D8=n;I71<>"6;80:;6g>2283>>o6:=0;66a>ed83>>{e=;<1<7=50;2x 76>28=27E;<a:J66==#9:;1=:5+1g6911d<a8886=44i007>5<<g8on6=44}c1gf?6==3:1<v*=08823g=O=:k0D8<7;%305?5d3`;9?7>5;h310?6=3`;997>5;h312?6=3f;ni7>5;|`0`<<72<0;6=u+21;952d<@<9j7E;=8:&274<4k2c:>>4?::k261<722c:>84?::k263<722e:ih4?::a7a1=83?1<7>t$32:>41e3A?8m6F:299'567=;j1b=?=50;9j572=831b=?;50;9j570=831d=hk50;9~f6b2290>6=4?{%03=?70j2B>?l5G53:8 4562:i0e<<<:188m4432900e<<::188m4412900c<kj:188yg5c;3:197>50z&14<<6?k1C9>o4H40;?!74939h7d?=3;29?l75<3:17d?=5;29?l75>3:17b?je;29?xd4ml0;684?:1y'65?=9>h0D8=n;I71<>"6;808o6g>2283>>o6:=0;66g>2483>>o6:?0;66a>ed83>>{e;li1<7;50;2x 76>28=i7E;<a:J66==#9:;1?n5f13194?=n9;>1<75f13794?=n9;<1<75`1dg94?=zj:oj6=4::183!4713;<n6F:3`9K17><,89:6>m4i000>5<<a88?6=44i006>5<<a88=6=44o0gf>5<<uk9n47>55;294~"5800:;o5G52c8L04?3-;8=7=l;h317?6=3`;987>5;h311?6=3`;9:7>5;n3fa?6=3th8i;4?:483>5}#:931=:l4H41b?M3502.:?<4<c:k266<722c:>94?::k260<722c:>;4?::m2a`<722wi?;j50;794?6|,;:26<9m;I70e>N2:11/=>?53b9j575=831b=?:50;9j573=831b=?850;9l5`c=831vn>8m:186>5<7s-8;57?8b:J67d=O=;20(<=>:2a8m4442900e<<;:188m4422900e<<9:188k4cb2900qo=99;291?6=8r.9<44>7c9K16g<@<837)?<1;1`?l75;3:17d?=4;29?l75=3:17d?=6;29?j7bm3:17pl<6683>0<729q/>=7516`8L05f3A?946*>3080g>o6::0;66g>2583>>o6:<0;66g>2783>>i6ml0;66sm37794?3=83:p(?>6:05a?M34i2B>>55+12397f=n9;91<75f13694?=n9;?1<75f13494?=h9lo1<75rb2:3>5<2290;w)<?9;34f>N2;h1C9?64$012>6e<a8886=44i007>5<<a88>6=44i005>5<<g8on6=44}c14a?6==3:1<v*=08823g=O=:k0D8<7;%305?5d3`;9?7>5;h310?6=3`;997>5;h312?6=3f;ni7>5;|`03f<72<0;6=u+21;952d<@<9j7E;=8:&274<4k2c:>>4?::k261<722c:>84?::k263<722e:ih4?::a72g=83?1<7>t$32:>41e3A?8m6F:299'567=;01b=?=50;9j572=831b=?;50;9j570=831d=hk50;9~f61?290>6=4?{%03=?70j2B>?l5G53:8 4562:20e<<<:188m4432900e<<::188m4412900c<kj:188yg55<3:197>50z&14<<6?k1C9>o4H40;?!74939=7d?=3;29?l75<3:17d?=5;29?l75>3:17b?je;29?xd4:j0;6:4?:1y'65?=9>n0D8=n;I71<>"6;8037d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b?je;29?xd4;;0;6:4?:1y'65?=9>n0D8=n;I71<>"6;8037d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b?je;29?xd4880;694?:1y'65?=9>k0D8=n;I71<>"6;80?<6*>f58602=n9;91<75f13694?=n9;?1<75`1dg94?=zj::86=4::183!4713;<n6F:3`9K17><,89:6;5+1g6911b<a8886=44i007>5<<a88>6=44i005>5<<g8on6=44}c130?6=>3:1<v*=08823f=O=:k0D8<7;%305?1<,8l?68:k;h317?6=3`;987>5;h311?6=3`;9:7>5;h313?6=3f;ni7>5;|`047<72=0;6=u+21;952g<@<9j7E;=8:&274<23-;m87;;7:k266<722c:>94?::k260<722e:ih4?::a7<7=83<1<7>t$32:>41d3A?8m6F:299'567=98l0e<<<:188m4432900e<<::188m4412900e<<8:188k4cb2900qo=77;292?6=8r.9<44>7b9K16g<@<837)?<1;68m4442900e<<;:188m4422900e<<9:188m4402900c<kj:188yg5?n3:1:7>50z&14<<6?j1C9>o4H40;?!7493>:7d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?j7bm3:17pl<8883>6<729q/>=7516;8L05f3A?946*>3081<>"6n=0>885f13194?=n9;>1<75`1dg94?=zj:2j6=4;:183!4713;<m6F:3`9K17><,89:6?j4$0d7>0223`;9?7>5;h310?6=3`;997>5;n3fa?6=3th84o4?:583>5}#:931=:o4H41b?M3502.:?<4<2:k266<722c:>94?::k260<722e:ih4?::a7<4=83?1<7>t$32:>41e3A?8m6F:299'567=98:0e<<<:188m4432900e<<::188m4412900c<kj:188yg5?>3:1:7>50z&14<<6?j1C9>o4H40;?!7493;:=6g>2283>>o6:=0;66g>2483>>o6:?0;66g>2683>>i6ml0;66sm34294?2=83:p(?>6:05b?M34i2B>>55+123926=#9o>199:4i000>5<<a88?6=44i006>5<<g8on6=44}c162?6=<3:1<v*=08823d=O=:k0D8<7;%305?073-;m87;;4:k266<722c:>94?::k260<722e:ih4?::a71`=83?1<7>t$32:>41e3A?8m6F:299'567=;h1/=k:55518m4442900e<<;:188m4422900e<<9:188k4cb2900qo=:3;291?6=8r.9<44>7c9K16g<@<837)?<1;;8 4`32<>87d?=3;29?l75<3:17d?=5;29?l75>3:17b?je;29?xd4<l0;684?:1y'65?=9>h0D8=n;I71<>"6;809:6*>f58613=n9;91<75f13694?=n9;?1<75f13494?=h9lo1<75rb527>5<0290;w)<?9;34`>N2;h1C9?64$012>66<a8886=44i007>5<<a88>6=44i005>5<<a88<6=44i00;>5<<g8on6=44}c637?6=>3:1<v*=08823f=O=:k0D8<7;%305?543`;9?7>5;h310?6=3`;997>5;h312?6=3`;9;7>5;n3fa?6=3th?<?4?:483>5}#:931=:l4H41b?M3502.:?<4=e:&2b1<2=01b=?=50;9j572=831b=?;50;9j570=831d=hk50;9~f166290?6=4?{%03=?70i2B>?l5G53:8 4562;n0(<h;:47:?l75;3:17d?=4;29?l75=3:17b?je;29?xd3890;6>4?:1y'65?=9>30D8=n;I71<>"6;80946g>2283>>o6:=0;66a>ed83>>{e<<k1<7:50;2x 76>28=j7E;<a:J66==#9:;1??5+1g6910d<a8886=44i007>5<<a88>6=44o0gf>5<<uk>=>7>56;294~"5800:;n5G52c8L04?3-;8=7:>;h317?6=3`;987>5;h311?6=3`;9:7>5;h313?6=3f;ni7>5;|`721<72>0;6=u+21;952b<@<9j7E;=8:&274<1?2c:>>4?::k261<722c:>84?::k263<722c:>:4?::k26=<722e:ih4?::a01d=83>1<7>t$32:>41f3A?8m6F:299'567=:m1/=k:554`8m4442900e<<;:188m4422900c<kj:188yg23i3:1?7>50z&14<<6?01C9>o4H40;?!7493837d?=3;29?l75<3:17b?je;29?xd5?<0;6>4?:1y'65?=9>30D8=n;I71<>"6;80946*>f58603=n9;91<75f13694?=h9lo1<75rb354>5<3290;w)<?9;34e>N2;h1C9?64$012>6b<,8l?68:9;h317?6=3`;987>5;h311?6=3f;ni7>5;|`1a7<72:0;6=u+21;952?<@<9j7E;=8:&274<502c:>>4?::k261<722e:ih4?::a6`2=83>1<7>t$32:>41f3A?8m6F:299'567=;m1/=k:554c8m4442900e<<;:188m4422900c<kj:188yg46j3:1?7>50z&14<<6?01C9>o4H40;?!7493837)?i4;764>o6::0;66g>2583>>i6ml0;66sm20c94?5=83:p(?>6:05:?M34i2B>>55+12396==#9o>198>4i000>5<<a88?6=44o0gf>5<<uk8:57>53;294~"5800:;45G52c8L04?3-;8=7<7;h317?6=3`;987>5;n3fa?6=3th9=54?:283>5}#:931=:74H41b?M3502.:?<4=8:&2b1<2==1b=?=50;9j572=831d=hk50;9~f77029086=4?{%03=?7012B>?l5G53:8 4562;20(<h;:477?l75;3:17d?=4;29?j7bm3:17pl=1783>6<729q/>=7516;8L05f3A?946*>3081<>"6n=0>9>5f13194?=n9;>1<75`1dg94?=zj;;>6=4<:183!4713;<56F:3`9K17><,89:6?64$0d7>0343`;9?7>5;h310?6=3f;ni7>5;|`157<72:0;6=u+21;952?<@<9j7E;=8:&274<502.:j94:4g9j575=831b=?:50;9l5`c=831vn??;:180>5<7s-8;57?89:J67d=O=;20(<=>:3:8 4`32<>n7d?=3;29?l75<3:17b?je;29?xd59:0;6>4?:1y'65?=9>30D8=n;I71<>"6;80946*>f5860`=n9;91<75f13694?=h9lo1<75rb332>5<4290;w)<?9;34=>N2;h1C9?64$012>7><,8l?68:i;h317?6=3`;987>5;n3fa?6=3th9==4?:283>5}#:931=:74H41b?M3502.:?<4=8:k266<722c:>94?::m2a`<722wi>=h50;194?6|,;:26<96;I70e>N2:11/=>?5299'5c2==<80e<<<:188m4432900c<kj:188yg47m3:1?7>50z&14<<6?01C9>o4H40;?!7493837)?i4;766>o6::0;66g>2583>>i6ml0;66sm21f94?5=83:p(?>6:05:?M34i2B>>55+12396==#9o>198?4i000>5<<a88?6=44o0gf>5<<uk8;o7>53;294~"5800:;45G52c8L04?3-;8=7<7;%3e0?3292c:>>4?::k261<722e:ih4?::a65d=8391<7>t$32:>41>3A?8m6F:299'567=:11/=k:555a8m4442900e<<;:188k4cb2900qo<?a;297?6=8r.9<44>789K16g<@<837)?<1;0;?!7a<3??o6g>2283>>o6:=0;66a>ed83>>{e:>21<7;50;2x 76>28=i7E;<a:J66==#9:;1>l5+1g6910><a8886=44i007>5<<a88>6=44i005>5<<g8on6=44}c04e?6=>3:1<v*=08823f=O=:k0D8<7;%305?5b3-;m87;:c:k266<722c:>94?::k260<722c:>;4?::k262<722e:ih4?::a62e=83=1<7>t$32:>41c3A?8m6F:299'567=:j1b=?=50;9j572=831b=?;50;9j570=831b=?950;9j57>=831d=hk50;9~f7c2290>6=4?{%03=?70j2B>?l5G53:8 4562;k0(<h;:474?l75;3:17d?=4;29?l75=3:17d?=6;29?j7bm3:17pl=e683>3<729q/>=7516a8L05f3A?946*>3080a>"6n=0>9l5f13194?=n9;>1<75f13794?=n9;<1<75f13594?=h9lo1<75rb3g:>5<0290;w)<?9;34`>N2;h1C9?64$012>7e<a8886=44i007>5<<a88>6=44i005>5<<a88<6=44i00;>5<<g8on6=44}c100?6=;3:1<v*=08823<=O=:k0D8<7;%305?533`;9?7>5;h310?6=3f;ni7>5;|`076<72>0;6=u+21;952b<@<9j7E;=8:&274<602c:>>4?::k261<722c:>84?::k263<722c:>:4?::k26=<722e:ih4?::a03>=83>1<7>t$32:>41f3A?8m6F:299'567=;<1/=k:555:8m4442900e<<;:188m4422900c<kj:188yg21?3:1;7>50z&14<<6?m1C9>o4H40;?!7493<<7d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b?je;29?xd3>?0;6>4?:1y'65?=9>30D8=n;I71<>"6;80886*>f5860==n9;91<75f13694?=h9lo1<75rb546>5<0290;w)<?9;34`>N2;h1C9?64$012>31<a8886=44i007>5<<a88>6=44i005>5<<a88<6=44i00;>5<<g8on6=44}c0;3?6=>3:1<v*=08823f=O=:k0D8<7;%305?4e3-;m87;:8:k266<722c:>94?::k260<722c:>;4?::k262<722e:ih4?::a6=0=83=1<7>t$32:>41c3A?8m6F:299'567=;o1b=?=50;9j572=831b=?;50;9j570=831b=?950;9j57>=831d=hk50;9~f7ba290=6=4?{%03=?70k2B>?l5G53:8 4562;h0(<h;:474?l75;3:17d?=4;29?l75=3:17d?=6;29?l75?3:17b?je;29?xd5ll0;6:4?:1y'65?=9>n0D8=n;I71<>"6;808j6g>2283>>o6:=0;66g>2483>>o6:?0;66g>2683>>o6:10;66a>ed83>>{e:>l1<7=50;2x 76>28=27E;<a:J66==#9:;1>55f13194?=n9;>1<75`1dg94?=zj;=n6=48:183!4713;<h6F:3`9K17><,89:6?m4i000>5<<a88?6=44i006>5<<a88=6=44i004>5<<a8836=44o0gf>5<<uk83>7>54;294~"5800:;l5G52c8L04?3-;8=7<6;%3e0?32k2c:>>4?::k261<722c:>84?::m2a`<722wi>5?50;594?6|,;:26<9k;I70e>N2:11/=>?53g9j575=831b=?:50;9j573=831b=?850;9j571=831b=?650;9l5`c=831vn?6;:184>5<7s-8;57?8d:J67d=O=;20(<=>:3a8m4442900e<<;:188m4422900e<<9:188m4402900e<<7:188k4cb2900qo<jc;297?6=8r.9<44>789K16g<@<837)?<1;0;?!7a<3??m6g>2283>>o6:=0;66a>ed83>>{e:lh1<7950;2x 76>28=o7E;<a:J66==#9:;1>n5f13194?=n9;>1<75f13794?=n9;<1<75f13594?=n9;21<75`1dg94?=zj;nj6=4;:183!4713;<m6F:3`9K17><,89:6?74$0d7>02f3`;9?7>5;h310?6=3`;997>5;n3fa?6=3th9h44?:683>5}#:931=:j4H41b?M3502.:?<4<f:k266<722c:>94?::k260<722c:>;4?::k262<722c:>54?::m2a`<722wi>im50;594?6|,;:26<9k;I70e>N2:11/=>?52b9j575=831b=?:50;9j573=831b=?850;9j571=831b=?650;9l5`c=831vn8<;:187>5<7s-8;57?8a:J67d=O=;20(<=>:608 4`32<>i7d?=3;29?l75<3:17d?=5;29?j7bm3:17pl:2083>1<729q/>=7510c8L05f3A?946F92:&23`<5811b:;4?::k45?6=3`;m97>5;n3e2?6=3th8>h4?:683>5}#:931=:j4H41b?M3502.:?<4>9:k266<722c:>94?::k260<722c:>;4?::k262<722c:>54?::m2a`<722wi?>850;594?6|,;:26<9k;I70e>N2:11/=>?5369j575=831b=?:50;9j573=831b=?850;9j571=831b=?650;9l5`c=831vn>=::184>5<7s-8;57?8d:J67d=O=;20(<=>:99j575=831b=?:50;9j573=831b=?850;9j571=831b=?650;9l5`c=831vn><9:184>5<7s-8;57?8d:J67d=O=;20(<=>:258m4442900e<<;:188m4422900e<<9:188m4402900e<<7:188k4cb2900qo==3;293?6=8r.9<44>7e9K16g<@<837)?<1;14?l75;3:17d?=4;29?l75=3:17d?=6;29?l75?3:17d?=8;29?j7bm3:17pl<2483>1<729q/>=7516c8L05f3A?946*>30801>o6::0;66g>2583>>o6:<0;66a>ed83>>{e;::1<7950;2x 76>28=o7E;<a:J66==#9:;146g>2283>>o6:=0;66g>2483>>o6:?0;66g>2683>>o6:10;66a>ed83>>{e;191<7950;2x 76>28=o7E;<a:J66==#9:;1=<j4i000>5<<a88?6=44i006>5<<a88=6=44i004>5<<a8836=44o0gf>5<<uk>;:7>55;294~"5800:;o5G52c8L04?3-;8=7=9;h317?6=3`;987>5;h311?6=3`;9:7>5;n3fa?6=3th?<l4?:683>5}#:931=:j4H41b?M3502.:?<4<0:k266<722c:>94?::k260<722c:>;4?::k262<722c:>54?::m2a`<722wi?k850;594?6|,;:26<9k;I70e>N2:11/=>?5369j575=831b=?:50;9j573=831b=?850;9j571=831b=?650;9l5`c=831vn9>8:187>5<7s-8;57?8a:J67d=O=;20(<=>:278m4442900e<<;:188m4422900c<kj:188yg2713:1;7>50z&14<<6?m1C9>o4H40;?!74939;7d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b?je;29?xd3810;6>4?:1y'65?=9>30D8=n;I71<>"6;80886g>2283>>o6:=0;66a>ed83>>{e<9?1<7950;2x 76>28=o7E;<a:J66==#9:;1?=5f13194?=n9;>1<75f13794?=n9;<1<75f13594?=n9;21<75`1dg94?=zj:3;6=48:183!4713;<h6F:3`9K17><,89:6;94i000>5<<a88?6=44i006>5<<a88=6=44i004>5<<a8836=44o0gf>5<<uk93o7>55;294~"5800:;o5G52c8L04?3-;8=7<j;%3e0?33:2c:>>4?::k261<722c:>84?::k263<722e:ih4?::a00d=83?1<7>t$32:>41e3A?8m6F:299'567=:l1/=k:55478m4442900e<<;:188m4422900e<<9:188k4cb2900qo;?a;292?6=8r.9<44>7b9K16g<@<837)?<1;32a>"6n=0>9;5f13194?=n9;>1<75f13794?=n9;<1<75f13594?=h9lo1<75rb2:g>5<1290;w)<?9;34g>N2;h1C9?64$012>7`<,8l?68:=;h317?6=3`;987>5;h311?6=3`;9:7>5;h313?6=3f;ni7>5;|`71`<72?0;6=u+21;952e<@<9j7E;=8:&274<5n2.:j94:549j575=831b=?:50;9j573=831b=?850;9j571=831d=hk50;9~f6>b290<6=4?{%03=?70l2B>?l5G53:8 4562::0e<<<:188m4432900e<<::188m4412900e<<8:188m44?2900c<kj:188yg2183:1;7>50z&14<<6?m1C9>o4H40;?!74939;7d?=3;29?l75<3:17d?=5;29?l75>3:17d?=7;29?l7503:17b?je;29?xd4010;6?4?:1y'65?=9>?0D8=n;I71<>o6:;0;66a>ed83>>{e;ol1<7<50;2x 76>28=>7E;<a:J66==n9;81<75`1dg94?=zj=>26=4=:183!4713;<96F:3`9K17><a8896=44o0gf>5<<uk?;47>5bb83>5}#:931=k64H41b?M3502P=97mt21816?7d28?1=;4>b;07>77=:<0:h7<<:0c9yk142880b::5139ma6<73g;;97>4$037>4713-;:97<?8:&140<53-;957=4$00b>6=#9;h1?6*>2b80?!75l390(<<j:29'57`=;2.:?=4<;%306?5<,8986>5+12697>"6;<087)?<6;18 4502:1/=>653:&27<<43-;8m7=4$01a>6=#9:i1?6*>3e80?!74m390(<=i:29'516=;2.:8<4<;%376?5<,8>86>5+15697>"6<<087)?;6;18 4202:1/=9653:&20<<43-;?m7=4$06a>6=#9=i1?6*>4e80?!73m390(<:i:29'506=;2.:9<4<;%366?5<,8?86>5+14697>"6=<087)?:6;18 4302:1/=8653:&21<<43-;>m7=4$07a>6=#9<i1?6*>5e80?!72m390(<;i:29'536=;2.::<4<;%356?5<,8<86>5+17697>"6><087)?96;18 4002:1/=;653:&22<<43-;=m7=4$04a>6=#9?i1?6*>6e80?!71m390(<8i:29'526=;2.:;<4<;%340?7bl2.:ji4=069'5cc=>11/=kh5699'657=>81/>=<52168 4152;1/=:=52:&673<2;<1/9>955278 7612;1b=k750;9j2g<722c:=>4?::k2bf<722c=o7>5;h3ee?6=3`;mn7>5;h326?6=3`hh6=4+1g19fg=i9o81<65fb`83>!7a;3hi7c?i2;38?ld>290/=k=5bc9m5c4=:21bn54?:%3e7?de3g;m>7=4;h71b?6=,8l868<j;o3e6?6<3`?9h7>5$0d0>04b3g;m>7?4;h71g?6=,8l868<j;o3e6?4<3`?9n7>5$0d0>04b3g;m>7=4;nfa>5<#9o91hl5a1g094>=hl00;6)?i3;fb?k7a:3;07bj8:18'5c5=lh1e=k<52:9l`3<72-;m?7jn;o3e6?5<3fn>6=4+1g19`d=i9o81865`d583>!7a;3nj7c?i2;78?jb4290/=k=5d`9m5c4=>21dh?4?:%3e7?bf3g;m>794;nf2>5<#9o91hl5a1g09<>=hl90;6)?i3;fb?k7a:3307bmi:18'5c5=lh1e=k<5a:9lg`<72-;m?7jn;o3e6?d<3fih6=4+1g19`d=i9o81o65`cc83>!7a;3nj7c?i2;f8?jef290/=k=5d`9m5c4=m21do44?:%3e7?bf3g;m>7h4;na;>5<#9o91hl5a1g0955=<gj=1<7*>f28ge>h6n;0:=65`c783>!7a;3nj7c?i2;31?>id=3:1(<h<:ec8j4`528907bm;:18'5c5=lh1e=k<51598kf5=83.:j>4ka:l2b7<6=21di?4?:%3e7?bf3g;m>7?9;:mf5?6=,8l86io4n0d1>41<3fo;6=4+1g19`d=i9o81=554oed94?"6n:0om6`>f382=>=hll0;6)?i3;fb?k7a:3;j76akd;29 4`42mk0b<h=:0`8?jbd290/=k=5d`9m5c4=9j10ci650;&2b6<ci2d:j?4>d:9lga<72-;m?7jn;o3e6?7b32eh>7>5$0d0>ag<f8l96<h4;h64>5<#9o918;5a1g094>=n<<0;6)?i3;65?k7a:3;07d:;:18'5c5=<?1e=k<52:9j06<72-;m?7:9;o3e6?5<3`?;6=4+1g1903=i9o81865f4g83>!7a;3>=7c?i2;78?l2b290/=k=5479m5c4=>21b8i4?:%3e7?213g;m>794;h6`>5<#9o918;5a1g09<>=n<k0;6)?i3;65?k7a:3307d:n:18'5c5=<?1e=k<5a:9j0<<72-;m?7:9;o3e6?d<3`>36=4+1g1903=i9o81o65f4383>!7a;3>=7c?i2;f8?l31290/=k=5549m5c4=821b994?:%3e7?323g;m>7?4;h70>5<#9o91985a1g096>=n=;0;6)?i3;76?k7a:3907d;i:18'5c5==<1e=k<54:9j1`<72-;m?7;:;o3e6?3<3`?o6=4+1g1910=i9o81:65f5b83>!7a;3?>7c?i2;58?l3e290/=k=5549m5c4=021b9l4?:%3e7?323g;m>774;h7:>5<#9o91985a1g09e>=n=10;6)?i3;76?k7a:3h07d;8:18'5c5==<1e=k<5c:9j14<72-;m?7;:;o3e6?b<3`h;6=4+1g19ec=i9o81<65fad83>!7a;3km7c?i2;38?lgd290/=k=5ag9m5c4=:21bmo4?:%3e7?ga3g;m>7=4;hcb>5<#9o91mk5a1g090>=ni00;6)?i3;ce?k7a:3?07do7:18'5c5=io1e=k<56:9je2<72-;m?7oi;o3e6?1<3`k=6=4+1g19ec=i9o81465fa483>!7a;3km7c?i2;;8?lg3290/=k=5ag9m5c4=i21bm>4?:%3e7?ga3g;m>7l4;hc2>5<#9o91mk5a1g09g>=ni90;6)?i3;ce?k7a:3n07d7i:18'5c5=io1e=k<5e:9j=`<72-;m?7oi;o3e6?`<3`3o6=4+1g19ec=i9o81==54i8a94?"6n:0jj6`>f3825>=n1k0;6)?i3;ce?k7a:3;976g6a;29 4`42hl0b<h=:018?l?>290/=k=5ag9m5c4=9=10e4650;&2b6<fn2d:j?4>5:9jf2<72-;m?7oi;o3e6?7132ci:7>5$0d0>d`<f8l96<94;h`6>5<#9o91mk5a1g095==<ak>1<7*>f28bb>h6n;0:565fb283>!7a;3km7c?i2;3b?>oe:3:1(<h<:`d8j4`528h07dl>:18'5c5=io1e=k<51b98mdb=83.:j>4nf:l2b7<6l21bm?4?:%3e7?ga3g;m>7?j;:k:3?6=,8l86lh4n0d1>4`<3f;;m7>5$0d0>46>3g;m>7>4;n33<?6=,8l86<>6;o3e6?7<3f;;;7>5$0d0>46>3g;m>7<4;n332?6=,8l86<>6;o3e6?5<3`i:6=4+1g19g5=i9o81<65fbg83>!7a;3i;7c?i2;38?ldb290/=k=5c19m5c4=:21bni4?:%3e7?e73g;m>7=4;n33b?6=,8l86<>j;o3e6?6<3f;;h7>5$0d0>46b3g;m>7?4;n33g?6=,8l86<>j;o3e6?4<3f;;n7>5$0d0>46b3g;m>7=4;ndg>5<#9o91jn5a1g094>=hnk0;6)?i3;d`?k7a:3;07bh6:18'5c5=nj1e=k<52:9lb=<72-;m?7hl;o3e6?5<3fl<6=4+1g19bf=i9o81865`f783>!7a;3lh7c?i2;78?j`2290/=k=5fb9m5c4=>21dj94?:%3e7?`d3g;m>794;nd0>5<#9o91jn5a1g09<>=hn;0;6)?i3;d`?k7a:3307bh>:18'5c5=nj1e=k<5a:9lb5<72-;m?7hl;o3e6?d<3fon6=4+1g19bf=i9o81o65`ee83>!7a;3lh7c?i2;f8?jcd290/=k=5fb9m5c4=m21dio4?:%3e7?`d3g;m>7h4;ngb>5<#9o91jn5a1g0955=<gl31<7*>f28eg>h6n;0:=65`e983>!7a;3lh7c?i2;31?>ib?3:1(<h<:ga8j4`528907bk9:18'5c5=nj1e=k<51598k`3=83.:j>4ic:l2b7<6=21d==:50;&2b6<ak2d:j?4>6:9l555=83.:j>4ic:l2b7<6?21d==<50;&2b6<ak2d:j?4>8:9l557=83.:j>4ic:l2b7<6121d==>50;&2b6<ak2d:j?4>a:9lbc<72-;m?7hl;o3e6?7e32emi7>5$0d0>ce<f8l96<m4;ndb>5<#9o91jn5a1g095a=<gll1<7*>f28eg>h6n;0:i65`e583>!7a;3lh7c?i2;3e?>o2;=0;6)?i3;707>h6n;0;76g:3383>!7a;3?8?6`>f382?>o2;80;6)?i3;707>h6n;0976g:3183>!7a;3?8?6`>f380?>o?n3:1(<h<:9g8j4`52910e5j50;&2b6<?m2d:j?4>;:k;f?6=,8l865k4n0d1>7=<a1k1<7*>f28;a>h6n;0876g79;29 4`421o0b<h=:598m=>=83.:j>47e:l2b7<232c3;7>5$0d0>=c<f8l96;54i9494?"6n:03i6`>f384?>o?=3:1(<h<:9g8j4`52110e5:50;&2b6<?m2d:j?46;:k;7?6=,8l865k4n0d1>d=<a181<7*>f28;a>h6n;0i76g70;29 4`421o0b<h=:b98m2`=83.:j>47e:l2b7<c32c<i7>5$0d0>=c<f8l96h54i6f94?"6n:03i6`>f38e?>o0k3:1(<h<:9g8j4`528:07d9m:18'5c5=0l1e=k<51098m2g=83.:j>47e:l2b7<6:21b;44?:%3e7?>b3g;m>7?<;:k4<?6=,8l865k4n0d1>42<3`=<6=4+1g19<`=i9o81=854i8494?"6n:03i6`>f3822>=n1<0;6)?i3;:f?k7a:3;<76g64;29 4`421o0b<h=:0:8?l?4290/=k=58d9m5c4=9010e4<50;&2b6<?m2d:j?4>a:9j=4<72-;m?76j;o3e6?7e32c2<7>5$0d0>=c<f8l96<m4;h:`>5<#9o914h5a1g095a=<a1;1<7*>f28;a>h6n;0:i65f7783>!7a;32n7c?i2;3e?>{e=9=1<7ll:183!4713;m46F:3`9K17><R??1ov<?:3095f<6=3;=6<l525815?4228n1>>4>a;m36<6:2d<87?=;og0>5=i99?1<6*>158253=#98?1>=64$326>7=#9;31?6*>2`80?!75j390(<<l:29'57b=;2.:>h4<;%31b?5<,89;6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k390(<=k:29'56c=;2.:?k4<;%374?5<,8>:6>5+15097>"6<:087)?;4;18 4222:1/=9853:&202<43-;?47=4$06:>6=#9=k1?6*>4c80?!73k390(<:k:29'51c=;2.:8k4<;%364?5<,8?:6>5+14097>"6=:087)?:4;18 4322:1/=8853:&212<43-;>47=4$07:>6=#9<k1?6*>5c80?!72k390(<;k:29'50c=;2.:9k4<;%354?5<,8<:6>5+17097>"6>:087)?94;18 4022:1/=;853:&222<43-;=47=4$04:>6=#9?k1?6*>6c80?!71k390(<8k:29'53c=;2.::k4<;%344?5<,8=:6>5+16695`b<,8lo6?>8;%3ea?0?3-;mj787;%035?063-8;>7<?4:&237<53-;<?7<4$415>0523-?8;7;<5:&143<53`;m57>5;h4a>5<<a8;86=44i0d`>5<<a?i1<75f1gc94?=n9oh1<75f10094?=njj0;6)?i3;`a?k7a:3:07dln:18'5c5=jk1e=k<51:9jf<<72-;m?7lm;o3e6?4<3`h36=4+1g19fg=i9o81?65f53d94?"6n:0>>h5a1g094>=n=;n1<7*>f2866`=i9o81=65f53a94?"6n:0>>h5a1g096>=n=;h1<7*>f2866`=i9o81?65`dc83>!7a;3nj7c?i2;28?jb>290/=k=5d`9m5c4=921dh:4?:%3e7?bf3g;m>7<4;nf5>5<#9o91hl5a1g097>=hl<0;6)?i3;fb?k7a:3>07bj;:18'5c5=lh1e=k<55:9l`6<72-;m?7jn;o3e6?0<3fn96=4+1g19`d=i9o81;65`d083>!7a;3nj7c?i2;:8?jb7290/=k=5d`9m5c4=121dok4?:%3e7?bf3g;m>7o4;naf>5<#9o91hl5a1g09f>=hkj0;6)?i3;fb?k7a:3i07bmm:18'5c5=lh1e=k<5d:9lgd<72-;m?7jn;o3e6?c<3fi26=4+1g19`d=i9o81j65`c983>!7a;3nj7c?i2;33?>id?3:1(<h<:ec8j4`528;07bm9:18'5c5=lh1e=k<51398kf3=83.:j>4ka:l2b7<6;21do94?:%3e7?bf3g;m>7?;;:m`7?6=,8l86io4n0d1>43<3fo96=4+1g19`d=i9o81=;54od394?"6n:0om6`>f3823>=hm90;6)?i3;fb?k7a:3;376akf;29 4`42mk0b<h=:0;8?jbb290/=k=5d`9m5c4=9h10cij50;&2b6<ci2d:j?4>b:9l`f<72-;m?7jn;o3e6?7d32eo47>5$0d0>ag<f8l96<j4;nag>5<#9o91hl5a1g095`=<gj81<7*>f28ge>h6n;0:j65f4683>!7a;3>=7c?i2;28?l22290/=k=5479m5c4=921b894?:%3e7?213g;m>7<4;h60>5<#9o918;5a1g097>=n=90;6)?i3;65?k7a:3>07d:i:18'5c5=<?1e=k<55:9j0`<72-;m?7:9;o3e6?0<3`>o6=4+1g1903=i9o81;65f4b83>!7a;3>=7c?i2;:8?l2e290/=k=5479m5c4=121b8l4?:%3e7?213g;m>7o4;h6:>5<#9o918;5a1g09f>=n<10;6)?i3;65?k7a:3i07d:=:18'5c5=<?1e=k<5d:9j13<72-;m?7;:;o3e6?6<3`??6=4+1g1910=i9o81=65f5283>!7a;3?>7c?i2;08?l35290/=k=5549m5c4=;21b9k4?:%3e7?323g;m>7:4;h7f>5<#9o91985a1g091>=n=m0;6)?i3;76?k7a:3<07d;l:18'5c5==<1e=k<57:9j1g<72-;m?7;:;o3e6?><3`?j6=4+1g1910=i9o81565f5883>!7a;3?>7c?i2;c8?l3?290/=k=5549m5c4=j21b9:4?:%3e7?323g;m>7m4;h72>5<#9o91985a1g09`>=nj90;6)?i3;ce?k7a:3:07doj:18'5c5=io1e=k<51:9jef<72-;m?7oi;o3e6?4<3`ki6=4+1g19ec=i9o81?65fa`83>!7a;3km7c?i2;68?lg>290/=k=5ag9m5c4==21bm54?:%3e7?ga3g;m>784;hc4>5<#9o91mk5a1g093>=ni?0;6)?i3;ce?k7a:3207do::18'5c5=io1e=k<59:9je1<72-;m?7oi;o3e6?g<3`k86=4+1g19ec=i9o81n65fa083>!7a;3km7c?i2;a8?lg7290/=k=5ag9m5c4=l21b5k4?:%3e7?ga3g;m>7k4;h;f>5<#9o91mk5a1g09b>=n1m0;6)?i3;ce?k7a:3;;76g6c;29 4`42hl0b<h=:038?l?e290/=k=5ag9m5c4=9;10e4o50;&2b6<fn2d:j?4>3:9j=<<72-;m?7oi;o3e6?7332c247>5$0d0>d`<f8l96<;4;h`4>5<#9o91mk5a1g0953=<ak<1<7*>f28bb>h6n;0:;65fb483>!7a;3km7c?i2;3;?>oe<3:1(<h<:`d8j4`528307dl<:18'5c5=io1e=k<51`98mg4=83.:j>4nf:l2b7<6j21bn<4?:%3e7?ga3g;m>7?l;:kb`?6=,8l86lh4n0d1>4b<3`k96=4+1g19ec=i9o81=h54i8594?"6n:0jj6`>f382b>=h99k1<7*>f2824<=i9o81<65`11:94?"6n:0:<45a1g095>=h99=1<7*>f2824<=i9o81>65`11494?"6n:0:<45a1g097>=nk80;6)?i3;a3?k7a:3:07dli:18'5c5=k91e=k<51:9jf`<72-;m?7m?;o3e6?4<3`ho6=4+1g19g5=i9o81?65`11d94?"6n:0:<h5a1g094>=h99n1<7*>f2824`=i9o81=65`11a94?"6n:0:<h5a1g096>=h99h1<7*>f2824`=i9o81?65`fe83>!7a;3lh7c?i2;28?j`e290/=k=5fb9m5c4=921dj44?:%3e7?`d3g;m>7<4;nd;>5<#9o91jn5a1g097>=hn>0;6)?i3;d`?k7a:3>07bh9:18'5c5=nj1e=k<55:9lb0<72-;m?7hl;o3e6?0<3fl?6=4+1g19bf=i9o81;65`f283>!7a;3lh7c?i2;:8?j`5290/=k=5fb9m5c4=121dj<4?:%3e7?`d3g;m>7o4;nd3>5<#9o91jn5a1g09f>=hml0;6)?i3;d`?k7a:3i07bkk:18'5c5=nj1e=k<5d:9laf<72-;m?7hl;o3e6?c<3foi6=4+1g19bf=i9o81j65`e`83>!7a;3lh7c?i2;33?>ib13:1(<h<:ga8j4`528;07bk7:18'5c5=nj1e=k<51398k`1=83.:j>4ic:l2b7<6;21di;4?:%3e7?`d3g;m>7?;;:mf1?6=,8l86km4n0d1>43<3f;;87>5$0d0>ce<f8l96<84;n337?6=,8l86km4n0d1>41<3f;;>7>5$0d0>ce<f8l96<64;n335?6=,8l86km4n0d1>4?<3f;;<7>5$0d0>ce<f8l96<o4;nde>5<#9o91jn5a1g095g=<goo1<7*>f28eg>h6n;0:o65`f`83>!7a;3lh7c?i2;3g?>ibn3:1(<h<:ga8j4`528o07bk;:18'5c5=nj1e=k<51g98m053290/=k=55218j4`52910e8==:18'5c5==:90b<h=:098m056290/=k=55218j4`52;10e8=?:18'5c5==:90b<h=:298m=`=83.:j>47e:l2b7<732c3h7>5$0d0>=c<f8l96<54i9`94?"6n:03i6`>f381?>o?i3:1(<h<:9g8j4`52:10e5750;&2b6<?m2d:j?4;;:k;<?6=,8l865k4n0d1>0=<a1=1<7*>f28;a>h6n;0=76g76;29 4`421o0b<h=:698m=3=83.:j>47e:l2b7<?32c387>5$0d0>=c<f8l96454i9194?"6n:03i6`>f38b?>o?:3:1(<h<:9g8j4`52k10e5>50;&2b6<?m2d:j?4l;:k4b?6=,8l865k4n0d1>a=<a>o1<7*>f28;a>h6n;0n76g8d;29 4`421o0b<h=:g98m2e=83.:j>47e:l2b7<6821b;o4?:%3e7?>b3g;m>7?>;:k4e?6=,8l865k4n0d1>44<3`=26=4+1g19<`=i9o81=>54i6:94?"6n:03i6`>f3820>=n?>0;6)?i3;:f?k7a:3;>76g66;29 4`421o0b<h=:048?l?2290/=k=58d9m5c4=9>10e4:50;&2b6<?m2d:j?4>8:9j=6<72-;m?76j;o3e6?7>32c2>7>5$0d0>=c<f8l96<o4;h;2>5<#9o914h5a1g095g=<a0:1<7*>f28;a>h6n;0:o65f8b83>!7a;32n7c?i2;3g?>o?93:1(<h<:9g8j4`528o07d99:18'5c5=0l1e=k<51g98yv3fn3:1>vP:8c9>15>=j01v8ok:181[3?i27><548c:p1de=838pR866;<73<?1e3ty>mo4?:3y]1=><5<:36:o4}r7be?6=:rT>4:5251:93<=z{<k26=4={_7;2>;2810<46s|5`594?4|V<2?70;?8;54?xu2i?0;6?uQ5918906?20<0q~;n5;296~X20;169=65949~w0g32909wS;71:?64=<e02wx9l=50;0xZ0>734?;477;;|q6e7<72;qU9:h4=42;><5<uz?j=7>52z\63`=:=9215?5rs4c3>5<5sW?<h63:098:5>{t=0l1<7<t^45`?837033;7p}:9d83>7}Y=>h018>7:9a8yv3>k3:1>vP:789>15>=081v87m:181[30027><5486:p1<g=838pR898;<733?d>3ty>544?:3y]120<5<:<6:m4}r7:<?6=:rT>;85251593g=z{<3<6=4={_740>;28>0<m6s|58494?4|V<=870;?7;5:?xu21<0;6?uQ560890602>20q~;64;296~X2?8169=95769~w0?42909wS;80:?642<>>2wx9o850;0xZ0?634?;;77:;|q6f0<72;qU94>4=424>g><uz?i87>52z\6<c=:=9=1595rs4`0>5<5sW?3i63:068:7>{t=k81<7<t^4:g?837?3397p}:b083>7}Y=1i018>8:838yv3e83:1>vP:849>151=191v8o7:181[30i27><:47c:p1<b=838pR88i;<733?>63ty>5?4?:3y]13c<5<:<6:84}r465?6=?hqU:8?4=2:6>30<5<;n6;84=43g>30<5<;<6;84=435>30<5<;>6;84=43`>30<5=nh6;84=5fa>30<5=nj6;84=5f:>30<5=n36;84=5f4>30<5=n>6;84=5f7>30<5=n86;84=5f1>30<5=n:6;84=5f3>30<5=im6;84=5af>30<5=io6;84=5a`>30<5=ij6;84=5a:>30<5=i36;84=5a4>30<5=i=6;84=5a6>30<5=i?6;84=5a0>30<5=i96;84=5a2>30<5=o96;84=5g2>30<5=o;6;84=5fe>30<5=nn6;84=5fg>30<5=n=6;84=5aa>30<5=i;6;84=5`e>30<5:>>6;84=26g>30<5:>h6;84=26a>30<5:>j6;84=26:>30<5:>36;84=264>30<5:>=6;84=267>30<5:>86;84=2a4>30<5:i=6;84=2a6>30<5:i?6;84=2a0>30<5:i96;84=2a2>30<5:i;6;84=2`e>30<5:hn6;84=2`0>30<5:h96;84=2`2>30<5:h;6;84=2ce>30<5:kn6;84=2cg>30<5:kh6;84=2ca>30<5:kj6;84=277>30<5:?:6;84=27b>30<5:?36;84=274>30<5;k=6;84=3c6>30<5;k?6;84=3c0>30<5;k96;84=3c2>30<5;k;6;84=3;e>30<5;3n6;84=3;g>30<5;l<6;84=3d5>30<5;l>6;84=3d7>30<5;l86;84=3d1>30<5;l:6;84=3d3>30<5;om6;84=3gf>30<5;in6;84=3ag>30<5;ih6;84=3aa>30<5;ij6;84=3a:>30<5;i36;84=3a4>30<5;i=6;84=3a6>30<5;?>6;84=377>30<5;?86;84=371>30<5;?:6;84=373>30<5;>m6;84=36f>30<5;>o6;84=36`>30<5<:36;m4=424>3e<uz<=57>58z\52<=:;<:1=?=4=275>442349?j7?=6:?016<6:<16?9k5134896>4288870;?a;312>{t>?h1<79t^74a?837m3<o70;>1;3e1>;2990:j85250a95c3<5<:m6<h:;<715?7a=2wx::<50;7e[00:278j>496:?0b7<1>27><h496:?666<1>27>=<496:?667<1>27>==496:?65g<1>27>=l496:?64c<1>27??k496:?702<1>27?8;496:?700<1>27?89496:?706<1>27?8?496:?704<1>27?8=496:?77`<1>27??i496:?7=d<1>27?54496:?7==<1>27?5:496:?7=3<1>27?58496:?7=1<1>27?5>496:?7=7<1>27?5<496:?7<3<1>27?48496:?7<1<1>27?4>496:?7<7<1>27?4<496:?7<5<1>27?;k496:?73`<1>27?;i496:?737<1>27?;<496:?735<1>27?:k496:?72`<1>27?:i496:?72f<1>27?:o496:?72d<1>27?:4496:?104<1>2798=496:?17c<1>279?h496:?17a<1>279?n496:?17g<1>279?l496:?17<<1>279?5496:?1=7<1>2795<496:?1=5<1>2794k496:?1<`<1>2794i496:?1<f<1>2794o496:?1<d<1>27944496:?12<<1>279:5496:?122<1>279:;496:?120<1>279:9496:?126<1>279:?496:?124<1>279:=496:?1fd<1>279n4496:?1f=<1>279n:496:?1f3<1>279n8496:?1f1<1>279n>496:?1f7<1>279n<496:?664<1>27><549b:?642<1j2wx:5o50;1xZ3>f34>?47?=3:?0g=<6:?1v88k:18af~X2>k1U9;o4^44:?[3102T>::5Q5748Z0023W?=86P:629]134<V<?o7S;:e:\54f=Y>9n0R;<i;_444>X1>o1U::?4^74`?[31l27>?o4>219>141=?8169<85709>143=?8169<m5709>14d=?8169<o5709>15`=?816?i?5749>7a6=?<16?nh5749>7fc=?<16?nj5749>7`2=?<16?h=5749>7`4=?<16?h?5749>7`6=?<16?;=5749>734=?<16?;?5749>736=?<16?8h5749>720=?<16?:;5749>722=?<16?:=5749>724=?<169?:51368906?28l270;?8;3ee>;2810:jo5251:9ff=:=921nl5251:90d=:=921845251:90==:=9218?5251:91<=:=921955251:912=:=9219<5251:9f5=:=921mh5251:9ef=:=921mo5251:9ed=:=921m45251:9e==:=921m:5251:9e3=:=921m85251:9e1=:=921m>5251:9e4=:=921m=5251:9=c=:=9215h5251:9=a=:=9215n5251:9=g=:=9215l5251:9=<=:=921555251:9f2=:=921n;5251:9f0=:=921n95251:9f6=:=921n?5251:9f4=:=921mi5251:9e7=:=9215:5251:9g4=:=921nk5251:9f`=:=921ni5251:9162<5<:368==;<73<?34927><54:319>15>=0o169=658e9>15>=0k169=658`9>15>=00169=65899>15>=0>169=65879>15>=0<169=65859>15>=0:169=65839>15>=09169=657g9>15>=?l169=657e9>151=9o3018>8:0db?837?3;mn63:068ag>;28>0im63:0687e>;28>0?563:0687<>;28>0?>63:0686=>;28>0>463:06863>;28>0>=63:068a4>;28>0ji63:068bg>;28>0jn63:068be>;28>0j563:068b<>;28>0j;63:068b2>;28>0j963:068b0>;28>0j?63:068b5>;28>0j<63:068:b>;28>02i63:068:`>;28>02o63:068:f>;28>02m63:068:=>;28>02463:068a3>;28>0i:63:068a1>;28>0i863:068a7>;28>0i>63:068a5>;28>0jh63:068b6>;28>02;63:068`5>;28>0ij63:068aa>;28>0ih63:068671=:=9=19><4=424>05634?;;7;<0:?642<?n27><:47d:?642<?j27><:47a:?642<?127><:478:?642<??27><:476:?642<?=27><:474:?642<?;27><:472:?642<?827><:48f:?642<0m27><:48d:p0g1=838pR8j;;<6gg?7a>2wx8o850;0xZ0b434>on7?i6:p0g3=838pR8j=;<6ge?7a>2wx8o:50;0xZ0b634>o57?i6:p0g5=838pR8j?;<6g<?7a>2wx8o<50;0xZ0ea34>o;7?i6:p0g6=838pR8mk;<6g1?7a>2wx8lh50;0xZ0ed34>o87?i6:p0dc=838pR8mm;<6g7?7a>2wx8lj50;0xZ0ef34>o>7?i6:p0de=838pR8m6;<6g5?7a>2wx8ll50;0xZ0e?34>o<7?i6:p0dg=838pR8m8;<6`b?7a>2wx8l750;0xZ0e134>hi7?i6:p0d>=838pR8m:;<6``?7a>2wx8l950;0xZ0e334>ho7?i6:p0d3=838pR8m=;<6`e?7a>2wx8l:50;0xZ0e634>h57?i6:p0d5=838pR8m?;<6`<?7a>2wx8l<50;0xZ0da34>h;7?i6:p0d7=838pR8lj;<6`2?7a>2wx8l>50;0xZ0dc34>h97?i6:p0<`=838pR8ll;<6`0?7a>2wx84k50;0xZ0de34>h?7?i6:p0<b=838pR8ln;<6`6?7a>2wx84m50;0xZ0d>34>h=7?i6:p0gc=838pR8jn;<6f6?7a>2wx8oj50;0xZ0b>34>n=7?i6:p0ge=838pR8j7;<6f4?7a>2wx8ol50;0xZ0b034>oj7?i6:p0gg=838pR8j9;<6ga?7a>2wx8o750;0xZ0b234>oh7?i6:p0g>=838pR8mj;<6g2?7a>2wx8o?50;0xZ0e434>hn7?i6:p0d0=838pR8l7;<6`4?7a>2wx84l50;0xZ0d034>ij7?i6:p76?=838pR;;;;<171?7a>2wx?9<50;0xZ33d349?h7?i6:p717=838pR;;m;<17g?7a>2wx?9>50;0xZ33f349?n7?i6:p76`=838pR;;6;<17e?7a>2wx?>k50;0xZ33?349?57?i6:p76b=838pR;;8;<17<?7a>2wx?>m50;0xZ331349?;7?i6:p76d=838pR;;:;<172?7a>2wx?>o50;0xZ334349?87?i6:p76>=838pR;;=;<177?7a>2wx8>=50;0xZ31234>8j7?i6:p06e=838pR;9k;<673?7a>2wx8>l50;0xZ31d34>?:7?i6:p06g=838pR;9m;<671?7a>2wx8>750;0xZ31f34>?87?i6:p06>=838pR;96;<677?7a>2wx8>950;0xZ31?34>?>7?i6:p060=838pR;98;<675?7a>2wx8>;50;0xZ31134>?<7?i6:p062=838pR;9;;<60a?7a>2wx8><50;0xZ31434>8h7?i6:p70>=838pR;>>;<16<?7a>2wx?k=50;0xZ360349m?7?i6:p5=4=833p18=l:0d3?85dl3<j70=j0;4b?852n3<j70=82;4b?85603<j70=?5;4b?826m3<j70:?b;4b?xu40;0;6?u2397934=:;191=hk4}r720?6=:?q6?5;51g78907028l=70=;5;4g?853l3<o70=;c;4g?853j3<o70=;a;4g?85313<o70=;8;4g?853?3<o70=;6;4g?853<3<o70=;3;4g?85d?3<o70=l6;4g?85d=3<o70=l4;4g?85d;3<o70=l2;4g?85d93<o70=l0;4g?85en3<o70=me;4g?85e;3<o70=m2;4g?85e93<o70=m0;4g?85fn3<o70=ne;4g?85fl3<o70=nc;4g?85fj3<o70=na;3e1>;4==0=h63<5085`>;4=h0=h63<5982b0=:;<=1=k;4}r1;1?6==r78484>f79>705=9;<01>:j:000?85?;3;9863:0`8266=z{:l;6=4<{<1e7?16349m>79>;<1`<?7bm2wx9<>50;6x96`428l>70=i2;3e1>;2980<=63:1182b3=z{:l96=4<{<1e6?7a>27?854>259>7f>=9;?0q~;>1;296~;28l0<=63:1082b3=z{<:n6=4={<73a?7a>278o54>229~w07c2908w0;>e;52?836l3;m:63:1b85<>{t=8o1<7<t=43f>4`134?9<7?=4:p174=839p18<<:638904528l=70;=4;317>{t=;91<7<t=400>4`134?9:7?=4:p14e=839p18?k:638907d28l=70;=0;317>{t=;;1<7:t=401>27<5<8=6<<<;<710?75=27>><4>f79~w06a2909w0;>0;52?837n3;m:6s|50d94?2|5<;<6<h:;<722?7a=27>=84>f49>176=9lo0q~;>3;296<}:=8<1=k84=3c5>3b<5;k>6;j4=3c7>3b<5;k86;j4=3c1>3b<5;k:6;j4=3c3>3b<5;3m6;j4=3;f>3b<5;3o6;j4=3d4>3b<5;l=6;j4=3d6>3b<5;l?6;j4=3d0>3b<5;l96;j4=3d2>3b<5;l;6;j4=3ge>3b<5;on6;j4=3af>3b<5;io6;j4=3a`>3b<5;ii6;j4=3ab>3b<5;i26;j4=3a;>3b<5;i<6;j4=3a5>3b<5;i>6;j4=376>3b<5;??6;j4=370>3b<5;?96;j4=372>3b<5;?;6;j4=36e>3b<5;>n6;j4=36g>3b<5;>h6;j4}r726?6=:1q69<;51g4891bd28l<70:kb;3e3>;3lh0:j:524e;95c1<5=n36<h8;<6g3?7a?27?h84>f69>0a2=9o=019j<:0d4?82c:3;m;63;d082b2=:<m:1=k94=5ae>4`034>hi7?i7:?7ga<6n>168nm51g5891ef28l<70:l9;3e3>;3k10:j:524b595c1<5=i=6<h8;<6`1?7a?27?o94>f69>0f5=9o=019m=:0d4?82d93;m;63;e382b2=:<l;1=k94=5g3>4`034>oj7?i7:?7``<6n>168ij51g5891b128l<70:lb;3e3>;3k90:j:524cd95c1<5<:j6<<8;<73<?7ak27><:4>fb9~w0422908w0;>b;3e1>;29h0:j85253495`c<uz?:57>52`y>14d=9o<019=i:7f891202?n019:9:7f891222?n019:;:7f891242?n019:=:7f891262?n019:?:7f8915b2?n019=k:7f891?f2?n01976:7f891??2?n01978:7f891?12?n0197::7f891?32?n0197<:7f891?52?n0197>:7f891>12?n0196::7f891>32?n0196<:7f891>52?n0196>:7f891>72?n0199i:7f8911b2?n0199k:0d6?820:3<o70:81;4g?82083<o70:9f;4g?821m3<o70:9d;4g?821k3<o70:9b;4g?821i3;m963;6885`>{t=821<7<6{<72e?7a>2798<49d:?105<1l279?k49d:?17`<1l279?i49d:?17f<1l279?o49d:?17d<1l279?449d:?17=<1l2795?49d:?1=4<1l2795=49d:?1<c<1l2794h49d:?1<a<1l2794n49d:?1<g<1l2794l49d:?1<<<1l279:449d:?12=<1l279::49d:?123<1l279:849d:?121<1l279:>49d:?127<1l279:<49d:?125<1l279nl49d:?1f<<1l279n549d:?1f2<1l279n;49d:?1f0<1l279n949d:?1f6<1l279n?49d:?1f4<1l2wx9=m50;0682ck3<370:kb;4;?82ci3<370:k9;4;?82c03<370:k7;4;?82c=3<370:k4;4;?82c;3<370:k2;4;?82c93<370:k0;4;?82dn3<370:le;4;?82dl3<370:lc;4;?82di3<370:l9;4;?82d03<370:l7;4;?82d>3<370:l5;4;?82d<3<370:l3;4;?82d:3<370:l1;4;?82b:3<370:j1;4;?82b83<370:kf;4;?82cm3<370:kd;4;?82c>3<370:lb;4;?82d83<370:mf;4;?85283;ni6s|4gd94?4|5=nh6:?4=42;>46d3ty?jh4?:3y>0ad=?8169=65e`9~w1`c2909w0:ka;52?83703o27p};fb83>7}:<m31;<5251:9a==z{=li6=4={<6g<?1634?;47k8;|q7bd<72;q68i95709>15>=m?1v9h7:18182c=3=:70;?8;g6?xu3n>0;6?u24e6934=:=921==:4}r6e2?6=:r7?h>481:?64=<68:1v9h::18182c:3=:70;?8;33f>{t<o>1<7<t=5f2>27<5<:36<>=;|q7b6<72;q68i>5709>15>=99;0q~:i2;296~;3ko0<=63:098245=z{=l:6=4={<6`a?1634?;47hi;|q7b5<72;q68nj5709>15>=nl1v9ki:18182dk3=:70;?8;db?xu3mm0;6?u24bc934=:=921ik5rs5g`>5<5s4>h579>;<73<?c33ty?io4?:3y>0f>=?8169=9511a8yv2bi3:1>v3;c6845>;28>0nm6s|4d;94?4|5=i=6:?4=424>`?<uz>n47>52z?7g0<0927><:4j8:p0`1=838p19m;:63890602l=0q~:j6;296~;3k:0<=63:068f2>{t<l?1<7<t=5a1>27<5<:<6h;4}r6f0?6=:r7?o<481:?642<68=1v8>9:18182b:3=:70;?7;337>{t=9?1<7<t=5g2>27<5<:<6<>m;|q641<72;q68h>5709>151=9980q~;?3;296~;3lo0<=63:068244=z{<:96=4={<6ga?1634?;;7??0:p157=838p19jk:63890602ol0q~;?0;296~;3l?0<=63:068ea>{t<o31<7<t=5aa>27<5<:<6ko4}r6fa?6=:r7?o=481:?642<bn2wx8h=50;0x91da2>;018>8:d68yv5dk3:1>v3<d085e>;4l90:ih5rs2fb>5<5s49o=7<?0:?0`g<6ml1v>ki:18185c93;ni63<c98262=z{:ii6=4={<1g4?0f349hj7?je:p7a>=838p1>j?:323?85c13;ni6s|3bc94?4|5:im6;o4=2af>4cb3ty8h;4?:3y>7f`=:9:01>j8:0gf?xu4k00;6?u23bg92d=:;jn1=hk4}r1g0?6=:r78oh4=019>7a3=9lo0q~=k2;296~;4km09<=523e195`c<uz9oj7>52z?0a1<1i278i>4>ed9~w6cc2909w0=j4;034>;4ml0:ih5rs2d2>5<5s49n87?je:?0g=<6:=1v>jj:18185b;3<j70=j2;3fa>{t;lh1<7<t=2g0>767349no7?je:p7ab=838p1>k=:7c896c628on7p}<e883>7}:;l81>=>4=2gb>4cb3ty8hn4?:3y>7`7=>h16?h>51dg8yv5b?3:1>v3<e08145=:;l21=hk4}r1f1?6=:r78i=4=019>7`0=9lo0q~=:e;296~;4>:0=m63<6382a`=z{:<h6=4={<157?478278:i4>ed9~w6>62909w0=93;3fa>;40:0:>:5rs27g>5<5s49=>78n;<155?7bm2wx?;o50;0x96052;:;70=9b;3fa>{t;<i1<7<t=242>3g<5:<;6<kj;|q02=<72;q6?;?52128960>28on7p}<5c83>7}:;?:1:l5234d95`c<uz9=:7>52z?025<58916?;951dg8yv51<3:1>v3<5g8145=:;??1=hk4}r145?6=:r78;;49a:?030<6ml1v>9i:181850>38;<63<8182a`=z{:2?6=4={<142?7bm2784>4>299~w6172909w0=85;4b?850<3;ni6s|36f94?4|5:=>6?>?;<14a?7bm2wx?;h50;0x96132?k01>9<:0gf?xu4?k0;6?u23669656<5:=h6<kj;|q02`<72;q6?:=56`9>724=9lo0q~=89;296~;4?:09<=5236c95`c<uz9<;7>52z?037<58916?:651dg8yv57l3:1>v3<2085e>;48m0:ih5rs234>5<5s499=7?86:?052<6ml1v>>?:18085593;ni63<348260=:;;91=?=4}r0:g?6=<r78=:4>229>6d0=9o<01>8k:007?85?83;986s|3cf94?1|5:;<6<<;;<1`3?7a>27998481:?02a<6::16><<51368906?2<<018>8:448yv57k3:1?v3<2185e>;48m0=m63<0b82a`=z{:;=6=4<{<114?70>278<i4=019>740=9lo0q~<if;290~;4:90:ih523219572<5:9>6<<9;<117?75<2wx>4l50;7x966c2>?01>?9:000?84f=3;m:63<6e8263=:;1:1=?84}r1ag?6=?r78=;4>259>7f0=9o<01>8k:006?846:3;9?63=108261=:=9219952515911=z{::i6=4<{<12b?0f349;o78n;<13f?7bm2wx?<;50;1x967a28==70=?c;034>;49<0:ih5rs3df>5<2s49:j7?je:?077<6:<16?>=513789652288<70==3;311>{t:0k1<7;t=22`>23<5:;>6<<<;<0b0?7a>278:o4>259>72c=9;>0q~=mb;293~;49<0:>9523b795c0<5:<i6<<<;<025?75;279==4>259>15>==:169=95529~w66f2908w0=>e;4b?857j3<j70=?a;3fa>{t;8>1<7=t=23f>411349;n7<?0:?051<6ml1v?hk:185856m3;ni63<338263=:;:91=?84=215>4443499?7?=6:?075<6:<1v?76:186857j3=>70=>4;317>;5i:0:j;5237`9570<5:=n6<<9;|q0fd<72>q6?<:5136896e328l=70=9b;311>;5990:>>5221d9572<5<:368<4=424>04<uz9;57>53z?05a<1i278<l49a:?04<<6ml1v>?<:180856l3;<:63<0`8145=:;891=hk4}r0eg?6=?r78=i4>ed9>764=9;=01>=<:004?855m3;9463<378261=:;;91=?94=213>4413ty9554?:4y>75g=?<16?<=5131897g528l=70=99;310>;4?j0:>95rs2`:>5<0s49:?7?=4:?0g6<6n?16?;751318976a288870<?e;310>;2810>j63:0686b>{t;921<7=t=23`>3g<5::26;o4=22;>4cb3ty8=?4?:2y>74e=9><01>>6:323?856:3;ni6s|2g`94?1|5:;h6<kj;<110?75;278>n4>249>77c=9;>01>=9:006?855>3;9963<318262=z{;3<6=4:{<13=?12349:>7?=3:?1e4<6n?16?;751348961d288=7p}<b983>2}:;881=?:4=2a1>4`1349=57?=5:?14`<6::16>=j51368906?2<o018>8:4g8yv57?3:1?v3<1c85e>;4810=m63<0682a`=z{:;:6=4<{<12f?70>278<54=019>747=9lo0q~<ia;29<~;49k0:ih523369570<5:8h6<<7;<130?75=278>h4>249>760=9;<01><9:005?855=3;9?6s|28494?3|5::36:;4=232>444348j<7?i6:?022<6:=16?:o51368yv5e?3:1;v3<108261=:;j;1=k84=244>444348;h7?=3:?14f<6:=169=655e9>151==m1v>>9:180856i3<j70=?7;4b?857>3;ni6s|30294?5|5:;j6<99;<133?478278==4>ed9~w7`>2902w0=>a;3fa>;4:=0:>85233a9571<5::86<<9;<130?75?278>h4>279>760=9;=01><9:004?855=3;986s|28794?3|5::<6:;4=233>4443482j7?i6:?022<6:?16?:o51318yv5e>3:1;v3<118261=:;j:1=k84=244>442348;o7?=3:?14g<6:=169=655b9>151==j1v>>::18085613<j70=?6;4b?857=3;ni6s|31d94?5|5:;26<99;<132?478278<k4>ed9~w7`?290jw0=>9;3fa>;4:=0:>95233a9570<5::86<<:;<130?75>278<?4>249>77c=9;=01>=9:00;?855>3;9463<248260=z{;3?6=4:{<132?12349;j7?=3:?1=`<6n?16?;;51368961?288?7p}<b483>2}:;9l1=?:4=2`e>4`1349=97?=3:?14g<6::16>=o51368906?2<h018>8:4`8yv57m3:1?v3<198233=:;9?1>=>4=22f>4cb3ty8?:4?:3y>74>=9lo01>>>:006?xu51:0;68u2317930=:;9o1=?=4=3;g>4`1349=97?=6:?03=<6::1v>l;:185857m3;9863<bd82b3=:;??1=?;4=32b>44434?;47;n;<733?3f3ty8>>4?:3y>713=?816??=51dg8yv54=3:1>v3<4e845>;4;<0:ih5rs210>5<5s49?o79>;<107?7bm2wx?>?50;0x962e2>;01>==:0gf?xu4:o0;6?u235c934=:;::1=hk4}r11`?6=:r7884481:?06`<6ml1v><m:18185303=:70==c;3fa>{t;;k1<7<t=264>27<5::?6<kj;|q06<<72;q6?985709>755=9lo0q~==8;296~;4<=0<=63<0382a`=z{:896=4={<177?16349;=7?je:p15d=83;>w0=l7;4;?85d>3<370=l5;4;?85d<3<370=l3;4;?85d:3<370=l1;4;?85d83<370=mf;4;?85em3<370=m3;4;?85e:3<370=m1;4;?85e83<370=nf;4;?85fm3<370=nd;4;?85fk3<370=nb;4;?85fi3<370=;e;3fa>{t;0l1<7:t=2a4>27<5:h86<h9;<1;4?75;2784;4>229~w6?b290>w0=l6;52?85e:3;m:63<818260=:;081=?=4=2:5>4433ty85i4?:7y>7f3=?816?o?51g48961b288870=62;310>;40?0:>8523829572<uz92o7>57z?0g1<09278n=4>f79>72c=9;?01>7>:000?85??3;9863<8g8266=:;0:1=?=4}r1:f?6=0r78o>481:?0ec<6n?16?:m5131896?6288?70=77;317>;40o0:>9523829570<5:2n6<<<;|q0=d<720q6?n<5709>7dc=9o<01>9l:006?85>93;9963<868263=:;1l1=?84=2;3>4403493h7?=3:?0<`<6:=1v>76:18b85d93=:70=nd;3e2>;4?h0:>;523839570<5:2<6<<:;<1;b?75=2785=4>249>7=e=9;901>6k:007?85?m3;996s|38:94?>|5:i;6:?4=2c`>4`1349<m7?=5:?0<d<6::16?5l5131896>d288=70=7d;313>;40l0:>55rs2;4>5<>s49ij79>;<1bf?7a>278;54>249>7=?=9;>01>6n:006?85?j3;9863<8b8260=:;1n1=?84=2:f>4403ty85;4?:`y>7gc=?816?lo51g48961?288=70=79;317>;40h0:>95239`9573<5:2h6<<;;<1;`?75=2784h4>279>7=>=9;80q~=n9;296~;4j:0<=63<8782a`=z{:k36=4={<1a6?163492>7?je:p7d1=838p1>l>:63896?728on7p}<a783>7}:;k:1;<5239d95`c<uz9j97>52z?0ec<092784h4>ed9~w6g32909w0=ne;52?85?l3;ni6s|3`194?4|5:ko6:?4=2:`>4cb3ty8m?4?:3y>7de=?816?5o51dg8yv5f93:1>v3<ac845>;4000:ih5rs2c3>5<5s49jm79>;<1;<?7bm2wx?8;50;1x96332>;01>;n:638963128on7p}<5583>=}:;<>1=k84=273>443349>:7?=4:?00c<6:=16?8=51368962b288?70=73;311>;28h0:>95rs271>5<5s49>=79>;<167?7bm2wx?8?50;3185293;m:63<2b8261=:;:81=?:4=222>443349;?7?=3:?041<6::16?=<513689637288>70=:6;317>;4<o0:>8523419575<5:>n6<<:;<100?75<278?84>259>770=9;>01>=?:007?85?;3;9:63:0`8260=z{:?j6=4m{<16e?7a>278>n4>229>764=9;901>>>:000?857;3;9863<058261=:;981=?=4=217>444349897?=3:?063<6::16?>>51318yv5213:1?v3<59845>;4=>0<=63<4g82a`=z{:?<6=4={<163?7a>2788k4>229~w1742909w0:=7;4b?826;3;ni6s|40f94?4|5=8<6<99;<62`?7bm2wx?kk50;1x914028on70:?a;317>;4n?0:>>5rs5;3>5<1s4>:h7?=3:?7=d<6n?16>oo5709>64d=9;>018>7:55890602==0q~<<7;290~;39m0:>95225395c0<5:ni6<<<;<1fa?75;2wx8<<50;1x91412?k019?<:7c8917528on7p};1b83>6}:<;<1=:84=530>76734>:o7?je:p7cb=83>p19<9:0gf?827i3;9863<f78261=:<931=?=4}r6;b?6=?r7?=>485:?75f<6::1684751g48977e288870<>a;310>;2810?963:06871>{t::<1<7:t=53`>443348?<7?i6:?0`g<6:<16?hk51378yv2693:1?v3;2485e>;39;0=m63;1082a`=z{=;i6=4<{<611?70>27?=?4=019>04d=9lo0q~=ic;291~;3:<0:ih5241c9573<5:l=6<<:;<63=?75<27?<84>229~w1>b290<w0:>2;56?826j3;9?63;9982b3=::8k1=?=4=33:>44334?;47:;;<733?233ty9?84?:5y>04d=9;>01?=i:0d5?85c13;9?63<eb8266=z{=;;6=4<{<610?0f34>:=78n;<624?7bm2wx8<o50;1x914328==70:>1;034>;39h0:ih5rs2da>5<1s4>987?je:?741<6::168=o5134896`1288=70:?9;311>;38<0:>95rs5:g>5<0s4>:=79:;<62e?75;27?5:4>f79>64?=9;901??7:007?83703>870;?7;60?xu5;=0;69u240c9572<5;9n6<h9;<1g=?75=278in4>249~w16a2908w0:=3;4b?82683<j70:?f;3fa>{t<831<7=t=500>41134>:<7<?0:?75<<6ml1v>hn:184825;3;ni63;05826==:<991=?94=52b>440349m:7?=7:?74<<6:?168=;51378yv2?k3:1;v3;11841>;3900:>>5248495c0<5;;36<<<;<023?75<27><54:0:?642<282wx>>=50;6x917>288?70<<d;3e2>;4l>0:>>523dc9575<uz>;i7>53z?767<1i27?<k49a:?74`<6ml1v9?7:180825:3;<:63;0g8145=:<821=hk4}r1e=?6=?r7?>?4>ed9>052=9;=019><:000?827:3;9?63;078266=:<931=?94=526>4413ty?4o4?:6y>05`=?<168<65136891?228l=70<>7;317>;59?0:>95251:90c=:=9=18k5rs311>5<3s4>:47?=3:?17f<6n?16?i95137896cf288>7p};0e83>6}:<;;1:l5241g92d=:<9n1=hk4}r623?6=;r7?><4>779>05c=:9:019?8:0gf?xu4n10;65u243395`c<5=:?6<<9;<637?75<27?<?4>279>057=9;9019>9:007?827?3;9?63;048262=z{=2j6=48{<63a?1234>:;7?=4:?7=1<6n?16><8513189772288?70;?8;6f?837?3>n7p}=3083>1}:<8=1=?=4=31a>4`1349o97?=3:?0a=<6::1v9>l:18082583<j70:?d;4b?827k3;ni6s|40494?5|5=8;6<99;<63`?47827?=;4>ed9~w6`02902w0:=0;3fa>;38=0:>8524119573<5=:96<<:;<635?75=27?<=4>229>050=9;?019>8:007?82703;9?6s|49;94?1|5=:o6:;4=535>44334>2?7?i6:?150<6::16><:51368906?2=n018>8:5f8yv4483:18v3;178266=:::k1=k84=2f6>442349n47?=5:p05d=839p19?i:7c8916d2?k019>m:0gf?xu39<0;6>u240d9520<5=:h6?>?;<621?7bm2wx?k:50;cx917a28on70:?4;310>;38:0:>;524109572<5=::6<<;;<634?75<27?<;4>279>051=9;?019>7:007?85an3;9>6s|49:94?1|5=:h6:;4=536>44334>2>7?i6:?151<6::16><=51368906?2=i018>8:5a8yv45n3:18v3;148266=:::31=k84=2f0>444349n:7?=3:p042=839p19?j:055?827j38;<63;1582a`=z{=9:6=4={<62a?7bm27??i481:p0=1=83<p19>m:6789173288?70:61;3e2>;59:0:>>5251:90g=:=9=18o5rs30f>5<3s4>:87?=3:?17=<6n?16?i=5137896c1288>7p}<f483>7}:<:l1;<523g495`c<uz>8<7>52z?702<0927?<l4>ed9~w14a2909w0:;6;52?82713;ni6s|43g94?4|5=>>6:?4=526>4cb3ty?>i4?:3y>012=?8168=:51dg8yv25k3:1>v3;42845>;38:0:ih5rs50a>5<5s4>?>79>;<636?7bm2wx8?o50;0x91262>;019>>:0gf?xu3:00;6?u2452934=:<9:1=hk4}r61<?6=:r7??h481:?0bc<6ml1v8>k:181=~;31h0=463;9885<>;3110=463;9685<>;31?0=463;9485<>;31=0=463;9285<>;31;0=463;9085<>;30?0=463;8485<>;30=0=463;8285<>;30;0=463;8085<>;3090=463;7g85<>;3?l0=463;7e85<>;3?;0=463;7085<>;3?90=463;6g85<>;3>l0=463;6e85<>;3>j0=463;6c85<>;3>h0=463;6885<>;3<10:ih5251:9544<5<:368<i;<73<?35l27><54:2b9>15>==;h018>8:031?837?3?9j63:06866a=:=9=19?m4=424>04e3ty?;n4?:2y>0<g=?81685851g4896be288?7p};7c83>6}:<031;<5249795c0<5:ni6<<9;|q73d<72:q68465709>0=2=9o<01>j6:007?xu3?00;6>u2485934=:<191=k84=2f:>4413ty?;54?:2y>0<0=?81685<51g4896b0288?7p};7683>6}:<0?1;<5249395c0<5:n<6<<9;|q733<72:q684:5709>0=6=9o<01>j::007?xu3?<0;6>u2481934=:<>l1=k84=2f6>4413ty?;94?:2y>0<4=?8168:k51g4896b4288?7p};7283>6}:<0;1;<5246f95c0<5:n86<<9;|q710<72=q68585709>024=9o<01>kj:007?821?3;986s|44694?3|5=2>6:?4=552>4`1349ni7?=6:?722<6:?168;;51368yv22;3:1:v3;85845>;3?90:j;523da9572<5=<?6<<;;<65<?75=27?:;4>259~w135290<w0:73;52?821n3;m:63<eb8263=:<?81=?=4=547>44134>=;7?=7:?720<6:?1v9;>:18;82?:3=:70:9e;3e2>;4mh0:>9524709572<5=<?6<<<;<65<?75<27?:;4>229>036=9;90q~::0;29=~;3080<=63;6e82b3=:;lk1=?84=541>44134>=87?=7:?722<6:<168;;51378913b288870:90;311>{t<=l1<7ot=5:3>27<5=<h6<h9;<1f<?75<27?:?4>249>032=9;?01987:000?821=3;9;63;5c8266=:<<o1=?:4=543>4433ty?8h4?:9y>02`=?8168;l51g4896c?288=70::a;311>;3<k0:>>5244`9570<5=?n6<<8;<654?7502wx89j50;;x911b2>;0198n:0d5?85b>3;9863;5`8261=:<=h1=?;4=56b>44434>>n7?=5:?71`<6:?168;>51358yv23k3:1mv3;7e845>;3>00:j;523d49570<5=?j6<<<;<67f?75<27?8l4>259>00d=9;>019;j:006?82183;9:63;488267=z{=<<6=4={<646?1634>=;7?je:p033=838p199>:638910228on7p};6283>7}:<>:1;<5247695`c<uz>==7>52z?72c<0927?:?4>ed9~w13a2909w0:9e;52?82183;ni6s|44f94?4|5=<o6:?4=57f>4cb3ty?944?:3y>03e=?81688l51dg8yv2203:1>v3;6c845>;3<k0:ih5rs574>5<5s4>=m79>;<67e?7bm2wx88850;0x910>2>;019:6:0gf?xu5l10;6lu22`4934=::o=1=k84=3g1>443348n87?=5:?1a0<6:?16>h95135897c>288370<kf;310>;5mk0:>;522e;9571<uz8n=7>52z?1e0<09279i?4>ed9~w7c42909w0<n4;52?84b<3;ni6s|2d494?5|5;k86:?4=3g6>4cb348oo7?=8:p6`>=838p1?o=:63897c028on7p}=e`83>7}::h;1;<522d;95`c<uz8nh7>52z?1e5<09279io4>ed9~w7be2909w0<6f;52?84c13;ni6s|2ef94?4|5;3n6:?4=3f`>4cb3ty9i=4?:3y>6<b=?816>ik51dg8yv40;3:1mv3=40845>;51;0:j;522679572<5;=<6<<;;<04<?75>279;l4>269>62e=9;201?68:007?840m3;9:63=808262=z{;=?6=4={<074?16348<97?je:p620=838p1?=i:638971028on7p}=7883>6}:::o1;<5226:95`c<5;2?6<<7;|q13g<72;q6>>j5709>62g=9lo0q~<8d;296~;5;j0<=63=7b82a`=z{;2;6=4={<00f?16348<i7?je:p6=5=838p1?=n:63897>628on7p}=8483>7}:::31;<5229695`c<uz8347>52z?17=<092794;4>ed9~w7e32909w0<i7;52?84dm3;m:6s|2b194?4|5;l=6:?4=3ag>4`13ty9h:4?:8y>6c0=9o<01?k=:000?84b<3;9863=e48260=::l=1=?84=3g:>440348oj7?=5:?1ag<6:>16>io51318yv4d:3:1>v3=f4845>;5kj0:j;5rs3f5>5<?s48m97?i6:?1a1<6::16>h;5136897c0288>70<j9;312>;5lo0:>;522da9575<5;nj6<<;;|q1g4<72;q6>k:5709>6fd=9o<0q~<k5;293~;5n=0:j;522d79575<5;o<6<<;;<0f=?75=279hk4>269>6`e=9;>01?jn:006?xu5k90;6?u22g1934=::jk1=k84}r0g0?6=?r79j>4>f79>6`1=9;901?k6:007?84cm3;9963=ec8261=::m31=?;4=3f`>4423ty9nk4?:3y>6c4=?816>n751g48yv4c;3:1:v3=f382b3=::l31=?=4=3ff>441348nn7?=5:?1`<<6:?16>im51348yv4em3:1>v3=f0845>;5k10:j;5rs3f1>5<2s48m=7?i6:?1``<6::16>hl5131897b>288870<kc;317>{t:kn1<7<t=3d3>27<5;i<6<h9;|q1`4<72=q6>k>51g4897bb288?70<k9;310>;5lj0:>95rs3``>5<5s48nj79>;<0`2?7a>2wx>i>50;1x97ca28l=70<ke;313>;5lj0:>:5rs3`a>5<5s48ni79>;<0`1?7a>2wx>nh50;0x97cb28l=70<kf;317>{t:<l1<7<t=3;1>27<5;<26<h9;|q11`<72;q6>4?5709>63>=9o<0q~<82;29=~;5180:j;522679575<5;=<6<<<;<04<?75=279;l4>279>62e=9;=01?68:006?840m3;9;63=838266=z{;?o6=4={<0:4?16348=;7?i6:p627=832p1?7?:0d5?840?3;9963=798261=::>k1=?;4=35`>4413483;7?=6:?13c<6::16>5<51368yv42k3:1>v3=8g845>;5>?0:j;5rs353>5<0s483j7?i6:?13=<6::16>:o51368971d288>70<77;313>;5?o0:>9522909573<uz8>n7>52z?1<`<09279:84>f79~w70a290<w0<7e;3e2>;5?h0:>>5226a9572<5;2=6<<:;<04a?75<2794<4>249>6=2=9;?0q~<:a;296~;50m0<=63=6582b3=z{;<n6=49{<0;`?7a>279;n4>229>6=0=9;<01?9j:006?84?93;9:63=858263=z{;?26=4={<0;g?16348=?7?i6:p63b=83?p1?6l:0d5?84?>3;9?63=7d8266=::1;1=?=4=3:7>4443ty9954?:3y>6=d=?816>;<51g48yv41k3:18v3=8c82b3=::1<1=?:4=3:2>443348387?=4:p601=838p1?6n:638970628l=7p}=6c83>6}::1k1=k84=3:5>440348387?=7:p600=838p1?66:638970728l=7p}=6`83>7}::131=k84=3:4>4443ty9n=4?:3y>6fc=?816>oo51g48yv4fn3:1>v3=ce845>;5j00:j;5rs3cf>5<5s48ho79>;<0a<?7a>2wx>lj50;0x97ee2>;01?l8:0d5?xu5ij0;6?u22bc934=::k<1=k84}r0bf?6=:r79o4481:?1f0<6n?1v?on:18184d03=:70<m4;3e2>{t:h31<7<t=3a4>27<5;h86<h9;|q1e=<72;q6>n85709>6g4=9o<0q~<n7;296~;5k<0<=63=b082b3=z{;>i6=4={<05=?16348>97?i6:p61g=838p1?87:638973328l=7p}=4883>7}::?=1;<5224195c0<uz8?47>52z?123<092799?4>f79~w7202909w0<95;52?84293;m:6s|25494?4|5;<?6:?4=373>4`13ty9884?:3y>635=?816>9h51g48yv43<3:1>v3=63845>;5<l0:j;5rs360>5<5s48==79>;<07`?7a>2wx>9<50;0x97072>;01?:l:0d5?xu5:=0;6?u2246934=::881=hk4}r017?6=:r799>481:?154<6ml1v?<=:181842:3=:70<>0;3fa>{t:;;1<7<t=372>27<5;:m6<kj;|q165<72;q6>8>5709>65c=9lo0q~<>f;296~;5<o0<=63=0e82a`=z{;;n6=4={<07a?16348;o7?je:p64b=838p1?:k:638976e28on7p}=1b83>7}::=i1;<5221c95`c<uz89h7>52z?1f<<09279=o4>ed9~w74d2909w0<m8;52?846i3;ni6s|23`94?4|5;h<6:?4=33:>4cb3ty9>l4?:3y>6g0=?816><651dg8yv4513:1>v3=b4845>;59>0:ih5rs30;>5<5s48i879>;<022?7bm2wx>?950;0x97d42>;01??::0gf?xu5:?0;6?u22c0934=::8>1=hk4}r011?6=:r79n<481:?156<6ml1v><8:180855<3;ni63<33826==:;:91=?64}r1:1?6==r785<4>269>7=1=9;=01>6i:004?85?j3;ni63<91826==z{:3?6=4<{<1:5?7bm2785?4>279>7=0=9;=0q~=63;297~;40>0:ih523809573<5:2=6<<9;|q71f<72<q688o51dg89105288<70:94;31<>;3>>0:>552477957><uz;n;7>53z?071<6ml16?>=51318964b28887p}>e883>7}:<?21=hk4=544>4443ty:4>4?:3y>030=9lo0198::000?xu60m0;6?u229595`c<5;2=6<<7;|q2e6<72;q6>ih51dg897bb28837p}>ag83>7}::>l1=hk4=35f>44?3ty:nk4?:3y>6=4=9lo01?6>:00;?xu6kk0;6?u22da95`c<5;oi6<<7;|q2ga<72;q6>io51dg897b>28837p}:2583>7}:=;>1=hk4=402>27<uz;o?7>52z?073<6ml16?>;513:8yv7c=3:1>v3<2782a`=:;;91=?64}r3g3?6=:r78>84>ed9>766=9;20q~?k9;297~;38?0:ih5241c957><5:l=6<<7;|q2`a<72;q68=951dg8916>28837p}>dg83>7}:<921=hk4=526>44?3ty><44?:2y>15g=9lo018>7:030?837?3;:?6srs74a>5<5sW<=n6394;45f>"2:>0:i;5rs751>5<5sW<<>6394;446>"2:>0:i55rs772>5<5sW<>=6394;465>"2:>0:il5rs4ce>5<5sW?3n6394;7;f>"2:>0:495rs4cg>5<5sW?3m6394;7;e>"2:>0:4i5rs4c`>5<5sW?356394;7;=>"2:>0:555rs4ca>5<5sW?346394;7;<>"2:>0:m>5rs4cb>5<5sW?3;6394;7;3>"2:>0:mi5rs4c:>5<5sW?3:6394;7;2>"2:>0:mk5rs4c4>5<5sW?386394;7;0>"2:>0:n95rs4c5>5<5sW?3?6394;7;7>"2:>0:nk5rs4c6>5<5sW?3>6394;7;6>"2:>0:o55rs4c7>5<5sW?3=6394;7;5>"2:>0:o45rs4c0>5<5sW?3<6394;7;4>"2:>0:ol5rs4c1>5<5sW?<j6394;74b>"2:>0:oo5rs4c2>5<5sW?<i6394;74a>"2:>0:on5rs4c3>5<5sW?<h6394;74`>"2:>0:oi5rs4;e>5<5sW?<o6394;74g>"2:>0:oh5rs4;f>5<5sW?<n6394;74f>"2:>0:ok5rs4;`>5<5sW?<56394;74=>"2:>0:h=5rs4;a>5<5sW?<46394;74<>"2:>0:h<5rs4;b>5<5sW?<;6394;743>"2:>0:h?5rs4;:>5<5sW?<:6394;742>"2:>0:h>5rs4;;>5<5sW?<96394;741>"2:>0:h95rs4;4>5<5sW?<86394;740>"2:>0:h85rs4;5>5<5sW?<?6394;747>"2:>0:h;5rs4;6>5<5sW?<>6394;746>"2:>0:h:5rs4;7>5<5sW?<=6394;745>"2:>0:h55rs4;0>5<5sW?<<6394;744>"2:>0:h45rs4`5>5<5sW?2=6394;7:5>"2:>0:hl5rs4`6>5<5sW?2<6394;7:4>"2:>0:ho5rs4`7>5<5sW?3j6394;7;b>"2:>0:hn5rs4`0>5<5sW?3i6394;7;a>"2:>0:hi5rs4`1>5<5sW?3h6394;7;`>"2:>0:hh5rs4`2>5<5sW?3o6394;7;g>"2:>0:hk5rs4`3>5<5sW?396394;7;1>"2:>0:i=5rs4c;>5<5sW?<m6394;74e>"2:>0:i<5rs4;g>5<5sW?=j6394;75b>"2:>0:i?5rs4;1>5<5sW?=i6394;75a>"2:>0:i>5rs7:b>5<5sW<3m6394;4;e>"2:>0:i95rs74:>5<5sW<=56394;45=>"2:>0:i85rs4d;>5<5sW?o86394;7g0>"2:>0:485rs4d5>5<5sW?o?6394;7g7>"2:>0:4;5rs4d6>5<5sW?o>6394;7g6>"2:>0:4:5rs4d7>5<5sW?o=6394;7g5>"2:>0:455rs4d0>5<5sW?o<6394;7g4>"2:>0:445rs4d1>5<5sW?hj6394;7`b>"2:>0:4l5rs4d3>5<5sW?hh6394;7``>"2:>0:4o5rs4ge>5<5sW?ho6394;7`g>"2:>0:4n5rs4gf>5<5sW?hn6394;7`f>"2:>0:4h5rs4gg>5<5sW?hm6394;7`e>"2:>0:4k5rs4g`>5<5sW?h56394;7`=>"2:>0:5=5rs4ga>5<5sW?h46394;7`<>"2:>0:5<5rs4gb>5<5sW?h;6394;7`3>"2:>0:5?5rs4g:>5<5sW?h:6394;7`2>"2:>0:5>5rs4g;>5<5sW?h96394;7`1>"2:>0:595rs4g4>5<5sW?h86394;7`0>"2:>0:585rs4g6>5<5sW?h>6394;7`6>"2:>0:5;5rs4g7>5<5sW?h=6394;7`5>"2:>0:5:5rs4g0>5<5sW?h<6394;7`4>"2:>0:545rs4g1>5<5sW?ij6394;7ab>"2:>0:5l5rs4g2>5<5sW?ii6394;7aa>"2:>0:5o5rs4g3>5<5sW?ih6394;7a`>"2:>0:5n5rs4fe>5<5sW?io6394;7ag>"2:>0:5i5rs4ff>5<5sW?in6394;7af>"2:>0:5h5rs4fg>5<5sW?im6394;7ae>"2:>0:5k5rs4f`>5<5sW?i56394;7a=>"2:>0:m=5rs4de>5<5sW?om6394;7ge>"2:>0:m<5rs4df>5<5sW?o56394;7g=>"2:>0:m?5rs4dg>5<5sW?o46394;7g<>"2:>0:m95rs4d`>5<5sW?o;6394;7g3>"2:>0:m85rs4da>5<5sW?o:6394;7g2>"2:>0:m;5rs4db>5<5sW?o96394;7g1>"2:>0:m:5rs4d:>5<5sW?hi6394;7`a>"2:>0:m55rs4d2>5<5sW?h?6394;7`7>"2:>0:m45rs4g5>5<5sW?i46394;7a<>"2:>0:ml5rs4fa>5<5sW?i;6394;7a3>"2:>0:mo5rs724>5<5sW<;;6394;433>"2:>0:mn5rs722>5<5sW<;=6394;435>"2:>0:mh5rs77e>5<5sW<>86394;460>"2:>0:n=5rs74;>5<5sW<>o6394;46g>"2:>0:n<5rs744>5<5sW<>n6394;46f>"2:>0:n?5rs745>5<5sW<>m6394;46e>"2:>0:n>5rs746>5<5sW<>56394;46=>"2:>0:n85rs747>5<5sW<>46394;46<>"2:>0:n;5rs740>5<5sW<>;6394;463>"2:>0:n:5rs741>5<5sW<>:6394;462>"2:>0:n55rs742>5<5sW<>96394;461>"2:>0:n45rs743>5<5sW<>?6394;467>"2:>0:nl5rs77g>5<5sW<>>6394;466>"2:>0:no5rs7:3>5<5sW<<96394;441>"2:>0:nn5rs7::>5<5sW<<h6394;44`>"2:>0:ni5rs7:;>5<5sW<<o6394;44g>"2:>0:nh5rs7:4>5<5sW<<n6394;44f>"2:>0:o=5rs7:5>5<5sW<<m6394;44e>"2:>0:o<5rs7:6>5<5sW<<56394;44=>"2:>0:o?5rs7:7>5<5sW<<46394;44<>"2:>0:o>5rs7:0>5<5sW<<;6394;443>"2:>0:o95rs7:1>5<5sW<<:6394;442>"2:>0:o85rs7:2>5<5sW<<86394;440>"2:>0:o;5rs75f>5<5sW<<?6394;447>"2:>0:o:5r}of5`?6=:rB>>55rne4f>5<5sA?946sad7d94?4|@<837p`k7183>7}O=;20qcj81;296~N2:11vbi9=:181M3502weh:=50;0xL04?3tdo;94?:3yK17><ugn<97>52zJ66==zfm==6=4={I71<>{il>=1<7<tH40;?xhc?10;6?uG53:8ykb013:1>vF:299~ja1f2909wE;=8:m`2d=838pD8<7;|lg3f<72;qC9?64}of4`?6=:rB>>55rne5f>5<5sA?946sad6d94?4|@<837p`k8183>7}O=;20qcj71;296~N2:11vbi6=:181M3502weh5=50;0xL04?3tdo494?:3yK17><ugn397>52zJ66==zfm2=6=4={I71<>{il1=1<7<tH40;?xhc010;6?uG53:8ykb?13:1>vF:299~ja>f2909wE;=8:m`=d=838pD8<7;|lg<f<72;qC9?64}of;`?6=:rB>>55rne:f>5<5sA?946sad9d94?4|@<837p`k9183>7}O=;20qcj61;296~N2:11vbi7=:181M3502weh4=50;0xL04?3tdo594?:3yK17><ugn297>52zJ66==zfm3=6=4={I71<>{il0=1<7<tH40;?xhc110;6?uG53:8ykb>13:1>vF:299~ja?f2909wE;=8:m`<d=838pD8<7;|lg=f<72;qC9?64}of:`?6=:rB>>55rne;f>5<5sA?946sad8d94?4|@<837p`ka183>7}O=;20qcjn1;296~N2:11vbio=:181M3502wehl=50;0xL04?3tdom94?:3yK17><ugnj97>52zJ66==zfmk=6=4={I71<>{ilh=1<7<tH40;?xhci10;6?uG53:8ykbf13:1>vF:299~jagf2909wE;=8:m`dd=838pD8<7;|lgef<72;qC9?64}ofb`?6=:rB>>55rnecf>5<5sA?946sad`d94?4|@<837p`kb183>7}O=;20qcjm1;296~N2:11vbil=:181M3502weho=50;0xL04?3tdon94?:3yK17><ugni97>52zJ66==zfmh=6=4={I71<>{ilk=1<7<tH40;?xhcj10;6?uG53:8ykbe13:1>vF:299~jadf2909wE;=8:m`gd=838pD8<7;|lgff<72;qC9?64}ofa`?6=:rB>>55rne`f>5<5sA?946sadcd94?4|@<837p`kc183>7}O=;20qcjl1;296~N2:11vbim=:181M3502wehn=50;0xL04?3tdoo94?:3yK17><ugnh97>52zJ66==zfmi=6=4={I71<>{ilj=1<7<tH40;?xhck10;6?uG53:8ykbd13:1>vF:299~jaef2909wE;=8:m`fd=838pD8<7;|lggf<72;qC9?64}of``?6=:rB>>55rneaf>5<5sA?946sadbd94?4|@<837p`kd183>7}O=;20qcjk1;296~N2:11vboo::182M3502wenh<50;3xL04?3tdii>4?:0yK17><ughn87>51zJ66==zfko>6=4>{I71<>{ijl<1<7?tH40;?xhem>0;6<uG53:8ykdb03:1=vF:299~jgc>290:wE;=8:mf`g=83;pD8<7;|laag<728qC9?64}o`fg?6=9rB>>55rncgg>5<6sA?946sabdg94?7|@<837p`meg83>4}O=;20qcli0;295~N2:11vboh>:182M3502wenk<50;3xL04?3tdij>4?:0yK17><ughm87>51zJ66==zfkl>6=4>{I71<>{ijo<1<7?tH40;?xhen>0;6<uG53:8ykda03:1=vF:299~jg`>290:wE;=8:mfcg=83;pD8<7;|labg<728qC9?64}o`eg?6=9rB>>55rncdg>5<6sA?946sabgg94?7|@<837p`mfg83>4}O=;20qcm?0;295~N2:11vbn>>:182M3502weo=<50;3xL04?3tdh<>4?:0yK17><ugi;87>51zJ66==zfj:>6=4>{I71<>{ik9<1<7?tH40;?xhd8>0;6<uG53:8yke703:1=vF:299~jf6>290:wE;=8:mg5g=83;pD8<7;|l`4g<728qC9?64}oa3g?6=9rB>>55rnb2g>5<6sA?946sac1g94?7|@<837p`l0g83>4}O=;20qcm>0;295~N2:11vbn?>:182M3502weo<<50;3xL04?3tdh=>4?:0yK17><ugi:87>51zJ66==zfj;>6=4>{I71<>{ik8<1<7?tH40;?xhd9>0;6<uG53:8yke603:1=vF:299~jf7>290:wE;=8:mg4g=83;pD8<7;|l`5g<728qC9?64}oa2g?6=9rB>>55rnb3g>5<6sA?946sac0g94?7|@<837p`l1g83>4}O=;20qcm=0;295~N2:11vbn<>:182M3502weo?<50;3xL04?3tdh>>4?:0yK17><ugi987>51zJ66==zfj8>6=4>{I71<>{ik;<1<7?tH40;?xhd:>0;6<uG53:8yke503:1=vF:299~jf4>290:wE;=8:mg7g=83;pD8<7;|l`6g<728qC9?64}oa1g?6=9rB>>55rnb0g>5<6sA?946sac3g94?7|@<837p`l2g83>4}O=;20qcm<0;295~N2:11vbn=>:182M3502weo><50;3xL04?3tdh?>4?:0yK17><ugi887>51zJ66==zfj9>6=4>{I71<>{ik:<1<7?tH40;?xhd;>0;6<uG53:8yke403:1=vF:299~jf5>290:wE;=8:mg6g=83;pD8<7;|l`7g<728qC9?64}oa0g?6=9rB>>55rnb1g>5<6sA?946sac2g94?7|@<837p`l3g83>4}O=;20qcm;0;295~N2:11vbn:>:182M3502weo9<50;3xL04?3tdh8>4?:0yK17><ugi?87>51zJ66==zfj>>6=4>{I71<>{ik=<1<7?tH40;?xhd<>0;6<uG53:8yke303:1=vF:299~jf2>290:wE;=8:mg1g=83;pD8<7;|l`0g<728qC9?64}oa7g?6=9rB>>55rnb6g>5<6sA?946sac5g94?7|@<837p`l4g83>4}O=;20qcm:0;295~N2:11vbn;>:182M3502weo8<50;3xL04?3tdh9>4?:0yK17><ugi>87>51zJ66==zfj?>6=4>{I71<>{ik<<1<7?tH40;?xhd=>0;6<uG53:8yke203:1=vF:299~jf3>290:wE;=8:mg0g=83;pD8<7;|l`1g<728qC9?64}oa6g?6=9rB>>55rnb7g>5<6sA?946sac4g94?7|@<837p`l5g83>4}O=;20qcm90;295~N2:11vbn8>:182M3502weo;<50;3xL04?3tdh:>4?:0yK17><ugi=87>51zJ66==zfj<>6=4>{I71<>{ik?<1<7?tH40;?xhd>>0;6<uG53:8yke103:1=vF:299~jf0>290:wE;=8:mg3g=83;pD8<7;|l`2g<728qC9?64}oa5g?6=9rB>>55rnb4g>5<6sA?946sac7g94?7|@<837p`l6g83>4}O=;20qcm80;295~N2:11vbn9>:182M3502weo:<50;3xL04?3tdh;>4?:0yK17><ugi<87>51zJ66==zfj=>6=4>{I71<>{ik><1<7?tH40;?xhd?>0;6<uG53:8yke003:1=vF:299~jf1>290:wE;=8:mg2g=83;pD8<7;|l`3g<728qC9?64}oa4g?6=9rB>>55rnb5g>5<6sA?946sac6g94?7|@<837p`l7g83>4}O=;20qcm70;295~N2:11vbn6>:182M3502weo5<50;3xL04?3tdh4>4?:0yK17><ugi387>51zJ66==zfj2>6=4>{I71<>{ik1<1<7?tH40;?xhd0>0;6<uG53:8yke?03:1=vF:299~jf>>290:wE;=8:mg=g=83;pD8<7;|l`<g<728qC9?64}oa;g?6=9rB>>55rnb:g>5<6sA?946sac9g94?7|@<837p`l8g83>4}O=;20qcm60;295~N2:11vbn7>:182M3502weo4<50;3xL04?3tdh5>4?:0yK17><ugi287>51zJ66==zfj3>6=4>{I71<>{ik0<1<7?tH40;?xhd1>0;6<uG53:8yke>03:1=vF:299~jf?>290:wE;=8:mg<g=83;pD8<7;|l`=g<728qC9?64}oa:g?6=9rB>>55rnb;g>5<6sA?946sac8g94?7|@<837p`l9g83>4}O=;20qcmn0;295~N2:11vbno>:182M3502weol<50;3xL04?3tdhm>4?:0yK17><ugij87>51zJ66==zfjk>6=4>{I71<>{ikh<1<7?tH40;?xhdi>0;6<uG53:8ykef03:1=vF:299~jfg>290:wE;=8:mgdg=83;pD8<7;|l`eg<728qC9?64}oabg?6=9rB>>55rnbcg>5<6sA?946sac`g94?7|@<837p`lag83>4}O=;20qcmm0;295~N2:11vbnl>:182M3502weoo<50;3xL04?3tdhn>4?:0yK17><ugii87>51zJ66==zfjh>6=4>{I71<>{ikk<1<7?tH40;?xhdj>0;6<uG53:8ykee03:1=vF:299~jfd>290:wE;=8:mggg=83;pD8<7;|l`fg<728qC9?64}oaag?6=9rB>>55rnb`g>5<6sA?946saccg94?7|@<837p`lbg83>4}O=;20qcml0;295~N2:11vbnm>:182M3502weon<50;3xL04?3tdho>4?:0yK17><ugih87>51zJ66==zfji>6=4>{I71<>{ikj<1<7?tH40;?xhdk>0;6<uG53:8yked03:1=vF:299~jfe>290:wE;=8:mgfg=83;pD8<7;|l`gg<728qC9?64}oa`g?6=9rB>>55rnbag>5<6sA?946sacbg94?7|@<837p`lcg83>4}O=;20qcmk0;295~N2:11vbnj>:182M3502weoi<50;3xL04?3tdhh>4?:0yK17><ugio87>51zJ66==zfjn>6=4>{I71<>{ikm<1<7?tH40;?xhdl>0;6<uG53:8ykec03:1=vF:299~jfb>290:wE;=8:mgag=83;pD8<7;|l``g<728qC9?64}oagg?6=9rB>>55rnbfg>5<6sA?946saceg94?7|@<837p`ldg83>4}O=;20qcmj0;295~N2:11vbnk>:182M3502weoh<50;3xL04?3tdhi>4?:0yK17><ugin87>51zJ66==zfjo>6=4>{I71<>{ikl<1<7?tH40;?xhdm>0;6<uG53:8ykeb03:1=vF:299~jfc>290:wE;=8:mg`g=83;pD8<7;|l`ag<728qC9?64}oafg?6=9rB>>55rnbgg>5<6sA?946sacdg94?7|@<837p`leg83>4}O=;20qcmi0;295~N2:11vbnh>:182M3502weok<50;3xL04?3tdhj>4?:0yK17><ugim87>51zJ66==zfjl>6=4>{I71<>{iko<1<7?tH40;?xhdn>0;6<uG53:8ykea03:1=vF:299~jf`>290:wE;=8:mgcg=83;pD8<7;|l`bg<728qC9?64}oaeg?6=9rB>>55rnbdg>5<6sA?946sacgg94?7|@<837p`lfg83>4}O=;20qcj?0;295~N2:11vbi>>:182M3502weh=<50;3xL04?3tdo<>4?:0yK17><ugn;87>51zJ66==zfm:>6=4>{I71<>{il9<1<7?tH40;?xhc8>0;6<uG53:8ykb703:1=vF:299~ja6>290:wE;=8:m`5g=83;pD8<7;|lg4g<728qC9?64}of3g?6=9rB>>55rne2g>5<6sA?946sad1g94?7|@<837p`k0g83>4}O=;20qcj>0;295~N2:11vbi?>:182M3502weh<<50;3xL04?3tdo=>4?:0yK17><ugn:87>51zJ66==zfm;>6=4>{I71<>{il8<1<7?tH40;?xhc9>0;6<uG53:8ykb603:1=vF:299~ja7>290:wE;=8:m`4g=83;pD8<7;|lg5g<728qC9?64}of2g?6=9rB>>55rne3g>5<6sA?946sad0g94?7|@<837p`k1g83>4}O=;20qcj=0;295~N2:11vbi<>:182M3502weh?<50;3xL04?3tdo>>4?:0yK17><ugn987>51zJ66==zfm8>6=4>{I71<>{il;<1<7?tH40;?xhc:>0;6<uG53:8ykb503:1=vF:299~ja4>290:wE;=8:m`7g=83;pD8<7;|lg6g<728qC9?64}of1g?6=9rB>>55rne0g>5<6sA?946sad3g94?7|@<837p`k2g83>4}O=;20qcj<0;295~N2:11vbi=>:182M3502weh><50;3xL04?3tdo?>4?:0yK17><ugn887>51zJ66==zfm9>6=4>{I71<>{il:<1<7?tH40;?xhc;>0;6<uG53:8ykb403:1=vF:299~ja5>290:wE;=8:m`6g=83;pD8<7;|lg7g<728qC9?64}of0g?6=9rB>>55rne1g>5<6sA?946sad2g94?7|@<837p`k3g83>4}O=;20qcj;0;295~N2:11vbi:>:182M3502weh9<50;3xL04?3tdo8>4?:0yK17><ugn?87>51zJ66==zfm>>6=4>{I71<>{il=<1<7?tH40;?xhc<>0;6<uG53:8ykb303:1=vF:299~ja2>290:wE;=8:m`1g=83;pD8<7;|lg0g<728qC9?64}of7g?6=9rB>>55rne6g>5<6sA?946sad5g94?7|@<837p`k4g83>4}O=;20qcj:0;295~N2:11vbi;>:182M3502weh8<50;3xL04?3tdo9>4?:0yK17><ugn>87>51zJ66==zfm?>6=4>{I71<>{il<<1<7?tH40;?xhc=>0;6<uG53:8ykb203:1=vF:299~ja3>290:wE;=8:m`0g=83;pD8<7;|lg1g<728qC9?64}of6g?6=9rB>>55rne7g>5<6sA?946sad4g94?7|@<837p`k5g83>4}O=;20qcj90;295~N2:11vbi8>:182M3502weh;<50;3xL04?3tdo:>4?:0yK17><ugn=87>51zJ66==zfm<>6=4>{I71<>{il?<1<7?tH40;?xhc>>0;6<uG53:8ykb103:1=vF:299~ja0>290:wE;=8:m`3g=83;pD8<7;|lg2g<728qC9?64}of5g?6=9rB>>55r}|CDF}ak:0>:<=i81c~DED|8tJK\vsO@
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v deleted file mode 100644 index 593d3f82c..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_s6_1Kx36_2clk.v when simulating -// the core, fifo_s6_1Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_s6_1Kx36_2clk( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - empty, - rd_data_count, - wr_data_count); - - -input rst; -input wr_clk; -input rd_clk; -input [35 : 0] din; -input wr_en; -input rd_en; -output [35 : 0] dout; -output full; -output empty; -output [10 : 0] rd_data_count; -output [10 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(10), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan6"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("1kx36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(1023), - .C_PROG_FULL_THRESH_NEGATE_VAL(1022), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(11), - .C_RD_DEPTH(1024), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(10), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(11), - .C_WR_DEPTH(1024), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(10), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .EMPTY(empty), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .ALMOST_FULL(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .PROG_FULL(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.veo b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.veo deleted file mode 100644 index e348767a3..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_s6_1Kx36_2clk YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .empty(empty), - .rd_data_count(rd_data_count), // Bus [10 : 0] - .wr_data_count(wr_data_count)); // Bus [10 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_s6_1Kx36_2clk.v when simulating -// the core, fifo_s6_1Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xco b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xco deleted file mode 100644 index 14ad27c2a..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.1 -# Date: Fri May 4 20:49:07 2012 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc6slx75 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = csg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_s6_1Kx36_2clk -CSET data_count=false -CSET data_count_width=10 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=1023 -CSET full_threshold_negate_value=1022 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=1024 -CSET output_data_width=36 -CSET output_depth=1024 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=11 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=11 -# END Parameters -GENERATE -# CRC: 5f5a2e48 diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xise b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xise deleted file mode 100644 index b6109869c..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk.xise +++ /dev/null @@ -1,392 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_s6_1Kx36_2clk.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_s6_1Kx36_2clk.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/> - <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/> - <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/> - <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/> - <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/> - <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading par" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/> - <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/> - <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed Package Parasitics" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/> - <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/> - <property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/> - <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_s6_1Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_s6_1Kx36_2clk.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_s6_1Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/> - <property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/> - <property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/> - <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/> - <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/> - <property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/> - <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Output File Name" xil_pn:value="fifo_s6_1Kx36_2clk" xil_pn:valueState="default"/> - <property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/> - <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/> - <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/> - <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="fifo_s6_1Kx36_2clk_map.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="fifo_s6_1Kx36_2clk_timesim.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="fifo_s6_1Kx36_2clk_synthesis.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="fifo_s6_1Kx36_2clk_translate.v" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Advanced Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/> - <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/> - <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/> - <property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/> - <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> - <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/> - <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/> - <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/> - <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_s6_1Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-05-04T13:49:09" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="334FF80B875B41AA9C000F6D67B92F9C" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_flist.txt deleted file mode 100644 index 4f5b34b9b..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_flist.txt +++ /dev/null @@ -1,13 +0,0 @@ -# Output products list for <fifo_s6_1Kx36_2clk> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_s6_1Kx36_2clk.asy -fifo_s6_1Kx36_2clk.gise -fifo_s6_1Kx36_2clk.ngc -fifo_s6_1Kx36_2clk.v -fifo_s6_1Kx36_2clk.veo -fifo_s6_1Kx36_2clk.xco -fifo_s6_1Kx36_2clk.xise -fifo_s6_1Kx36_2clk_flist.txt -fifo_s6_1Kx36_2clk_readme.txt -fifo_s6_1Kx36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_readme.txt deleted file mode 100644 index b101bd8cf..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_readme.txt +++ /dev/null @@ -1,51 +0,0 @@ -The following files were generated for 'fifo_s6_1Kx36_2clk' in directory -/home/matt/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_s6_1Kx36_2clk.asy: - Graphical symbol information file. Used by the ISE tools and some - third party tools to create a symbol representing the core. - -fifo_s6_1Kx36_2clk.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_1Kx36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_s6_1Kx36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_s6_1Kx36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_s6_1Kx36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_s6_1Kx36_2clk.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_1Kx36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_s6_1Kx36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_s6_1Kx36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_xmdf.tcl deleted file mode 100644 index f9a9ac233..000000000 --- a/fpga/usrp2/coregen/fifo_s6_1Kx36_2clk_xmdf.tcl +++ /dev/null @@ -1,72 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_s6_1Kx36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_s6_1Kx36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_s6_1Kx36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_s6_1Kx36_2clk -} -# ::fifo_s6_1Kx36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_s6_1Kx36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk.asy -utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_1Kx36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_s6_1Kx36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.asy b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.asy deleted file mode 100644 index 0b429a886..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.asy +++ /dev/null @@ -1,49 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 fifo_s6_2Kx36_2clk -RECTANGLE Normal 32 32 544 768 -LINE Wide 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName din[35:0] -PINATTR Polarity IN -LINE Normal 0 144 32 144 -PIN 0 144 LEFT 36 -PINATTR PinName wr_en -PINATTR Polarity IN -LINE Normal 0 176 32 176 -PIN 0 176 LEFT 36 -PINATTR PinName wr_clk -PINATTR Polarity IN -LINE Normal 0 240 32 240 -PIN 0 240 LEFT 36 -PINATTR PinName rd_en -PINATTR Polarity IN -LINE Normal 0 272 32 272 -PIN 0 272 LEFT 36 -PINATTR PinName rd_clk -PINATTR Polarity IN -LINE Normal 144 800 144 768 -PIN 144 800 BOTTOM 36 -PINATTR PinName rst -PINATTR Polarity IN -LINE Wide 576 80 544 80 -PIN 576 80 RIGHT 36 -PINATTR PinName dout[35:0] -PINATTR Polarity OUT -LINE Normal 576 208 544 208 -PIN 576 208 RIGHT 36 -PINATTR PinName full -PINATTR Polarity OUT -LINE Wide 576 368 544 368 -PIN 576 368 RIGHT 36 -PINATTR PinName wr_data_count[11:0] -PINATTR Polarity OUT -LINE Normal 576 432 544 432 -PIN 576 432 RIGHT 36 -PINATTR PinName empty -PINATTR Polarity OUT -LINE Wide 576 592 544 592 -PIN 576 592 RIGHT 36 -PINATTR PinName rd_data_count[11:0] -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.gise b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.gise deleted file mode 100644 index d90a25595..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.gise +++ /dev/null @@ -1,31 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_s6_2Kx36_2clk.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="fifo_s6_2Kx36_2clk.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_s6_2Kx36_2clk.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc deleted file mode 100644 index 994b767ea..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$7d540<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>0:234567892;<=>?01:8456709:;>6>74:2;<5><812;4=6?1193<=6?81:3<5>70927?5>AN81:?6?!032854<NFY__6}|`g^gntqX|axne2>0;2=62=693CE\XZ5psmd[`kw|pUdk|h^cpw`ts48:1<3<8;039MKVR\3zycjQjmqvz[qnumzbTbhintd>24?699o1:=7GAPTV9twi`Wog`Rzgrdqk846=878=7<?5IORVP?vugnUmyabPtipfwmYf{zoyx1??:1<12>762@D[YY4rne\bpjkW}byi~fPndebp`:683:5=l5>1;MVPUSS2mkmRm`uov?55<768n0=?4@UURVP?tcWmkmRm`uov?54<768n0=?4@UURVP?quWmkmRm`uov?54<768>0=94FNQWW>DBCZH6:?7>115920?OIX\^1MIJ]B=30>5863;;;7?ONA@CBE=6789:;<<<4338JJUSS2MCJ0>?50?31?64=AGZ^X7JFB=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<26>512@D[YY4kio>01?699=18:7AZTQWW>air|59>6=0>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>58682>1EC^ZT;UFE95=87;;794FNQWW>RCE4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>5833<?:955:547305663?80:4:468;5<>0>1?3=:4??;7;:2<0110<=5;766395E1=1IHK37;ONA@CBE46<>HKJMLONA@CBEDGFm2<x`u9:cre7<1+4:2=M869IFG33?2@ANOLMJ5>?0123446<?OLMJKHIFGDEBC@A922975>;;9234==?89:;<=>>0::3456789:;<=>?0133?=6?81:3<=67092;41=?:=;?75:=1028<=>?0123>56789:;0>>B0H;0595601;1?<0?30<=5;766028=30>>03=:479974:55=>IHKJMLONA@CBEDG53H837LONA7CBE==FLMXJ0=06;@FGVD:68730MIJ]A=32:<=FLMXJ0<<1b:CG@WG;9:0;245NDEPB845902KOH_O31?:8EABUI58546OKDSC?7;><IMNYM1:18:CG@WG;=720MIJ]A=4=<>GCL[K7;364AEFQE9>902KOH_O39?:8EABUJ5:556OKDS@?558>3HNO^O2>1?;8EABUJ5;92o5NDEPA845=8730MIJ]B=30:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI040=;@G0?DJK12KXUCMPRDE1?G643K_946LZS^KMBJ7<K;1HI<<4CIG@OZJNKLDXIRZVPD08GL2<KEAJ86MCKC18GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB99GBDG?IHK:<6JIA@CBED>FIHKJML<4DH48@LG;87=0HDO311<4?AOF48;5;6JFA=31:2=CAH6:?394DHC?51803MCJ0<;17:FJE9716>1OEL2>7?58@LG;914<7IGN<0;=2>BNI5;5;6JFA=03:2=CAH69=394DHC?67803MCJ0?=17:FJE9436>1OEL2=5?58@LG;:?4<7IGN<35=3>BNI5832:5KI@>1=;0<L@K7>394DHC?758>3MCJ0>?50?58@LG;;84=7IGN<2<5?AOF4=4=7IGN<4<5?AOF4?4=7IGN<6<5?AOF414=7IGN<8<5?AOE494<7IGM<02=3>BNJ5;:2:5KIC>26;1<L@H7=>08;EKA8429?2NBN1?:>69GMG:6>7=0HDL316<4?AOE4825;6JFB=3::3=CAK6:2:5KIC>14;1<L@H7><08;EKA8749?2NBN1<<>69GMG:5<7=0HDL324<4?AOE4;<5;6JFB=04:2=CAK694394DH@?6<813MCI0?08;EKA866912NBN1=>:1<4?AOE4:;5:6JFB=1=2>BNJ5>5:6JFB=7=2>BNJ5<5:6JFB=5=2>BNJ525:6JFB=;=3>BNXH6;2:5KIQC?5;1<L@ZJ0?06;EKSE95=87=0HD^N<2<4?AOWJ5:5;6JFPC>2:2=CAYH7>374DHRA86<76>1OE]L33?48@JG;87=0HBO311<4?AIF48;5;6J@A=31:2=CGH6:?394DNC?51803MEJ0<;17:FLE9716>1OCL2>7?58@JG;914<7IAN<0;=2>BHI5;5;6J@A=03:2=CGH69=394DNC?67803MEJ0?=17:FLE9436>1OCL2=5?58@JG;:?4<7IAN<35=3>BHI5832:5KO@>1=;0<LFK7>394DNC?758>3MEJ0>?50?58@JG;;84=7IAN<2<5?AIF4=4=7IAN<4<5?AIF4?4=7IAN<6<5?AIF414=7IAN<8<4?AIFW[OL:6J@B=2=3>BHJ5;;2:5KOC>25;1<LFH7=?08;EMA8459?2NDN1?;>69GKG:6=7=0HBL317<4?AIE48=5;6J@B=3;:2=CGK6:5384DN@?5;1<LFH7>=08;EMA8779?2NDN1<=>69GKG:5;7=0HBL325<4?AIE4;?5;6J@B=05:2=CGK69;394DN@?6=803MEI0?716:FLF949?2NDN1=?>89GKG:493:5;6J@B=12:3=CGK682;5KOC>7:3=CGK6>2;5KOC>5:3=CGK6<2;5KOC>;:3=CGK622:5KOC]QAB1<LFZJ0=08;EMSE979?2ND\L2=>89GKUG;;3:5;6J@P@>0:2=CGYH7<394DNRA84803ME[N1<19:FLTG:4294<7IA_B=1=6>C3;2OEM>5JNC`8AKYU[]XJAROm;DL\VVRUIDUI==5I0G2E4C600O:M<K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J4:DGV@773OLMJ?=<3GDEB567811MJKH:5072?B5<OGN:7D<4I108M44<A;80E><4I508M0><AGC_\R>?8:KMMQVX8820ECG[P^21<>OIA]ZT<>64IOKWTZ6302CEEY^P04:8MKOSXV:=46GAIUR\42?<AGC__YO[E69JJLRX89=0ECG[_134?LHN\V:9;6GAIU]372=NF@^T<994IOKW[5303@DBXR>97:KMMQY7?>1BBDZP0958MKOSW93<7D@FT^2B3>OIA]U;N:5FNHV\4F1<AGC_S=J8;HLJPZ6B?2CEEYQ?F69JJLRX99=0ECG[_034?LHN\V;9;6GAIU]272=NF@^T=994IOKW[4303@DBXR?97:KMMQY6?>1BBDZP1958MKOSW83<7D@FT^3B3>OIA]U:N:5FNHV\5F1<AGC_S<J8;HLJPZ7B?2CEEYQ>F69JJLRX:9=0ECG[_334?LHN\V89;6GAIU]172=NF@^T>994IOKW[7303@DBXR<97:KMMQY5?>1BBDZP2958MKOSW;3<7D@FT^0B3>OIA]U9N:5FNHV\6F1<AGC_S?J8;HLJPZ4B?2CEEYQ=F69JJLRX;9=0ECG[_234?LHN\V99;6GAIU]072=NF@^T?994IOKW[6303@DBXR=97:KMMQY4?>1BBDZP3958MKOSW:3<7D@FT^1B3>OIA]U8N:5FNHV\7F1<AGC_S>J8;HLJPZ5B?2CEEYQ<F79JJLRXI?1BBDZPB89JJLRXN@FN?6GAV39OM3=KGHNNH:5COFK@EI2<D\^986BZT268HPR3<2F^X8:4LTV51>KTOFD>7@[WCXa8Idlhz_oydaac:OjjjtQm{ybcc<4N018J4643G;:86@>1968J47>;2D:>95A1327?K759=1E=?<;;O3171=I9;>?7C?=559M57033G;9;95A13:7?K751:1E=>:4N0130>H6;8>0B<==4:L2762<F89?86@>3468J451<2D:?::4N01;0>H6;090B<:;;O3741=I9=;?7C?;259M51533G;?895A1577?K73>=1E=99;;O37<1=I9=387C?:4:L2152<F8?:86@>5368J434<2D:99:4N07;7>H6>:1E=::4N0500>H6?=>0B<9:4:L2332<F8=<86@>7968J41>;2D:495A1927?K7?9=1E=5<;;O3;71=I91>?7C?7559M5=033G;3;95A19:7?K7?1:1E=4:4N0;30>H618>0B<7=4:L2=62<F83?86@>9468J4?1:2D9?6@=029M645<F;887C<<3:L106=I:<90B?8<;O047>H50:1E>4=4N220?K56;2D8>>5A3218J6243G9>?6@<629M725<F:287C=62:L77>H38:1E8<=4N500?K24;2D?8>5A4418J1043G><?6@;839M16=I=990B8<=;O51?K>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO1=IGGO:7B<4OJ38T1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC<;QPF5>W63[k0^LCM17@TAW0<ZLMHIL84RDE@AG2<ZZ^J86\\TC58VVRSQYOn7_][_QPJKWOSQVKn7_][_QPJKWOSQVH:7^?<;RKN[FIKD@YBCCQLHDAHe>UNEVNNZDMJ6:QLQWEB02Y[M_ZNN758WWPFDVK<7^\YAM]A0>U^FJ>0X_[J4:VZT@5c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS9WT^IQ_NUPX5XY_G[U<9RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS8WT^IQ_NUPX6XY_G[U<8RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS;WT^IQ_NUPX7XY_G[U<?RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS:WT^IQ_NUPX0XY_G[U<>RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS=WT^IQ_NUPX1XY_G[U<=RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS<WT^IQ_NUPX2XY_G[U<<RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS?WT^IQ_NUPX3XY_G[U=5RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS>WT^IQ_NUPX<XY_G[U=4RaPxn>3:45c3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS1WT^IQ_NUPX=XY_G[U=;RaPxn>3:45b3\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS0WT^IQ_NUPX55[XPFXT:;Q`_ym?4;74l2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR:VSZ\PPOVQ_4[XPFXT==Q`_ym?4;74k2_;#j|i.sd,cf~)keas#@v`r^UQ[UHSZR;VSZ\PPOVQ_7[XPFXT5RaPxn>3:45d3\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS;WT[_Q_NUPX7XY_G[U3SbQwo=2=56e<]9%l~k }f.e`|+ekcq%Ftb|PWS]SJQT\;TU\^R^ATSY7YZ^HZV=TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]3UV]YS]@[RZ7^[]IUW?UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^3ZW^XT\CZ][7_\\JTX=VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_3[X_[U[BY\T7\][KWY3WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX3XYPZVZEX_U7]^ZLVZ5XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY;YZQUWYD_^V7R_YMQ[7YhWqe7<3?<d:W3+bta&{l$knv!cmi{+H~hzV]YS]@[RZ;^[RTXXG^YW<>R_YMQ[4YhWqe7<3?<1:W3+bta&{l$knv!cmi{+WBXXG^YW=SPRE]SJQT\9TUSC_Q85^m05>S7'nxm"h gbz-gim'[NT\CZ][0_\VAYWF]XP>PQWOS]40Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY0;Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS:WT^IQ_NUPX0XY_G[U<>Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW9SPRE]SJQT\=TUSC_Q81^m05>S7'nxm"h gbz-gim'[NT\CZ][4_\VAYWF]XP:PQWOS]44Zi492_;#j|i.sd,cf~)keas#_JPPOVQ_3[XZMU[BY\T7\][KWY11Ve8=6[?/fpe*w`(ojr%oaew/SF\TKRUS>WT^IQ_NUPX<XY_G[U=4Ra<1:W3+bta&{l$knv!cmi{+WBXXG^YW5SPRE]SJQT\1TUSC_Q97^m06>S7'nxm"h gbz-gim'[NT\CZ][8_\VAYWF]XP==SPXNP\23Yh;81^<"i}f/pe+be&jf`t"Y]_QLWV^6ZW^XT\CZ][0_\\JTX99Ud?=5Z0.eqb+ta'nis"nbdx.UQ[UHSZR;VSZ\PPOVQ_7[XPFXT5Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW?SPWS]SJQT\;TUSC_Q7_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T3\]TVZVI\[Q?QRV@R^5\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY7YZQUWYD_^V;R_YMQ[3Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^3ZW^XT\CZ][7_\\JTX=Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS?WT[_Q_NUPX3XY_G[U?Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP;PQXR^RMPW]?UVRD^R=Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U7]^UQ[UHSZR3VSUA]_3]l74=R8&myj#|i/fa{*fjlp&]YS]@[RZ;^[RTXXG^YW<>R_YMQ[4Yh:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5:5>?5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1??>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>2:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:56;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag682??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2;>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>6:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:16;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6<2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec27>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>::76<]9%l~k }f.e`|+ekcq%yhR~ats]amkY7:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV;9=6[?/fpe*w`(ojr%oaew/sf\tkruWkceS<>=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_303?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\776<]9%l~k }f.e`|+ekcq%yhR~ats]amkY3:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV?9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS;<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P7328Q5)`zo$yj"ilx/aoo})ulVzexQmio];65=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ?582_;#j|i.sd,cf~)keas#jPpovq[be;878:7X> gsd-vc)`kq$h`fv re]sjqtXoj6:<3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2>>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=0=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`868582_;#j|i.sd,cf~)keas#jPpovq[be;<78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6>2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo181219V4*aun'xm#jmw.bnh|*tcWyd~Ril<6<14>S7'nxm"h gbz-gim'{nT|cz}_fa?<;473\:$kh!rg-dg}(ddbr$~iQnup\cf:>68l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV::j6[?/fpe*w`(ojr%oaew/sf\tkruWniT=?>4U1-dvc(un&mht#mcky-q`Zvi|{UloR??1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ6279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:76;=0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi311<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4149:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=;=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]263=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU:<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\<73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT5?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;k0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>24;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?31?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7>3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;;7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?0;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?35?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7:3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;?7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?<;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?39?1b?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P==SPrdfvg`Yg{U:4Ra<b:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S8:VSkkubg\|jtX91Ud=>o4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]68TUyii{le^zlvZ7>Wf9i7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X55[Xzln~ohQwos]2=Zi6<91^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z33YZtbl|inSua}_322[}i;87;8m6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\65Yh<91^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z33YZtbl|inSua}_332[}i;87;8m6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\64Yh<91^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z33YZtbl|inSua}_302[}i;87;8m6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\67Yh;o1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1Z33YZtbl|inSua}_312[}i;87>87X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X55[Xzln~ohQwos]174Yg5:5S^Y?3`9V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9R;;QR|jdtaf[}iuW;9Tc>h4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4]68TUyii{le^zlvZ439Vrd0=0;3:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S8:VSkkubg\|jtX:=;Ttb2?>^QT46g<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U>0\]qaasdmVrd~R<;_n1e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P==SPrdfvg`Yg{U99<Qwo=2=06=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V??]^pf`pebWqeyS?;>_ym?4;YT_99j7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X55[Xzln~ohQwos]11Zi4n2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[02^[wcc}joTtb|P273\|j:76=90Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0Y24XYummhiRv`r^055Z~h494T_Z><a:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S8:VSkkubg\|jtX:?Ud?k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^77UVxnhxmj_ymq[716Wqe7<3:<;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7\99WT~hjzcd]{kwY5?8Usc1>1_RU37d=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V??]^pf`pebWqeyS?9Po3:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U;>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z7512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_021<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q7299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V39=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0=0=2:W3+bta&{l$knv!cmi{+rtXxg~ySoga<02=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj979:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1=1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=6=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj939:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5<5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb191209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=:=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj9?9:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS<<>;T2,cw`)zo%lou lljz,swYwf}xTnd`P1103?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\676<]9%l~k }f.e`|+ekcq%|~R~ats]amkY4:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV>9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS8<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P6328Q5)`zo$yj"ilx/aoo})pzVzexQmio]465=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ>582_;#j|i.sd,cf~)keas#z|Ppovq[goiW08;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6;2??4U1-dvc(un&mht#mcky-tvZvi|{Ulo1??>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=3=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`878582_;#j|i.sd,cf~)keas#z|Ppovq[be;;78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6?2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1;1219V4*aun'xm#jmw.bnh|*quWyd~Ril<7<14>S7'nxm"h gbz-gim'~xT|cz}_fa?3;473\:$kh!rg-dg}(ddbr${Qnup\cf:?6;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k535=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS=?i;T2,cw`)zo%lou lljz,swYwf}xTknQ>219V4*aun'xm#jmw.bnh|*quWyd~Ril_022b>S7'nxm"h gbz-gim'~xT|cz}_fa\64`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ56n2_;#j|i.sd,cf~)keas#z|Ppovq[beX<8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV?:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT:<h4U1-dvc(un&mht#mcky-tvZvi|{UloR9>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP80d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^;12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5:5>:5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>24;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0<0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc949:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2<>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;<78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<4<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5<5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>4:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm743<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8<85=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ75>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR??249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ6289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95:5>l5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59776;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:?6;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>::6`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U>0\]qaasdmVrd~R??1^zl8583;2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[02^[wcc}joTtb|P113\|j:76VY\<>o4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]68TUyii{le^zlvZ77Wf9m7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X55[Xzln~ohQwos]254Yg5:58>5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5^77UVxnhxmj_ymq[476Wqe7<3Q\W11b?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P==SPrdfvg`Yg{U:=Ra<f:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6S8:VSkkubg\|jtX9;;Ttb2?>518Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q:<PQ}eew`aZ~hzV;9=Rv`<1<\WR64i2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[02^[wcc}joTtb|P13]l7c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V??]^pf`pebWqeyS<=>_ym?4;243\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T11_\v`brklUscQ>30]{k969WZ];?l5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5^77UVxnhxmj_ymq[45Xg:30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y24XYummhiRv`r^6\k6g<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U>0\]qaasdmVrd~R:Po01:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P==SPrdfvg`Yg{U>Sb=n;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\99WT~hjzcd]{kwY2Wf;8j6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\24Yg5:5=>74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]68TUyii{le^zlvZ0Xg:l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y24XYummhiRv`r^52[}i;87;856[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\3Zi4n2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[02^[wcc}joTtb|P80]{k9699:30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0Y24XYummhiRv`r^:\k6c<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U>0\]qaasdmVrd~R7>_ym?4;253\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T11_\v`brklUscQ61^zl858X[^:856[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\=Zi502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_10;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T=?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y68;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\17><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q9299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V=946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[=4?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P95`8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NeabXl`lmSz|PreY25XY@FMU2>RaPaef\7ZIR\Vir0<0;d:W3+bta&{l$ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[03^[BHCW08TcRokd^1\KPRXd|~7==0;c:W3+bta&{l$ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[03^[BHCW08TcRokd^1\KPRXd|~7>3:l;T2,cw`)zo%l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT10_\CKBX1;UdSljk_2]LQQYk}}6829m4U1-dvc(un&mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU>1\]DJAY>:VeTmijP3^MVPZjr|5>58n5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV?>]^EM@Z?5WfUjhiQ<_NWW[iss4<4?o6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW<?R_FLG[<4XgVkohR=POTV\hpr;>7>h7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$Aljk_ekebZquW{nP=<SPGOF\=7YhWhnoS>Q@UU]oqq:06=i0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ:=PQHNE]:6ZiXimnT?RAZT^nvp9>9<j1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR;:QRIAD^;1[jYflmU8SB[[_mww8<83?2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dg~tRjffg]tvZtcS8;VSJ@K_80\kZkrpV?TCXZ>1558Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY25XY@FMU2>RaPmtz\1ZIR\=;?;6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@czx^fjbcYpzVxoW<?R_FLG[<4XgVg~tR;POTV6511<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]69TULBIQ62^m\ip~X=VE^X;?<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;87937X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>2:6><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu1<1399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4:4846[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?0;5?3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2:>2:8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5<5?55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^az828402_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw38?1;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXkp622>74U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:76:k0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>24;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz31?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7>3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;;7927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?0;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz35?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7:3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;?7927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?<;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz39?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXpfx7<3=n;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;994856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq848412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<3<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0>0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4=4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq808412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<7<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0:0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu414856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq8<83;2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX54[XOGNT5?Q`_lw{[0YH]]6;29:4U1-dvc(un&mg<#|k/fp2*btck;$yhn!kigd\swYulR;:QRIAD^;1[jYj}qU>SB[[<02=03=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[03^[BHCW08TcRczx^7\KPR;9948=9o4U1-dvc(un&mg<#|k/fp2*btck;$yhn!kigd\swYulR;:QRIAD^;1[jYj}qU>SB[[<02=74YT_8>37X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]69TULBIQ62^m\ip~X=VE^X1??>^QT41?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ32YZAILV39SbQbuy]6[JSS48:5Sojk4568Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-gmc`X{UyhV?>]^EM@Z?5WfUfyuQ:_NWW8479<11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_47ZWNDOS4<Po^ov|Z3XG\^7=<0PSV277>S7'nxm"h gm2-va)`z8$l~im=.sf`+aoanV}ySjT10_\CKBX1;UdS`{w_4]LQQ:66=90Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^76UVMEHR7=_n]nq}Y2WF__0?0;3:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP=<SPGOF\=7YhWdsS8Q@UU>0:15<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ32YZAILV39SbQbuy]6[JSS4=4?86[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\98WTKCJP93]l[hsW<UDYY2;>060?P6(o{l%~k!hl1,q`*au9'myhn<!rea,`l`aW~xT~iU>1\]DJAY>:VeTaxvP5^MVP939<=1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_47ZWNDOS4<Po^ov|Z3XG\^793?;3:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP=<SPGOF\=7YhWdsS8Q@UU>5:12<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ32YZAILV39SbQbuy]6[JSS4?4:8>5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"jffg]tvZtcS8;VSJ@K_80\kZkrpV?TCXZ37?67?P6(o{l%~k!hl1,q`*au9'myhn<!rea,`l`aW~xT~iU>1\]DJAY>:VeTaxvP5^MVP9199=90Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^76UVMEHR7=_n]nq}Y2WF__050;7:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP=<SPGOF\=7YhWdsS8Q@UU>;:ZUP8=90Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^76UVMEHR7=_n]nq}Y2WF__040;7:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP=<SPGOF\=7YhWdsS8Q@UU>::ZUP9::0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`Zrjx5:5>45Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\m969:h1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi=33:7g<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd2>1?0:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVc7=3<6;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZo;:7827X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k?7;4>3\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRg34?0:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVc793<6;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZo;>7827X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k?3;4>3\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRg38?0:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVc753<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX8;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]26<=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeR??289V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaV;:>55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZ4502_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_20;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcT8?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY2:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^41<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS:<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX0;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]:75=R8&myj#|i/fn3*wb(o{;%~kyit.Onq}YUIDUYHRKA_GUEP473<2_;#j|i.sd,ci6)zm%l~< }fvdw+HkrpVmdeciPelrw}Z`pn}U[[_Q;1^m\IP^X=>Ud=<<6;T2,cw`)zo%l`= }d.eq5+tao~$^LCPRDELQQYBF8987X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>379V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4+Nf:=0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?"Io307>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c::<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io14?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5%@d:>55Z0.eqb+ta'nf;"j gs3-vcqa|&of|ywPfvdw[cd5?2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi2f8Q5)`zo$yj"ic0/pg+bt6&{l|jy!hohld[`kw|pUm{kzPPVP\04YhWD_SS89Po378Q5)`zo$yj"ic0/pg+bt6&{l|jy!zsdp\rdjnl;o0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu laspzj`r;878n7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!c`pq}kcs4849i6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.bcqv|hb|585>h5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/abvwim}682?k4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"ibuy,`ewt~fl~783<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[4_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1>1259V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?4;75;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~86:2?:4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><0<266=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;:78?7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1<11318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28685<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8682<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=6=61=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;<7;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2:>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28086:l1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#mnrs{maq:76;o0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu laspzj`r;978n7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!c`pq}kcs4;49i6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.bcqv|hb|595>h5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/abvwim}6?2?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV:R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT5\,div(j{;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0=0=4:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>3:4443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95;5>95Z0.eqb+ta'nf;"j gscp*wus{&i:#{?31?317>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:56;>0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0?0>229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?7;433\:$kh!rg-dh5(ul&mym~ }suq,g4)q9595=?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><5<10>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:368887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1;1259V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?1;7582_;#j|i.sd,ci6)zm%l~l}!rrvp+fijx98o7X> gsd-vc)`d9$yh"i}ar,qwqu(kfg{<Rm`mq3\KWY1Wf8n7X> gsd-vc)`d9$yh"i}ar,qwqu(kfg{<Rm`mq3\KWY1Wf;9<6[?/fpe*w`(oe:%~i!hr`q-vvrt'jef|<<6;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`9m7X> gsd-vc)`d9$yh"|nup,IhsWni;"naznuY24XY@FMU<5RaPmtz\6ZIR\8::?k5Z0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_46ZWNDOS:7Po^ov|Z4XG\^:=<:;;T2,cw`)zo%l`= }d.psjqt(EdsSjm?.bmvjq]68TULBIQ89^m\ip~X:VE^X<?PIOT\46b<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV??]^EM@Z1>WfUfyuQ=_NWW617<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV??]^EM@Z1>WfUfyuQ=_NWW6ZUP8:o0Y=!hrg,qb*ak8'xo#~ats-Nip~Xoj:%ob{atZ33YZAILV=2SbQbuy]1[JSS;89n7X> gsd-vc)`d9$yh"|nup,IhsWni;"naznuY24XY@FMU<5RaPmtz\6ZIR\=;8j6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX55[XOGNT;4Q`_lw{[7YH]]?:=>k4U1-dvc(un&mg<#|k/srmpw)Je|rTkn>!cnwmp^77UVMEHR96_n]nq}Y5WF__9?=j;T2,cw`)zo%l`= }d.psjqt(EdsSjm?.bmvjq]68TULBIQ89^m\ip~X:VE^X;?<e:W3+bta&{l$ka>!re-qtkru'Dg~tRil0/alqkr\99WTKCJP78]l[hsW;UDYY9>3g9V4*aun'xm#jb?.sf,vuhsz&GfyuQhc1,`kphsS8:VSJ@K_6;\kZkrpV8TCXZ7101f?P6(o{l%~k!hl1,q`*twf}x$A`{w_fa3*firf}Q:<PQHNE]4=ZiXe|rT>RAZT900a>S7'nxm"h gm2-va)uxg~y#@czx^e`4+eh}g~P==SPGOF\3<YhWdsS?Q@UU;27c=R8&myj#|i/fn3*wb(zyd~"Cbuy]dg5(dg|dW<>R_FLG[2?XgVg~tR<POTV:647f3\:$kh!rg-dh5(ul&x{by| N062e>S7'nxm"h gm2-va)uxg~y#C?6189V4*aun'xm#jb?.sf,vuhsz&D?>>5Z0.eqb+ta'nf;"j rqlwv*ad8'idycz30?07?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp9776;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d0<0=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>1:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4:49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2;>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8085;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}6=2?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<6<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:?6;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d040<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY24XY@FMU<5RaPmtz\6ZIR\5:5?o5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT11_\CKBX?0UdS`{w_3]LQQ:6879j7X> gsd-vc)`d9$yh"|nup,cf6)kfexV??]^EM@Z1>WfUfyuQ=_NWW8484i2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Q:<PQHNE]4=ZiXe|rT>RAZT=0=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\99WTKCJP78]l[hsW;UDYY2<>2c8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_46ZWNDOS:7Po^ov|Z4XG\^783=n;T2,cw`)zo%l`= }d.psjqt(oj:%ob{atZ33YZAILV=2SbQbuy]1[JSS4<48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU>0\]DJAY01VeTaxvP2^MVP909;h1^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P==SPGOF\3<YhWdsS?Q@UU>4:6g<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS8:VSJ@K_6;\kZkrpV8TCXZ38?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^77UVMEHR96_n]nq}Y5WF__040=2:W3+bta&{l$ka>!re-qtkru'ni;"naznu]367=R8&myj#|i/fn3*wb(zyd~"il0/alqkrX9;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dS<>=2:W3+bta&{l$ka>!re-qtkru'ni;"naznu]167=R8&myj#|i/fn3*wb(zyd~"il0/alqkrX;;80Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dS9<=;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^716>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqY1:;1^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~T;?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_901?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ?5>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1>1269V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:6878=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><0<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9585>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>0:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;783<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28085>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=181279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:06;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?38?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6404996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;9:6[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^3360=R8&myj#|i/fn3*wb(zyd~"il0/alqkrXl8U9>85Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0]060=R8&myj#|i/fn3*wb(zyd~"il0/alqkrXl8U?>85Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0]660=R8&myj#|i/fn3*wb(zyd~"il0/alqkrXl8U=>85Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0]460=R8&myj#|i/fn3*wb(zyd~"il0/alqkrXl8U3>85Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0]:7<=R8&myj#|i/fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_84\kZKRPV=8Sb?>2d9V4*aun'xm#jb?.vp,crgt&~y"m>/fov|+efz{seiy2?>3g8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(di{xrbhz31?0f?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)khxyuck{<3<1a>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&jky~t`jt=1=6`=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+bkrp'ij~waeu>7:7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^2Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\=T$la~ bs318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28585<2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86;2<<<;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=3=61=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7;97;9?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2=>368Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28786::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7?3<;;T2,cw`)zo%l`= xr.etev(p{}y$o<!y1=1=575<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64=4986[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2;>000?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5939:=1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';793?=e:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*fguzpdnx1>12d9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+efz{seiy2>>3g8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(di{xrbhz32?0f?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)khxyuck{<2<1a>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&jky~t`jt=6=6c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW=S!gl3-i44a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U>]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[3_-chu)ez8m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY0Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_1[)ody%a~<i;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-ch]2U'mf#c|229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?4;433\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q95:5=?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><0<10>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:668887X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1<1259V4*aun'xm#jb?.vp,crgt&~y"m=/w3?6;75;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8682?:4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><2<266=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+s7;<78?7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=1:11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28085<2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86>2<<?;T2,cw`)zo%l`= xr.etev(p{}y$obc1208Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr2[BHCW0<TcRCZX^50[j473\:$kh!rg-dh5(pz&m|m~ xsuq,gjkw:;20Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPfc]j60=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUb8o5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW<?R_FLG[<>XgVkohR:POTV\g|:687>o7X> gsd-vc)`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ:=PQHNE]:<ZiXimnT8RAZT^az846998>j7X> gsd-vc)`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ:=PQHNE]:<ZiXimnT8RAZT^az8483k2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\98WTKCJP99]l[dbcW=UDYYQcuu>24;2e3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]69TULBIQ68^m\eabX<VE^XRbzt=0=0g=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_47ZWNDOS46Po^cg`Z2XG\^T`xz33?6a?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY25XY@FMU24RaPaef\0ZIR\Vf~x1:14c9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[03^[BHCW02TcRokd^6\KPRXd|~793:m;T2,cw`)zo%l`= xr.et`f7)minty!Baef\`l`aW~xT~iU>1\]DJAY>0VeTmijP4^MVPZjr|5<58o5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW<?R_FLG[<>XgVkohR:POTV\hpr;?7>i7X> gsd-vc)`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ:=PQHNE]:<ZiXimnT8RAZT^nvp9>9<k1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPltv?=;2b3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]69TULBIQ68^m\eabX<VE^XRv`r=33:472:2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\98WTKCJP99]l[dbcW=UDYYQwos>24;76WZ];9>5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW<?R_FLG[<>XgVkohR:POTV\|jt;97;:SD@Y_16g?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY25XY@FMU24RaPaef\0ZIR\Vrd~1<1106g?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY25XY@FMU24RaPaef\0ZIR\Vrd~1=1106f?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY25XY@FMU24RaPaef\0ZIR\Vrd~1:110366>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcWmcmjRy}_sfX54[XOGNT55Q`_`fg[1YH]]Usc2;>032[VQ7<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?0;75<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?1;76<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?2;76<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?3;76<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?<;76<m1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?=;76=81^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZPxnp?=;76WZ];?:5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?4;503\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUhu1?1369V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;:79<7X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qly=1=72=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs783=8;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}939;>1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw36?14?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq5=5?:5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?<;503\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUhu171399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss494856[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?558402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz31?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^nvp959;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sa{{<5<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~793=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:16:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=5=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe050<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;17937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>3:6?<]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1??>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5;5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq878402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|33?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{6?2>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv939;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<7<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7;3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:?6:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=;=65=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+K03;2_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|PreY25XY@FMU24RaPaef\0ZIR\5;;29<4U1-dvc(un&mg<#y}/fugg4(pljosx"jffg]tvZtcS8;VSJ@K_8:\kZgclV>TCXZ31?61?P6(o{l%~k!hl1,tv*aplj;%{imjxu-gmc`X{UyhV?>]^EM@Z??WfUjhiQ;_NWW8783:2_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|PreY25XY@FMU24RaPaef\0ZIR\5958?5Z0.eqb+ta'nf;"z| gvf`5+qcklr#igif^uq[wb\98WTKCJP99]l[dbcW=UDYY2;>508Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.fjbcYpzVxoW<?R_FLG[<>XgVkohR:POTV?1;253\:$kh!rg-dh5(pz&m|hn?!weaf|q)caolT{Q}dZ32YZAILV33SbQnde]7[JSS4?4?>6[?/fpe*w`(oe:%{!hwea2*rbdmq~$hdhi_vp\va]69TULBIQ68^m\eabX<VE^X191439V4*aun'xm#jb?.vp,crbd9'}oohv{/ekebZquW{nP=<SPGOF\==YhWhnoS9Q@UU>;:14<]9%l~k }f.eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[03^[BHCW02TcRokd^6\KPR;178m7X> gsd-vc)`d9$|~"ixdb3-saebp}%oekhPws]q`Zrjx5:5?=5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0=0<1:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRg311<05>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?548482_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;979;7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`692>>4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1=1319V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf<5<04>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?1;573\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:16::0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5=5?=5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub050<0:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRg39?0e?P6(o{l%~k!hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]36c=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[4573\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY68::0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV;:>k5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS?<i;T2,cw`)zo%l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ<2g9V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_50e?P6(o{l%~k!hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]66c=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[34a3\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY0:o1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW18m7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U2=i5Z0.eqb+ta'nf;"z| r`o\swYbfVc:895Z0.eqb+ta'nf;"z| wqlwv*Kj}qUlen>!gb2-gjsi|R;;QRIAD^;7[jYj}qU9SB[[11370>S7'nxm"h gm2-sw)pxg~y#@czx^ejg5(`k9$hcx`{[02^[BHCW0>TcRczx^0\KPR698>27X> gsd-vc)`d9$|~"ynup,IhsWnch<#il0/alqkr\99WTKCJP95]l[hsW;UDYY?>_HLU[5253\:$kh!rg-dh5(pz&}{by| Mlw{[bod8'mh<#m`uovX55[XOGNT59Q`_lw{[7YH]]8?:6[?/fpe*w`(oe:%{!xpovq+HkrpVmbo= hc1,`kphsS8:VSJ@K_86\kZkrpV8TCXZ=_RU306=R8&myj#|i/fn3*rt(yd~"Cbuy]dmf6)oj:%ob{atZ33YZAILV3?SbQbuy]1[JSS;8>87X> gsd-vc)`d9$|~"ynup,IhsWnch<#il0/alqkr\99WTKCJP95]l[hsW;UDYY:>459V4*aun'xm#jb?.vp,suhsz&GfyuQhib2-cf6)kfexV??]^EM@Z?3WfUfyuQ=_NWW1473;2_;#j|i.sd,ci6){%||cz}/Lov|Zank9$lo= lotlw_46ZWNDOS4:Po^ov|Z4XG\^>>9=4U1-dvc(un&mg<#y}/vrmpw)Je|rTkdm?.fa3*firf}Q:<PQHNE]:0ZiXe|rT>RAZT7377>S7'nxm"h gm2-sw)pxg~y#@czx^ejg5(`k9$hcx`{[02^[BHCW0>TcRczx^0\KPR09=>0Y=!hrg,qb*ak8'}y#z~ats-Nip~Xo`i;"jm?.bmvjq]68TULBIQ64^m\ip~X:VE^X5?>429V4*aun'xm#jb?.vp,suhsz&GfyuQhib2-cf6)kfexV??]^EM@Z?3WfUfyuQ=_NWW<7243\:$kh!rg-dh5(pz&}{by| Mlw{[bod8'mh<#m`uovX55[XOGNT59Q`_lw{[7YH]]3:895Z0.eqb+ta'nf;"z| wqlwv*Kj}qUlen>!gb2-gjsi|R;;QRIAD^;7[jYj}qU9SB[[9332e>S7'nxm"h gm2-sw)pxg~y#C?<1`9V4*aun'xm#jb?.vp,suhsz&D:4<74U1-dvc(un&mg<#y}/vrmpw)I<;20Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at=2=6<=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|d0<>1299V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphs484946[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov?6;4?3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~by2<>3:8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|5>5>55Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw808502_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idycz36?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}6<2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmp9>9:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{<8<0b>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~P==SPGOF\=1YhWdsS?Q@UU>3:16<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexV??]^EM@Z?3WfUfyuQ=_NWW8469;o1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[02^[BHCW0>TcRczx^0\KPR;979m7X> gsd-vc)`d9$|~"ynup,cle7∋"naznuY24XY@FMU28RaPmtz\6ZIR\585?k5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw_46ZWNDOS4:Po^ov|Z4XG\^7?3=i;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjq]68TULBIQ64^m\ip~X:VE^X1:13g9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsS8:VSJ@K_86\kZkrpV8TCXZ35?1e?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Q:<PQHNE]:0ZiXe|rT>RAZT=4=7c=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dW<>R_FLG[<2XgVg~tR<POTV?3;5a3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byU>0\]DJAY><VeTaxvP2^MVP9>9;o1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[02^[BHCW0>TcRczx^0\KPR;178<7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]362=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dS<<7;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqY68;=0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^013>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~T??94U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZ25?2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczP5358Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|V<9;6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\371<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexR6=7:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrX1;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f28585k2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd0>24;4e3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk1=3=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi?32?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo=1=12c9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm;783<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc95?5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a7;>78i7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g5919:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_e3?<;4e3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk1=;=6d=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi?P03c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn:S<<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc9V;;>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a7X:;k0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f2[64f3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk1^61e>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<Q:2`9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm;T:?o4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W>8j7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g5Z>5i2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd0]:6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi<30?0`?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo>1??>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn90<0=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;692?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb54:49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`7:36;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f18085j2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd3>5:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj=<6<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th?27>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn9040=a:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;U;>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a4X9;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f1[465i2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd3]16d=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi<P33c8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn9S9<n;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc:V?9m6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`7Y1:h1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_e0\37g<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj=_90b?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo>R7>7:W3+bta&{l$ahc dnww[l:76820Y=!hrg,qb*kbe&ndyyQf<02=5==R8&myj#|i/lgn+air|Vc7=<0>8:W3+bta&{l$ahc dnww[l:6:7;37X> gsd-vc)jmd%ocxzPi=30:4><]9%l~k }f.ofi*bh}}Ub0<:1199V4*aun'xm#`kb/emvpZo;9<4:46[?/fpe*w`(elg$hb{{_h>22;7?3\:$kh!rg-nah)cg|~Te1?8>0:8Q5)`zo$yj"cjm.flqqYn4825=55Z0.eqb+ta'dof#iazt^k?5<86?2_;#j|i.sd,i`k(lfSd2>>0:8Q5)`zo$yj"cjm.flqqYn4;:5=55Z0.eqb+ta'dof#iazt^k?648602_;#j|i.sd,i`k(lfSd2=2?3;?P6(o{l%~k!bel-gkprXa5882<64U1-dvc(un&gna"j`uu]j8729911^<"i}f/pe+hcj'me~xRg324<2<>S7'nxm"h mdo,`jssW`69:3?7;T2,cw`)zo%fi`!kotv\m9406820Y=!hrg,qb*kbe&ndyyQf<3:=5==R8&myj#|i/lgn+air|Vc7>40>7:W3+bta&{l$ahc dnww[l:56820Y=!hrg,qb*kbe&ndyyQf<22=5==R8&myj#|i/lgn+air|Vc7?<0>8:W3+bta&{l$ahc dnww[l:4:7;37X> gsd-vc)jmd%ocxzPi=10:4><]9%l~k }f.ofi*bh}}Ub0>:1199V4*aun'xm#`kb/emvpZo;;<4:;6[?/fpe*w`(elg$hb{{_h>0:41<]9%l~k }f.ofi*bh}}Ub090>7:W3+bta&{l$ahc dnww[l:268=0Y=!hrg,qb*kbe&ndyyQf<7<23>S7'nxm"h mdo,`jssW`6<2<94U1-dvc(un&gna"j`uu]j8=86?2_;#j|i.sd,i`k(lfSd26>048Q5)`zo$yj"cjm.flqqYnW9;=7X> gsd-vc)jmd%ocxzPi^323>S7'nxm"h mdo,`jssW`U:<<94U1-dvc(un&gna"j`uu]j[476?2_;#j|i.sd,i`k(lfSdQ>2058Q5)`zo$yj"cjm.flqqYnW89:;6[?/fpe*w`(elg$hb{{_h]2041<]9%l~k }f.ofi*bh}}UbS<;>7:W3+bta&{l$ahc dnww[lY6>8=0Y=!hrg,qb*kbe&ndyyQf_0523>S7'nxm"h mdo,`jssW`U:4<94U1-dvc(un&gna"j`uu]j[4?6>2_;#j|i.sd,i`k(lfSdQ=169V4*aun'xm#`kb/emvpZoX:9;<7X> gsd-vc)jmd%ocxzPi^0252=R8&myj#|i/lgn+air|VcT>??8;T2,cw`)zo%fi`!kotv\mZ449>1^<"i}f/pe+hcj'me~xRgP2534?P6(o{l%~k!bel-gkprXaV8>=:5Z0.eqb+ta'dof#iazt^k\63703\:$kh!rg-nah)cg|~TeR<8169V4*aun'xm#`kb/emvpZoX:1;<7X> gsd-vc)jmd%ocxzPi^0:53=R8&myj#|i/lgn+air|VcT?<94U1-dvc(un&gna"j`uu]j[666?2_;#j|i.sd,i`k(lfSdQ<1058Q5)`zo$yj"cjm.flqqYnW:8:;6[?/fpe*w`(elg$hb{{_h]0741<]9%l~k }f.ofi*bh}}UbS>:>7:W3+bta&{l$ahc dnww[lY4=8<0Y=!hrg,qb*kbe&ndyyQf_535?P6(o{l%~k!bel-gkprXaV?::6[?/fpe*w`(elg$hb{{_h]553=R8&myj#|i/lgn+air|VcT;<84U1-dvc(un&gna"j`uu]j[=713\:$kh!rg-nah)cg|~TeR7;3:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>3:12<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn48:5895Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(lfnRg310<70>S7'nxm"h mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{kc!kotva[l:6:7>?7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*bh}}hTe1?<>568Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#iaztc]j8429<=1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,`jssjVc7=80;4:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>22;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa5;<29:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<0:=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;904??6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2>>568Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#iaztc]j8769<=1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,`jssjVc7><0;4:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>16;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa58829:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<36=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;:<4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2=6?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9406=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0?61459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?6<83;2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`6929:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<22=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;;84?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2<2?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9546=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0>:1459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?7083;2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`6829=4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<5<77>S7'nxm"h mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{kc!kotva[l:26=90Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0;0;3:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>4:15<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn414??6[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd26>6:8Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kf;55Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:Q#|nm/p,w3Yig`dbx#|nm.QGT+UNEYFNS]\FM0:-vdk002_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^4Z&{kf"!|6^llmkos&{kf#^J_.RKNTICXX[CF=5 }al5;?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba[2_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xja<h4U1-dvc(un&gna"imm/vntZtfeVxoSh`=0:W3+bta&{l$ahc gco-phvXzhgT~iQjn030?P6(o{l%~k!}al]q`Zci9>1^<"i}f/pe+wgjW{olcxzPeo30?P6(o{l%~k!}al]tvZci9m1^<"i}f/pe+wusjea$^^ZPFTNO[BCI:11^<"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e31<>S7'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th?<7;T2,cw`)zo%yylck.eqwqb6&nxxxRhzlm,qwqYc;;i0Y=!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc969:j1^<"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8485k2_;#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;4e3\:$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]36g=R8&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_00a?P6(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}UyijQ=2b9V4*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0=0=c:W3+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlm7=3<m;T2,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU;>o5Z0.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`W8;o7X> gsd-vc)u{}hgg"|k_sqw[duumn8;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo=?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,vaYu{}Uhc`l>d:W3+bta&{l$~~zmlj-q`Ztt|Vidao?>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{ySl}}ef]g672<]9%l~k }f.pppgjl'~xT~~zParpfcZtt|ye=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;j7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA3=PMH6;2;5XE@>2:3=PMH69255XE@>0>5813^OJ0>09;VGA85813^OI0<09;VGA878?3^OI0>4?>79TAG:46k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`:1:]\[]JIEVUT<RQPU1-dvc(un&mg<#y}/vrmpw)Je|rTkdm?.fa3*firf}Q:<PQHNE]:0ZiXe|rT>RAZT7372>YXWQFEARQP11]\[P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5%@d:9?5P_^ZOJHYXW8;TSR[?/fpe*w`(oe:%{!xpovq+HkrpVmbo= hc1,`kphsS8:VSJ@K_86\kZkrpV8TCXZ<15f8[ZY_DGGTSR?=_^]V4*aun'xm#jb?.sf,vuhsz&GfyuQhc1,`kphsS8:VSJ@K_6;\kZkrpV8TCXZ;15;8[ZY_DGGTSR?<_^]V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9R;;QR|jdtaf[}iuW<Ud=9o4_^][HKKXWV;?SRQZ0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5^77UVxnhxmj_ymq[4?Xg8?=7RQPXMLN[ZY6=VUTY=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ:=PQHNE]:6ZiXe|rT9RAZT537<>YXWQFEARQP17]\[P6(o{l%~k!hl1,tv*apiz$|y} Mlw{[fijx8ULBIQ66^m\IP^X?:Ud=<;>;^]\\IHJWVU:;RQPU1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4]68TUyii{le^zlvZ?6Wqe7<3Q\W171?ZYXPEDFSRQ>8^]\Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q:<PQ}eew`aZ~hzV8?=Rv`<1<\WR64;2UTSUBAM^]\5<YXW\:$kh!rg-qwqdkc&}yS}{_`qqabYu{}zdx9m4_^][HKKXWV;TSR[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX55[XOGNT;4Q`_lw{[7YH]]<:8n5P_^ZOJHYXW;:TSR[?/fpe*w`(ojr%oaew/LzlvZTCWYD_^V>R_SF\TKRUS8WTTB\P74]l[}i;87;?o6QP_YNMIZYX:8UTSX> gsd-vc)`kq$h`fv Mymq[RTXXG^YW=SPWS]SJQT\9TUSC_Q>0^m\|j:768>h7RQPXMLN[ZY5:VUTY=!hrg,qb*adp'iggu!Bxnp\VAYWF]XP4PQ]D^RMPW]>UVRD^R88_n]{k9699=h0SRQWLOO\[Z44WVU^<"i}f/pe+be&jf`t"Cwos]TVZVI\[Q3QRY]_QLWV^?ZWQEYS?Q`_ym?4;73k2UTSUBAM^]\61YXW\:$kh!rg-dg}(ddbr$Aua}_SF\TKRUS;WT^IQ_NUPX7XY_G[U<?RaPxn>3:42d3VUTTA@B_^]11ZYX]9%l~k }f.e`|+ekcq%Ftb|PRE]SJQT\=TUYHR^ATSY5YZ^HZV=;SbQwo=2=51d<WVUS@CCP_^05[ZYR8&myj#|i/fa{*fjlp&GscQXR^RMPW]5UV]YS]@[RZ1^[]IUW1UdSua30?37f>YXWQFEARQP26]\[P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_0[X_[U[BY\T6\][KWY2WfUsc1>11478[ZY_DGGTSR<P_^W3+bta&{l$ka>!re-dv4(`zmi9"jl/Lov|ZbnnoU|~R|k[03^[BHCW08TcRczx^7\KPR19<;0SRQWLOO\[Z5XWV_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[02^[wcc}joTtb|P133\|j:76VY\<8?4_^][HKKXWV>TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_46ZW{ooynkPxnp\637Xpf6;2R]X03g8[ZY_DGGTSR;P_^W3+bta&{l$ahc gco-phvXzhgT~iQjn06g?ZYXPEDFSRQ9_^]V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9R;;QR|jdtaf[}iuW>;Ttb2?>06f?ZYXPEDFSRQ8_^]V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9R;;QR|jdtaf[}iuW;;:Sua30?36f>YXWQFEARQP8^]\Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ32YZAILV33SbQnde]7[JSSWqey090>2578[ZY_DGGTSR7P_^W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi9j1j``a|t^gntqe3hffc~zPftno2>dfkb{h6lncjws[hguclx87nbdd:fbpdYdg|d$='k;ecweZeh}g~#=$k4d`vb[firf}":<$j4d`vb[firf}"9%i5kauc\gjsi|!9"h6jnt`]`kphs =#o7io{a^alqkr/= n0hlzn_bmvjq.1!m1omyoPcnwmp-1.l2njxlQlotlw,=/c3mkmRm`uov+=,773mkmRm`uov?55<76>1ondzjrs48`lh/8 <0hd`'1(58`lh/99#<7iga(03*3>bnf!;9%:5kio*27,1<l`d#=9'8;ekm,43.?2nbb%?9)69gmk.6? =0hd`'19+4?aoi 83":6jfn)0*3>bnf!8;%:5kio*15,1<l`d#>?'8;ekm,75.?2nbb%<;)69gmk.5= =0hd`'27+4?aoi ;=";6jfn)0;-2=cag"95$84dhl+7,1<l`d#?='8;ekm,67.?2nbb%==)69gmk.4; =0hd`'35+4?aoi :?":6jfn)6*2>bnf!?":6jfn)4*2>bnf!=":6jfn):*2>bnf!3":6jfn=2=3>bnf5;;2:5kio>25;1<l`d7=?08;ekm8459?2nbb1?;>69gmk:6=7=0hd`317<4?aoi48=5;6jfn=3;:2=cag6:5384dhl?5;1<l`d7>=08;ekm8779?2nbb1<=>69gmk:5;7=0hd`325<4?aoi4;?5;6jfn=05:2=cag69;394dhl?6=803mce0?716:fjj949?2nbb1=?>69gmk:497=0hd`333<4?aoi4:95;6jfn=17:<=cag6897>17:fjj9526?1oec2<>79gmk:36?1oec2:>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr/8 =0hb{{(0+;?air|!;;%55kotv+54/?3me~x%?=)99gkpr/9:#37iazt)37-==cg|~#=8'7;emvp-71!11ocxz'16+;?air|!;3%55kotv+5</03me~x%<&8:flqq.58 20hb{{(33*<>bh}}"9>$64dnww,75.02ndyy&=4(:8`jss ;?"46j`uu*12,><lf$?9&8:flqq.50 20hb{{(3;*3>bh}}"8%55kotv+75/?3me~x%=>)99gkpr/;;#37iazt)10-==cg|~#?9'7;emvp-52!>1ocxz'4(58`jss <#<7iazt)4*3>bh}}"<%:5kotv+<,1<lf$4'8;emvp96902ndyy2>0?:8`jss48;546j`uu>26;><lf0<=18:flqq:6<720hb{{<07=<>bh}}6::364dnww841902ndyy2>8?:8`jss4835;6j`uu>2:==cg|~7>=07;emvp946611ocxz323<;?air|588255kotv?618?3me~x1<:>99gkpr;:?437iazt=04:==cg|~7>507;emvp94>6>1ocxz32?:8`jss4::546j`uu>05;><lf0><18:flqq:4;720hb{{<26=e>bh}}6897>18:flqq:4=7=0hb{{<2<4?air|5>5;6j`uu>6:2=cg|~7:394dnww82803me~x1617:flqq:>611nhdh=nff6?`kw|p8m7kgio^efj`tf|fxTz;Q>,!Zjhlh(JEYI-Ijndpbpjt'9;$:=?5iigm\w3Y5Cq9=S?mck228bl`hWz<T>Fv<6^0`hn*aaoeTkh`jr`vlvZp1W8&ECCK#NNLF7c4<n`ldS~8P2Jz02Z4ddb&mekaPgdlfvdrhzV|=S<"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUnbllce^pppZu~fj7: nQgar]q`Zbf|hUhcx`{=0.`[aoiW~coxe3<6-a\lduX{UomyoPcnwmp87+kVl~`aQil`ep[wusWkg1<"l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1>8#c^opcjhX~hf6=!mPre]gauro5;:?4!mPesplvZoiblieb`Ptxrf95*dWakxSx`kesdokr;7$jUcm~QnllmppZ`rde7; nQgar]qwq;6$jUomyoPcnwmpZqnl}b6=<"l_icp[rtXija6<!mPurg\afe:8%iTobcboo]`hjel59&hSz|Pabi\hjq:8%iT~iQnup\slbs`4;: nQzsd]escrXlh~jSnaznu?2(fYoizUj``a|t^gntq:8%iT~iQkauc\gjsi|V}bhyf213.`[jpbzofd{Rb`w<2/gZquWyd~Ryfduj>54*dWyxdkRkbpu{\p|vb59&hSz|Pd`vb[firf}U|eizg=00/gZvumeejhR|jgr?2(fYcg|~T~~zPv`n>4)eX`hyThlzn_bmvjq;7$jU{~biPftno[qnumzbTbhintd]uei;58=<'oRy}_egspm;58=2'oR~}of]fiur~W}byi~fPndebp`Yqie7> nQrne\ahvsqV~c~h}g_`qpawrX~hf68!mPh`q\rdjnl4:'oR~}of]eqijX|axneQnsrgqpZpfd48;8:"lolrlj`hsWgkfi0hffn]p2Z4Lp:<T>nbd,b]ueiocWee|1="l_qpjiZ`nnfUu}k22jz2<)eXx{elSk{cl^vzt`;7$jUfi`a}y^vzt`;6$t8h7kgio^q5[7M;?U9oaePfhdl[bcim{kcQy6^3\|vrX9=1myabk;hliafrcj`~n~j4iohfgquea}oy~:5fnu]`hn><fniiydbk8:muaw`kg~k0|ah_dosp|733yxdkRkbpu{\pmtb{a";%<:4psmd[`kw|pUdk|h)3*50=wzfmTi`~{y^vkv`uo 8:"=95rne\ahvsqV~c~h}g(3+20>vugnUna}zv_ujqavn/; ;?7}|`g^gntqX|axne&;)068twi`Wlg{xtQ{hsgpl-3.9=1{~biPelrw}Zrozlyc$;'>4:rqkbYbey~rSyf}erj+3,733yxdkRkbpu{\pmtb{a"3%<:4psmd[`kw|pUdk|h);*52=wzfmTi`~{y^vkv`uo48:1<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5rne\ahvsqV~c~h}g_`qpawr/9 ;h7}|`g^gntqX|axneQnsrgqp-77!8h0|ah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShctx]wlwct`Vkxh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx$;'>b:rqkbYbey~rSyf}erj\evubz}"<%<l4psmd[`kw|pUdk|h^cpw`ts 1#:n6~}of]fiur~W}byi~fParqfvq.>!8o0|ah_dosp|Ys`{oxdRo|sdpw846=87;i7}|`g^gntqX|axneQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7d3yxdkRkbpu{\pmtb{aUeijo{e)33-4d<x{elShctx]wlwct`Vdnklzj(3+2f>vugnUna}zv_ujqavnXflmjxh&<)0`8twi`Wlg{xtQ{hsgplZhboh~n$9'>b:rqkbYbey~rSyf}erj\j`af|l">%<l4psmd[`kw|pUdk|h^lfcdrb ?#:n6~}of]fiur~W}byi~fPndebp`.0!8h0|ah_dosp|Ys`{oxdR`jg`vf,=/6j2zycjQjmqvz[qnumzbTbhintd*:-4c<x{elShctx]wlwct`Vdnklzj<0294;?<x{elSk{cl018twi`Wog`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<:4psmd[cskdV~c~h}g(02*56=wzfmTjxbc_ujqavn/: ;87}|`g^dvhiYs`{oxd%=&129svjaXn|fgSyf}erj+0,743yxdkRhzlm]wlwct`!?"=>5rne\bpjkW}byi~f'6(30?uthoVl~`aQ{hsgpl-1.9:1{~biPftno[qnumzb#4$?<;qplcZ`rdeUdk|h);*53=wzfmTjxbc_ujqavn;990;2<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6j2zycjQiumn\pmtb{aUj~k}t)33-4g<x{elSk{cl^vkv`uoWhyxiz'2(3b?uthoVl~`aQ{hsgplZgt{lx$>'>a:rqkbYa}efTxe|jsi]bwvcu|!>"=l5rne\bpjkW}byi~fParqfvq.2!8k0|ah_gwohZrozlycSl}|esv+2,7f3yxdkRhzlm]wlwct`Vkxh|{(6+2e>vugnUmyabPtipfwmYf{zoyx%6&1`9svjaXn|fgSyf}erj\evubz}"2%<j4psmd[cskdV~c~h}g_`qpawr;990;2<o4psmd[cskdV~c~h}g_ogdeqc/8 ;j7}|`g^dvhiYs`{oxdR`jg`vf,4/6j2zycjQiumn\pmtb{aUeijo{e)33-4g<x{elSk{cl^vkv`uoWgolmyk'2(3b?uthoVl~`aQ{hsgplZhboh~n$>'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8k0|ah_gwohZrozlycSckhaug+2,7f3yxdkRhzlm]wlwct`Vdnklzj(6+2e>vugnUmyabPtipfwmYimnki%6&1`9svjaXn|fgSyf}erj\j`af|l"2%<j4psmd[cskdV~c~h}g_ogdeqc;990;2;5}d^aoo46<zmUomyoPcnwmp-6.991yhRjnt`]`kphs 8#:=6|k_ecweZeh}g~#=='>1:pg[agsiVidycz'10+24>tcWmkmRm`uov+6,773{nThlzn_bmvjq.4!8:0~iQkauc\gjsi|!>"==5}d^fbpdYdg|d$8'>0:pg[agsiVidycz'6(33?wbXlh~jSnaznu*4-46<zmUomyoPcnwmp->.991yhRjnt`]`kphs 0#:<6|k_ecweZeh}g~7<3?>;sf\`drfWje~by2>0?30?wbXlh~jSnaznu>25?69981yhRjnt`]`kphs48;5==5}d^fbpdYdg|d0<0>0:pg[agsiVidycz32?33?wbXlh~jSnaznu>0:46<zmUomyoPcnwmp929991yhRjnt`]`kphs4<4:<6|k_ecweZeh}g~7:3??;sf\`drfWje~by28>028vaYci}kTob{at=:=55=ulVnjxlQlotlw8<823{nTic84re]qwq5<zz~<7~lftdpq0>uu{};n7yc/^ad+coagVy=S?Ew37]1gim)fne27x`kesdokr3<~hfbh;5xr^c`o3=pzVigg<>4ws]geqgXkfex%>&119tvZbf|hUhcx`{(0+25>quWmkmRm`uov+55/692}ySio{a^alqkr/98#:<6y}_ecweZeh}g~#>$??;vp\`drfWje~by&<)028swYci}kTob{at)6*55=pzVnjxlQlotlw,0/682}ySio{a^alqkr/> ;;7z|Pd`vb[firf}"<%<>4ws]geqgXkfex%6&119tvZbf|hUhcx`{(8+24>quWmkmRm`uov?4;763~xThlzn_bmvjq:687;87z|Pd`vb[firf}6:=7>1109tvZbf|hUhcx`{<03=55=pzVnjxlQlotlw848682}ySio{a^alqkr;:7;;7z|Pd`vb[firf}682<>4ws]geqgXkfex1:1119tvZbf|hUhcx`{<4<24>quWmkmRm`uov?2;773~xThlzn_bmvjq:068:0{Qkauc\gjsi|525==5xr^fbpdYdg|d040:;vp\ak0<{UyysO@q31e4=GHq<o:7H54;3xW23=>?:1o7?<311a<?55:lkpb87j:09m1<`=>2.>5n4:979~W25=>?:1o7?<311a<?55:lk0_<9l:74a>5<6;::8n54<23ga?V142?<i6=4>3220f=<4:;oh7i893;295?7|[>?1:;>5c;30755e0399>ho4vU03`?6=93;1><ktS679236=k3;8?==m8;116`g<,<236<9k;W7:`?4|}82>6<5z19494>{#9oh1?<5m67194?002:0=;vF:879Y2g<3s8l1=h4>4;36>x"59:0=:>5+58`9234<a?n:6=44i7d7>5<<g?<<6=44o742>5<<a?nj6=44i7f0>5<<g?9?6=4+1gf9201<f8lh6=54o710>5<#9on1:894n0d`>4=<g?996=4+1gf9201<f8lh6?54o712>5<#9on1:894n0d`>6=<g?9;6=4+1gf9201<f8lh6954o70e>5<#9on1:894n0d`>0=<g?8o6=4+1gf9201<f8lh6;54o70`>5<#9on1:894n0d`>2=<g?8i6=4+1gf9201<f8lh6554o70b>5<#9on1:894n0d`><=<g?826=4+1gf9201<f8lh6l54o70;>5<#9on1:894n0d`>g=<g?8<6=4+1gf9201<f8lh6n54o705>5<#9on1:894n0d`>a=<g?8>6=4+1gf9201<f8lh6h54o707>5<#9on1:894n0d`>c=<g?896=4+1gf9201<f8lh6<>4;n415?6=,8lo6;;8;o3eg?7632e=>=4?:%3e`?02?2d:jn4>2:9l24`=83.:ji49569m5ce=9:10c;?j:18'5cb=><=0b<hl:068?j06l3:1(<hk:774?k7ak3;>76a91b83>!7al3<>;6`>fb822>=h>8h1<7*>fe8512=i9oi1=:54o73b>5<#9on1:894n0d`>4><3f<:57>5$0dg>3303g;mo7?6;:m57d<72-;mh78:7:l2bf<6i21d:>750;&2ba<1=>1e=km51c98k35?290/=kj56458j4`d28i07b8<7;29 4`c2??<7c?ic;3g?>i1;?0;6)?id;463>h6nj0:i65`62794?"6nm0=9:5a1ga95c=<g?8n6=4+1gf9201<f8lh6?>4;n417?6=,8lo6;;8;o3eg?4632e==54?:%3e`?02?2d:jn4=2:9l241=83.:ji49569m5ce=::10e8km:18'5cb=>9o0b<hl:198m0cf290/=kj561g8j4`d2810e8k6:18'5cb=>9o0b<hl:398m0c?290/=kj561g8j4`d2:10e8k8:18'5cb=>9o0b<hl:598m0c1290/=kj561g8j4`d2<10e8k;:18'5cb=>9o0b<hl:798m0c4290/=kj561g8j4`d2>10e8k=:18'5cb=>9o0b<hl:998m0c6290/=kj561g8j4`d2010e8k?:18'5cb=>9o0b<hl:`98m0ba290/=kj561g8j4`d2k10e8jj:18'5cb=>9o0b<hl:b98m0bc290/=kj561g8j4`d2m10e8jl:18'5cb=>9o0b<hl:d98m0be290/=kj561g8j4`d2o10e8j6:18'5cb=>9o0b<hl:028?l3c03:1(<hk:72f?k7ak3;:76g:d683>!7al3<;i6`>fb826>=n=m<1<7*>fe854`=i9oi1=>54i4f6>5<#9on1:=k4n0d`>42<3`?o87>5$0dg>36b3g;mo7?:;:k6`6<72-;mh78?e:l2bf<6>21b9i<50;&2ba<18l1e=km51698m0b6290/=kj561g8j4`d28207d;k0;29 4`c2?:n7c?ic;3:?>o2n80;6)?id;43a>h6nj0:m65f5g294?"6nm0=<h5a1ga95g=<a<om6=4+1gf925c<f8lh6<m4;h7fa?6=,8lo6;>j;o3eg?7c32c>ii4?:%3e`?07m2d:jn4>e:9j1`e=83.:ji490d9m5ce=9o10e8k::18'5cb=>9o0b<hl:328?l3ci3:1(<hk:72f?k7ak38:76g:cg83>!7al3<;i6`>fb816>=n=jo1<7*>fe854`=i9oi1>>54o7`a>5<#9on1:n84n0d`>5=<g?hj6=4+1gf92f0<f8lh6<54o7a0>5<#9on1:n84n0d`>7=<g?i96=4+1gf92f0<f8lh6>54o7a2>5<#9on1:n84n0d`>1=<g?i;6=4+1gf92f0<f8lh6854o7`e>5<#9on1:n84n0d`>3=<g?hn6=4+1gf92f0<f8lh6:54o7`g>5<#9on1:n84n0d`>==<g?hh6=4+1gf92f0<f8lh6454o7`:>5<#9on1:n84n0d`>d=<g?h36=4+1gf92f0<f8lh6o54o7ff>5<#9on1:h74n0d`>5=<g?no6=4+1gf92`?<f8lh6<54o7g5>5<#9on1:h74n0d`>7=<g?o>6=4+1gf92`?<f8lh6>54o7g7>5<#9on1:h74n0d`>1=<g?o86=4+1gf92`?<f8lh6854o7g1>5<#9on1:h74n0d`>3=<g?o:6=4+1gf92`?<f8lh6:54o7g3>5<#9on1:h74n0d`>==<g?nm6=4+1gf92`?<f8lh6454o7f`>5<#9on1:h74n0d`>d=<g?ni6=4+1gf92`?<f8lh6o54i7`4>5<<j<2<6=4>:183M3?>2.9=>4:869l5cg=831vn<<9:182>5<7sA?3:6*=128263=h9;?1<75rb7c94?e028n>6??j{I7;2>\1j3;=wh4k:g827?7728;1=?4>f;3f>42=9<0:i7j5e;d955<693;96<=51g820?722t.9=>49679'2`<1>=1/;949649'5c3=9o30e;9l:18'5cb=>1?0b<hl:198m3>3290/=kj56978j4`d2810e;6<:18'5cb=>1?0b<hl:398m3>5290/=kj56978j4`d2:10e;6>:18'5cb=>1?0b<hl:598m3>7290/=kj56978j4`d2<10e;9i:18'5cb=>1?0b<hl:798m31b290/=kj56978j4`d2>10e;9k:18'5cb=>1?0b<hl:998m31e290/=kj56978j4`d2010e;9n:18'5cb=>1?0b<hl:`98m30>2900e;j=:188m3b22900c;j7:188m317290/=kj566;8j4`d2910e;97:18'5cb=>>30b<hl:098m310290/=kj566;8j4`d2;10e;99:18'5cb=>>30b<hl:298m312290/=kj566;8j4`d2=10e;9;:18'5cb=>>30b<hl:498m314290/=kj566;8j4`d2?10e;9=:18'5cb=>>30b<hl:698m316290/=kj566;8j4`d2110e;8i:18'5cb=>>30b<hl:898m30b290/=kj566;8j4`d2h10e;j>:188k30d2900e;67:18'5cb=>0;0b<hl:198m3?7290/=kj56838j4`d2810e;6i:18'5cb=>0;0b<hl:398m3>b290/=kj56838j4`d2:10e;6k:18'5cb=>0;0b<hl:598m3>d290/=kj56838j4`d2<10e;6m:18'5cb=>0;0b<hl:798m3>f290/=kj56838j4`d2>10e;66:18'5cb=>0;0b<hl:998m3>0290/=kj56838j4`d2010e;69:18'5cb=>0;0b<hl:`98k0dc2900c8m<:18'5cb==ji0b<hl:198k0ee290/=kj55ba8j4`d2810c8mn:18'5cb==ji0b<hl:398k0e>290/=kj55ba8j4`d2:10c8m7:18'5cb==ji0b<hl:598k0e0290/=kj55ba8j4`d2<10c8m9:18'5cb==ji0b<hl:798k0e2290/=kj55ba8j4`d2>10c8m;:18'5cb==ji0b<hl:998k0e5290/=kj55ba8j4`d2010c8m>:18'5cb==ji0b<hl:`98k3?52900e;h;:188m3?2290/=kj568g8j4`d2910e;7k:18'5cb=>0o0b<hl:098m3?d290/=kj568g8j4`d2;10e;7m:18'5cb=>0o0b<hl:298m3?f290/=kj568g8j4`d2=10e;76:18'5cb=>0o0b<hl:498m3??290/=kj568g8j4`d2?10e;78:18'5cb=>0o0b<hl:698m3?1290/=kj568g8j4`d2110e;7;:18'5cb=>0o0b<hl:898m3?4290/=kj568g8j4`d2h10c;88:188k3062900e;jn:188m0da2900e;o>:18'5cb=>hk0b<hl:198m3g>290/=kj56`c8j4`d2810e;o7:18'5cb=>hk0b<hl:398m3g0290/=kj56`c8j4`d2:10e;o9:18'5cb=>hk0b<hl:598m3g2290/=kj56`c8j4`d2<10e;o;:18'5cb=>hk0b<hl:798m3g4290/=kj56`c8j4`d2>10e;o=:18'5cb=>hk0b<hl:998m3g7290/=kj56`c8j4`d2010e;7i:18'5cb=>hk0b<hl:`98m3gc290/=kj56c48j4`d2910e;l::18'5cb=>k<0b<hl:098m3d3290/=kj56c48j4`d2;10e;l<:18'5cb=>k<0b<hl:298m3d5290/=kj56c48j4`d2=10e;l>:18'5cb=>k<0b<hl:498m3d7290/=kj56c48j4`d2?10e;oi:18'5cb=>k<0b<hl:698m3gb290/=kj56c48j4`d2110e;ol:18'5cb=>k<0b<hl:898m3ge290/=kj56c48j4`d2h10c8ll:188m30f2900e8m?:188m3`22900c;j;:188k3b>2900e;j<:188k353290/=kj56458j4`d2910c;=<:18'5cb=><=0b<hl:098k355290/=kj56458j4`d2;10c;=>:18'5cb=><=0b<hl:298k357290/=kj56458j4`d2=10c;<i:18'5cb=><=0b<hl:498k34c290/=kj56458j4`d2?10c;<l:18'5cb=><=0b<hl:698k34e290/=kj56458j4`d2110c;<n:18'5cb=><=0b<hl:898k34>290/=kj56458j4`d2h10c;<7:18'5cb=><=0b<hl:c98k340290/=kj56458j4`d2j10c;<9:18'5cb=><=0b<hl:e98k342290/=kj56458j4`d2l10c;<;:18'5cb=><=0b<hl:g98k345290/=kj56458j4`d28:07b8=1;29 4`c2??<7c?ic;32?>i1:90;6)?id;463>h6nj0:>65`60d94?"6nm0=9:5a1ga956=<g?;n6=4+1gf9201<f8lh6<:4;n42`?6=,8lo6;;8;o3eg?7232e==n4?:%3e`?02?2d:jn4>6:9l24d=83.:ji49569m5ce=9>10c;?n:18'5cb=><=0b<hl:0:8?j0613:1(<hk:774?k7ak3;276a93`83>!7al3<>;6`>fb82e>=h>:31<7*>fe8512=i9oi1=o54o71;>5<#9on1:894n0d`>4e<3f<8;7>5$0dg>3303g;mo7?k;:m573<72-;mh78:7:l2bf<6m21d:>;50;&2ba<1=>1e=km51g98k34b290/=kj56458j4`d2;:07b8=3;29 4`c2??<7c?ic;02?>i1910;6)?id;463>h6nj09>65`60594?"6nm0=9:5a1ga966=<a<oi6=4+1gf925c<f8lh6=54i4gb>5<#9on1:=k4n0d`>4=<a<o26=4+1gf925c<f8lh6?54i4g;>5<#9on1:=k4n0d`>6=<a<o<6=4+1gf925c<f8lh6954i4g5>5<#9on1:=k4n0d`>0=<a<o?6=4+1gf925c<f8lh6;54i4g0>5<#9on1:=k4n0d`>2=<a<o96=4+1gf925c<f8lh6554i4g2>5<#9on1:=k4n0d`><=<a<o;6=4+1gf925c<f8lh6l54i4fe>5<#9on1:=k4n0d`>g=<a<nn6=4+1gf925c<f8lh6n54i4fg>5<#9on1:=k4n0d`>a=<a<nh6=4+1gf925c<f8lh6h54i4fa>5<#9on1:=k4n0d`>c=<a<n26=4+1gf925c<f8lh6<>4;h7g<?6=,8lo6;>j;o3eg?7632c>h:4?:%3e`?07m2d:jn4>2:9j1a0=83.:ji490d9m5ce=9:10e8j::18'5cb=>9o0b<hl:068?l3c<3:1(<hk:72f?k7ak3;>76g:d283>!7al3<;i6`>fb822>=n=m81<7*>fe854`=i9oi1=:54i4f2>5<#9on1:=k4n0d`>4><3`?o<7>5$0dg>36b3g;mo7?6;:k6b4<72-;mh78?e:l2bf<6i21b9k>50;&2ba<18l1e=km51c98m0ca290/=kj561g8j4`d28i07d;je;29 4`c2?:n7c?ic;3g?>o2mm0;6)?id;43a>h6nj0:i65f5da94?"6nm0=<h5a1ga95c=<a<o>6=4+1gf925c<f8lh6?>4;h7ge?6=,8lo6;>j;o3eg?4632c>ok4?:%3e`?07m2d:jn4=2:9j1fc=83.:ji490d9m5ce=::10e8lj:188k3de290/=kj56b48j4`d2910c;ln:18'5cb=>j<0b<hl:098k3e4290/=kj56b48j4`d2;10c;m=:18'5cb=>j<0b<hl:298k3e6290/=kj56b48j4`d2=10c;m?:18'5cb=>j<0b<hl:498k3da290/=kj56b48j4`d2?10c;lj:18'5cb=>j<0b<hl:698k3dc290/=kj56b48j4`d2110c;ll:18'5cb=>j<0b<hl:898k3d>290/=kj56b48j4`d2h10c;l7:18'5cb=>j<0b<hl:c98k3bb290/=kj56d;8j4`d2910c;jk:18'5cb=>l30b<hl:098k3c1290/=kj56d;8j4`d2;10c;k::18'5cb=>l30b<hl:298k3c3290/=kj56d;8j4`d2=10c;k<:18'5cb=>l30b<hl:498k3c5290/=kj56d;8j4`d2?10c;k>:18'5cb=>l30b<hl:698k3c7290/=kj56d;8j4`d2110c;ji:18'5cb=>l30b<hl:898k3bd290/=kj56d;8j4`d2h10c;jm:18'5cb=>l30b<hl:c98k30c2900e;87:188k0ec2900e;l8:188k3b02900n876:182>5<7s-8:?7?=6:J6===O=1<0c<<::188yg3>i3:1=7>50z&156<20>1C9464H4:5?j7ai3:17pl<bc83>1<729q/><=51328L0??3A?3:6*>378a?l0d2900e:850;9j5c`=831d>=>50;9~f15?290?6=4?{%027?7582B>555G5948 4512k1b:n4?::k42?6=3`;mj7>5;n034?6=3th??:4?:583>5}#:891=?>4H4;;?M3?>2.:?;4m;h4`>5<<a><1<75f1gd94?=h:9:1<75rb44`>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg30k3:1?7>50z&156<69m1C9464H4:5?M0?3-;3?7<>2:&273<63`<h6=44i6494?=h:9:1<75rb4:2>5<4290;w)<>3;32`>N2111C9584H7:8 4>42;;97)?<6;38m3e=831b;;4?::m145<722wi9;h50;694?6|,;;86<<?;I7:<>N20?1C:55+1919644<,89=6o5f6b83>>o0>3:17d?if;29?j4783:17pl:7c83>6<729q/><=510f8L0??3A?3:6F98:&2<6<59;1/=>851:k5g?6=3`==6=44o323>5<<uk?3<7>53;294~"59:0:=i5G58:8L0>13A<37)?73;026>"6;?0:7d8l:188m20=831d>=>50;9~f00b290?6=4?{%027?7582B>555G5948 4>42;;97E87;%302?d<a?i1<75f7783>>o6no0;66a=0183>>{e=>?1<7:50;2x 774288;7E;68:J6<3=#9:<1n6g9c;29?l112900e<hi:188k7672900qo;84;290?6=8r.9=>4>219K1<><@<2=7)?<6;`8m3e=831b;;4?::k2bc<722e9<=4?::a125=83>1<7>t$330>4473A?246F:879'560=j2c=o7>5;h55>5<<a8lm6=44o323>5<<uk?<m7>55;294~"59:0:><5G58:8L0>13A<37)?73;026>o1k3:17d8k:188m20=831b=kh50;9l656=831vn896:187>5<7s-8:?7?=0:J6===O=1<0(<=9:c9j2f<722c<:7>5;h3eb?6=3f8;<7>5;|`63=<72=0;6=u+2019576<@<337E;76:&273<e3`<h6=44i6494?=n9ol1<75`21294?=zj<<o6=4;:183!46;3;9<6F:999K1=0<@?20(<6<:331?!74>3h0e;m50;9j33<722c:jk4?::m145<722wi9>650;794?6|,;;86<<=;I7:<>N20?1/=>851:k5g?6=3`<o6=44i6494?=n:9;1<75`21294?=zj<9<6=4::183!46;3;9>6F:999K1=0<,89=6<5f6b83>>o1l3:17d99:188m7662900c?>?:188yg34>3:197>50z&156<6:;1C9464H4:5?!74>3;0e;m50;9j2a<722c<:7>5;h035?6=3f8;<7>5;|`670<72<0;6=u+2019574<@<337E;76:&273<63`<h6=44i7f94?=n??0;66g=0083>>i5890;66sm52694?3=83:p(??<:001?M3>02B>4;5+12495>o1k3:17d8k:188m20=831b>=?50;9l656=831vn8=<:186>5<7s-8:?7?=2:J6===O=1<0(<=9:09j2f<722c=h7>5;h55>5<<a;::6=44o323>5<<uk?8=7>55;294~"59:0:>?5G58:8L0>13-;8:7?4i7a94?=n>m0;66g86;29?l4793:17b<?0;29?xd2;90;684?:1y'645=9;80D877;I7;2>"6;?0:7d8l:188m3b=831b;;4?::k144<722e9<=4?::a17`=83?1<7>t$330>4453A?246F:879'560=92c=o7>5;h4g>5<<a><1<75f21394?=h:9:1<75rb40f>5<2290;w)<>3;316>N2111C9584$015>4=n>j0;66g9d;29?l112900e?>>:188k7672900qo;=d;291?6=8r.9=>4>239K1<><@<2=7)?<6;38m3e=831b:i4?::k42?6=3`8;=7>5;n034?6=3th>>n4?:483>5}#:891=?<4H4;;?M3?>2.:?;4>;h4`>5<<a?n1<75f7783>>o5880;66a=0183>>{e=;h1<7;50;2x 77428897E;68:J6<3=#9:<1=6g9c;29?l0c2900e:850;9j657=831d>=>50;9~f04f290>6=4?{%027?75:2B>555G5948 451281b:n4?::k5`?6=3`==6=44i322>5<<g;:;6=44}c71=?6==3:1<v*=128267=O=020D869;%302?7<a?i1<75f6e83>>o0>3:17d<?1;29?j4783:17pl:2983>0<729q/><=51308L0??3A?3:6*>3782?l0d2900e;j50;9j33<722c9<<4?::m145<722wi9?850;794?6|,;;86<<=;I7:<>N20?1/=>851:k5g?6=3`<o6=44i6494?=n:9;1<75`21294?=zj<8>6=4::183!46;3;9>6F:999K1=0<,89=6<5f6b83>>o1l3:17d99:188m7662900c?>?:188yg35<3:197>50z&156<6:;1C9464H4:5?!74>3;0e;m50;9j2a<722c<:7>5;h035?6=3f8;<7>5;|`666<72<0;6=u+2019574<@<337E;76:&273<63`<h6=44i7f94?=n??0;66g=0083>>i5890;66sm53094?3=83:p(??<:001?M3>02B>4;5+12495>o1k3:17d8k:188m20=831b>=?50;9l656=831vn8<>:186>5<7s-8:?7?=2:J6===O=1<0(<=9:09j2f<722c=h7>5;h55>5<<a;::6=44o323>5<<uk?9<7>55;294~"59:0:>?5G58:8L0>13-;8:7?4i7a94?=n>m0;66g86;29?l4793:17b<?0;29?xd29o0;684?:1y'645=9;80D877;I7;2>"6;?0:7d8l:188m3b=831b;;4?::k144<722e9<=4?::a14c=83?1<7>t$330>4453A?246F:879'560=92c=o7>5;h4g>5<<a><1<75f21394?=h:9:1<75rb43g>5<2290;w)<>3;316>N2111C9584$015>4=n>j0;66g9d;29?l112900e?>>:188k7672900qo;<e;291?6=8r.9=>4>239K1<><@<2=7)?<6;38m3e=831b:i4?::k42?6=3`8;=7>5;n034?6=3th>?i4?:483>5}#:891=?<4H4;;?M3?>2.:?;4>;h4`>5<<a?n1<75f7783>>o5880;66a=0183>>{e=:i1<7;50;2x 77428897E;68:J6<3=#9:<1=6g9c;29?l0c2900e:850;9j657=831d>=>50;9~f05e290>6=4?{%027?75:2B>555G5948 451281b:n4?::k5`?6=3`==6=44i322>5<<g;:;6=44}c70e?6==3:1<v*=128267=O=020D869;%302?7<a?i1<75f6e83>>o0>3:17d<?1;29?j4783:17pl:3883>0<729q/><=51308L0??3A?3:6*>3782?l0d2900e;j50;9j33<722c9<<4?::m145<722wi9><50;794?6|,;;86<<=;I7:<>N20?1/=>851:k5g?6=3`<o6=44i6494?=n:9;1<75`21294?=zj<8<6=4::183!46;3;9>6F:999K1=0<,89=6<5f6b83>>o1l3:17d99:188m7662900c?>?:188yg36k3:197>50z&156<6:;1C9464H4:5?!74>3;0e;m50;9j2a<722c<:7>5;h035?6=3f8;<7>5;|`65g<72<0;6=u+2019574<@<337E;76:&273<63`<h6=44i7f94?=n??0;66g=0083>>i5890;66sm41d94?2=83:p(??<:0:7?M3>02B>4;5f6g83>>o0j3:17d<?a;29?j7a03:17pl;0d83>1<729q/><=51968L0??3A?3:6g9f;29?l1e2900e?>n:188k4`?2900qo:?d;290?6=8r.9=>4>859K1<><@<2=7d8i:188m2d=831b>=o50;9l5c>=831vn9>l:187>5<7s-8:?7?74:J6===O=1<0e;h50;9j3g<722c9<l4?::m2b=<722wi8=l50;694?6|,;;86<6;;I7:<>N20?1b:k4?::k4f?6=3`8;m7>5;n3e<?6=3th?==4?:583>5}#:891=5:4H4;;?M3?>2c=j7>5;h5a>5<<a;:j6=44o0d;>5<<uk>9:7>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=8>6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e<;>1<7:50;2x 774282?7E;68:J6<3=n>o0;66g8b;29?l47i3:17b?i8;29?xd3::0;694?:1y'645=91>0D877;I7;2>o1n3:17d9m:188m76f2900c<h7:188yg25:3:187>50z&156<60=1C9464H4:5?l0a2900e:l50;9j65g=831d=k650;9~f140290?6=4?{%027?7?<2B>555G5948m3`=831b;o4?::k14d<722e:j54?::a7<4=83>1<7>t$330>4>33A?246F:879j2c<722c<n7>5;h03e?6=3f;m47>5;|`0=4<72=0;6=u+20195=2<@<337E;76:k5b?6=3`=i6=44i32b>5<<g8l36=44}c1:4?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb2:e>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm39g94?2=83:p(??<:0:7?M3>02B>4;5f6g83>>o0j3:17d<?a;29?j7a03:17pl<9283>1<729q/><=51968L0??3A?3:6g9f;29?l1e2900e?>n:188k4`?2900qo=n9;290?6=8r.9=>4>859K1<><@<2=7d8i:188m2d=831b>=o50;9l5c>=831vn>o7:187>5<7s-8:?7?74:J6===O=1<0e;h50;9j3g<722c9<l4?::m2b=<722wi?l950;694?6|,;;86<6;;I7:<>N20?1b:k4?::k4f?6=3`8;m7>5;n3e<?6=3th8m;4?:583>5}#:891=5:4H4;;?M3?>2c=j7>5;h5a>5<<a;:j6=44o0d;>5<<uk9j97>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj:kj6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;=81<7=50;2x 7742<3<7E;68:J6<3=n>o0;66g>7c83>>i6n10;66sm32594?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb26a>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj:9>6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;=:1<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:>j6=4<:183!46;3?2;6F:999K1=0<a?l1<75f16`94?=h9o21<75rb217>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm32d94?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb26:>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj:986=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;:o1<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:>36=4<:183!46;3?2;6F:999K1=0<a?l1<75f16`94?=h9o21<75rb211>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm32f94?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb264>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj:9:6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;:i1<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:>=6=4<:183!46;3?2;6F:999K1=0<a?l1<75f16`94?=h9o21<75rb213>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm32`94?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb266>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj:8m6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;:k1<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:>?6=4<:183!46;3?2;6F:999K1=0<a?l1<75f16`94?=h9o21<75rb20f>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm32;94?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb260>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj:8o6=4;:183!46;3;386F:999K1=0<a?l1<75f7c83>>o58h0;66a>f983>>{e;:21<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:>:6=4<:183!46;3?2;6F:999K1=0<a?l1<75f16`94?=h9o21<75rb20`>5<3290;w)<>3;3;0>N2111C9584i7d94?=n?k0;66g=0`83>>i6n10;66sm32494?5=83:p(??<:05f?M3>02B>4;5+124905=n9;21<75f13;94?=h9o21<75rb250>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg50:3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th8;o4?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm36c94?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f61>290?6=4?{%027?76m2B>555G5948 451281b:n4?::k46?6=3`==6=44o323>5<<uk9<47>54;294~"59:0:=h5G58:8L0>13-;8:7?4i7a94?=n?;0;66g86;29?j4783:17pl<7683>1<729q/><=510g8L0??3A?3:6*>3782?l0d2900e:<50;9j33<722e9<=4?::a720=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj:=>6=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo=84;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`034<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e;>:1<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn>hl:186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj=:?6=4::183!46;3;:j6F:999K1=0<,89=6<5f6b83>>o1l3:17d9=:188m20=831d>=>50;9~f164290>6=4?{%027?76n2B>555G5948 451281b:n4?::k5`?6=3`=96=44i6494?=h:9:1<75rb521>5<2290;w)<>3;32b>N2111C9584$015>4=n>j0;66g9d;29?l152900e:850;9l656=831vn9>>:186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj=:;6=4::183!46;3;:j6F:999K1=0<,89=6<5f6b83>>o1l3:17d9=:188m20=831d>=>50;9~f6`a290>6=4?{%027?76n2B>555G5948 451281b:n4?::k5`?6=3`=96=44i6494?=h:9:1<75rb2df>5<2290;w)<>3;32b>N2111C9584$015>4=n>j0;66g9d;29?l152900e:850;9l656=831vn>hk:186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj:li6=4::183!46;3;:j6F:999K1=0<,89=6<5f6b83>>o1l3:17d9=:188m20=831d>=>50;9~f6`f290>6=4?{%027?76n2B>555G5948 451281b:n4?::k5`?6=3`=96=44i6494?=h:9:1<75rb2g5>5<2290;w)<>3;32b>N2111C9584$015>4=n>j0;66g9d;29?l152900e:850;9l656=831vn>kj:186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj:oo6=4::183!46;3;:j6F:999K1=0<,89=6<5f6b83>>o1l3:17d9=:188m20=831d>=>50;9~f6cd290>6=4?{%027?76n2B>555G5948 451281b:n4?::k5`?6=3`=96=44i6494?=h:9:1<75rb2ga>5<2290;w)<>3;32b>N2111C9584$015>4=n>j0;66g9d;29?l152900e:850;9l656=831vn>kn:186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj:o26=4::183!46;3;:j6F:999K1=0<,89=6<5f6b83>>o1l3:17d9=:188m20=831d>=>50;9~f6c?290>6=4?{%027?76n2B>555G5948 451281b:n4?::k5`?6=3`=96=44i6494?=h:9:1<75rb2g4>5<2290;w)<>3;32b>N2111C9584$015>4=n>j0;66g9d;29?l152900e:850;9l656=831vn>k::186>5<7s-8:?7?>f:J6===O=1<0(<=9:09j2f<722c=h7>5;h51>5<<a><1<75`21294?=zj:o?6=4::183!46;3;9=6F:999K1=0<,89=6o5f6b83>>o1l3:17d99:188m4`a2900c?>?:188yg5?:3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th8;k4?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm39:94?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f6>1290?6=4?{%027?7582B>555G5948 4512k1b:n4?::k42?6=3`;mj7>5;n034?6=3th8484?:583>5}#:891=?>4H4;;?M3?>2.:?;4m;h4`>5<<a><1<75f1gd94?=h:9:1<75rb54:>5<4290;w)<>3;7:3>N2111C9584i7d94?=n9>h1<75`1g:94?=zj=?n6=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk><>7>53;294~"59:0>5:5G58:8L0>13`<m6=44i05a>5<<g8l36=44}c66g?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb544>5<4290;w)<>3;34a>N2111C9584$015>16<a8836=44i00:>5<<g8l36=44}c645?6=;3:1<v*=1286=2=O=020D869;h4e>5<<a8=i6=44o0d;>5<<uk>>n7>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=<=6=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk><<7>53;294~"59:0>5:5G58:8L0>13`<m6=44i05a>5<<g8l36=44}c66e?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb546>5<4290;w)<>3;34a>N2111C9584$015>16<a8836=44i00:>5<<g8l36=44}c65b?6=;3:1<v*=1286=2=O=020D869;h4e>5<<a8=i6=44o0d;>5<<uk>>57>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=<?6=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk>=i7>53;294~"59:0>5:5G58:8L0>13`<m6=44i05a>5<<g8l36=44}c66<?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb540>5<4290;w)<>3;34a>N2111C9584$015>16<a8836=44i00:>5<<g8l36=44}c65`?6=;3:1<v*=1286=2=O=020D869;h4e>5<<a8=i6=44o0d;>5<<uk>>;7>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=<96=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk>=o7>53;294~"59:0>5:5G58:8L0>13`<m6=44i05a>5<<g8l36=44}c662?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb542>5<4290;w)<>3;34a>N2111C9584$015>16<a8836=44i00:>5<<g8l36=44}c65f?6=;3:1<v*=1286=2=O=020D869;h4e>5<<a8=i6=44o0d;>5<<uk>>97>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=<;6=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk>=m7>53;294~"59:0>5:5G58:8L0>13`<m6=44i05a>5<<g8l36=44}c660?6=<3:1<v*=1282<1=O=020D869;h4e>5<<a>h1<75f21c94?=h9o21<75rb57e>5<4290;w)<>3;34a>N2111C9584$015>16<a8836=44i00:>5<<g8l36=44}c65<?6=;3:1<v*=1286=2=O=020D869;h4e>5<<a8=i6=44o0d;>5<<uk>>?7>54;294~"59:0:495G58:8L0>13`<m6=44i6`94?=n:9k1<75`1g:94?=zj=?o6=4<:183!46;3;<i6F:999K1=0<,89=69>4i00;>5<<a8826=44o0d;>5<<uk>3i7>54;294~"59:0:=h5G58:8L0>13-;8:7?4i7a94?=n?;0;66g86;29?j4783:17pl;8e83>1<729q/><=510g8L0??3A?3:6*>3782?l0d2900e:<50;9j33<722e9<=4?::a0<0=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj=3>6=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo:64;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`7=6<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e<081<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn97>:187>5<7s-8:?7?>e:J6===O=1<0(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c6:4?6=<3:1<v*=12825`=O=020D869;%302?7<a?i1<75f7383>>o0>3:17b<?0;29?xd30o0;694?:1y'645=98o0D877;I7;2>"6;?0:7d8l:188m24=831b;;4?::m145<722wi85m50;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb5:a>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg2bm3:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6e2?6==3:1<v*=12825c=O=020D869;%302?7<a?i1<75f6e83>>o0:3:17d99:188k7672900qo:i5;291?6=8r.9=>4>1g9K1<><@<2=7)?<6;38m3e=831b:i4?::k46?6=3`==6=44o323>5<<uk>m87>55;294~"59:0:=k5G58:8L0>13-;8:7?4i7a94?=n>m0;66g82;29?l112900c?>?:188yg2a;3:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6e6?6==3:1<v*=12825c=O=020D869;%302?7<a?i1<75f6e83>>o0:3:17d99:188k7672900qo:i1;291?6=8r.9=>4>1g9K1<><@<2=7)?<6;38m3e=831b:i4?::k46?6=3`==6=44o323>5<<uk>m<7>55;294~"59:0:=k5G58:8L0>13-;8:7?4i7a94?=n>m0;66g82;29?l112900c?>?:188yg2bn3:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6f`?6==3:1<v*=12825c=O=020D869;%302?7<a?i1<75f6e83>>o0:3:17d99:188k7672900qo:jc;291?6=8r.9=>4>1g9K1<><@<2=7)?<6;38m3e=831b:i4?::k46?6=3`==6=44o323>5<<uk>o47>55;294~"59:0:=k5G58:8L0>13-;8:7?4i7a94?=n>m0;66g82;29?l112900c?>?:188yg2b83:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6gb?6==3:1<v*=12825c=O=020D869;%302?7<a?i1<75f6e83>>o0:3:17d99:188k7672900qo:ke;291?6=8r.9=>4>1g9K1<><@<2=7)?<6;38m3e=831b:i4?::k46?6=3`==6=44o323>5<<uk>oh7>55;294~"59:0:=k5G58:8L0>13-;8:7?4i7a94?=n>m0;66g82;29?l112900c?>?:188yg2ck3:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6gf?6==3:1<v*=12825c=O=020D869;%302?7<a?i1<75f6e83>>o0:3:17d99:188k7672900qo:ka;291?6=8r.9=>4>1g9K1<><@<2=7)?<6;38m3e=831b:i4?::k46?6=3`==6=44o323>5<<uk>o57>55;294~"59:0:=k5G58:8L0>13-;8:7?4i7a94?=n>m0;66g82;29?l112900c?>?:188yg2c?3:197>50z&156<69o1C9464H4:5?!74>3;0e;m50;9j2a<722c<>7>5;h55>5<<g;:;6=44}c6g2?6==3:1<v*=128264=O=020D869;%302?d<a?i1<75f6e83>>o0>3:17d?if;29?j4783:17pl;c383>0<729q/><=510d8L0??3A?3:6*>3782?l0d2900e;j50;9j37<722c<:7>5;n034?6=3th?ol4?:483>5}#:891=<h4H4;;?M3?>2.:?;4>;h4`>5<<a?n1<75f7383>>o0>3:17b<?0;29?xd3k00;684?:1y'645=98l0D877;I7;2>"6;?0:7d8l:188m3b=831b;?4?::k42?6=3f8;<7>5;|`7g=<72<0;6=u+201954`<@<337E;76:&273<63`<h6=44i7f94?=n?;0;66g86;29?j4783:17pl;c683>0<729q/><=510d8L0??3A?3:6*>3782?l0d2900e;j50;9j37<722c<:7>5;n034?6=3th?o;4?:483>5}#:891=<h4H4;;?M3?>2.:?;4>;h4`>5<<a?n1<75f7383>>o0>3:17b<?0;29?xd3k<0;684?:1y'645=98l0D877;I7;2>"6;?0:7d8l:188m3b=831b;?4?::k42?6=3f8;<7>5;|`7g1<72<0;6=u+201954`<@<337E;76:&273<63`<h6=44i7f94?=n?;0;66g86;29?j4783:17pl;c283>0<729q/><=510d8L0??3A?3:6*>3782?l0d2900e;j50;9j37<722c<:7>5;n034?6=3th?o<4?:483>5}#:891=??4H4;;?M3?>2.:?;4m;h4`>5<<a?n1<75f7783>>o6no0;66a=0183>>{e<j:1<7;50;2x 77428;m7E;68:J6<3=#9:<1=6g9c;29?l0c2900e:<50;9j33<722e9<=4?::a6gg=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj;i96=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<l1;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`1g5<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:kl1<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn?lj:187>5<7s-8:?7?>e:J6===O=1<0(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c0a`?6=<3:1<v*=12825`=O=020D869;%302?7<a?i1<75f7383>>o0>3:17b<?0;29?xd5jj0;694?:1y'645=98o0D877;I7;2>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>ol50;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb3`:>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg4e03:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th9844?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm24394?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f737290?6=4?{%027?76m2B>555G5948 451281b:n4?::k46?6=3`==6=44o323>5<<uk8?j7>54;294~"59:0:=h5G58:8L0>13-;8:7?4i7a94?=n?;0;66g86;29?j4783:17pl=4d83>1<729q/><=510g8L0??3A?3:6*>3782?l0d2900e:<50;9j33<722e9<=4?::a61b=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj;>h6=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<;b;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`10d<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:=21<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn?:8:187>5<7s-8:?7?>e:J6===O=1<0(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c121?6=<3:1<v*=12825`=O=020D869;%302?7<a?i1<75f7383>>o0>3:17b<?0;29?xd49m0;694?:1y'645=98o0D877;I7;2>"6;?0:7d8l:188m24=831b;;4?::m145<722wi?<m50;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb23a>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg56i3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th8=44?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm30:94?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f670290?6=4?{%027?76m2B>555G5948 451281b:n4?::k46?6=3`==6=44o323>5<<uk9::7>54;294~"59:0:=h5G58:8L0>13-;8:7?4i7a94?=n?;0;66g86;29?j4783:17pl<1583>1<729q/><=510g8L0??3A?3:6*>3782?l0d2900e:<50;9j33<722e9<=4?::a745=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj;k?6=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<nc;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`1eg<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:hk1<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn?o6:187>5<7s-8:?7?>e:J6===O=1<0(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c0b<?6=<3:1<v*=12825`=O=020D869;%302?7<a?i1<75f7383>>o0>3:17b<?0;29?xd5i>0;694?:1y'645=98o0D877;I7;2>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>l850;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb3c6>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg4f;3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th9m?4?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm2d494?2=83:p(??<:03f?M3>02B>4;5G699'5=5=:880(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c0fa?6=<3:1<v*=12825`=O=020D869;I4;?!7?;38:>6*>3782?l0d2900e:<50;9j33<722e9<=4?::a6`b=83>1<7>t$330>47b3A?246F:879K2==#9191><<4$015>4=n>j0;66g82;29?l112900c?>?:188yg4bk3:187>50z&156<69l1C9464H4:5?M0?3-;3?7<>2:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:lh1<7:50;2x 77428;n7E;68:J6<3=O>11/=5=52008 451281b:n4?::k46?6=3`==6=44o323>5<<uk8nm7>54;294~"59:0:=h5G58:8L0>13A<37)?73;026>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>h750;694?6|,;;86<?j;I7:<>N20?1C:55+1919644<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<j8;290?6=8r.9=>4>1d9K1<><@<2=7E87;%3;7?46:2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm2d594?2=83:p(??<:03f?M3>02B>4;5G699'5=5=:880(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c0f1?6=<3:1<v*=12825`=O=020D869;I4;?!7?;38:>6*>3782?l0d2900e:<50;9j33<722e9<=4?::a6`2=83>1<7>t$330>47b3A?246F:879K2==#9191><<4$015>4=n>j0;66g82;29?l112900c?>?:188yg40=3:187>50z&156<69l1C9464H4:5?M0?3-;3?7<>2:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:>n1<7:50;2x 77428;n7E;68:J6<3=O>11/=5=52008 451281b:n4?::k46?6=3`==6=44o323>5<<uk8<o7>54;294~"59:0:=h5G58:8L0>13A<37)?73;026>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>:l50;694?6|,;;86<?j;I7:<>N20?1C:55+1919644<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<8a;290?6=8r.9=>4>1d9K1<><@<2=7E87;%3;7?46:2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm26;94?2=83:p(??<:03f?M3>02B>4;5G699'5=5=:880(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c04<?6=<3:1<v*=12825`=O=020D869;I4;?!7?;38:>6*>3782?l0d2900e:<50;9j33<722e9<=4?::a621=83>1<7>t$330>47b3A?246F:879K2==#9191><<4$015>4=n>j0;66g82;29?l112900c?>?:188yg40>3:187>50z&156<69l1C9464H4:5?M0?3-;3?7<>2:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:>>1<7:50;2x 77428;n7E;68:J6<3=O>11/=5=52008 451281b:n4?::k46?6=3`==6=44o323>5<<uk8<?7>54;294~"59:0:=h5G58:8L0>13A<37)?73;026>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>8h50;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb344>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg41>3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th9:84?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm27694?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f704290?6=4?{%027?76m2B>555G5948 451281b:n4?::k46?6=3`==6=44o323>5<<uk8=>7>54;294~"59:0:=h5G58:8L0>13-;8:7?4i7a94?=n?;0;66g86;29?j4783:17pl=6083>1<729q/><=510g8L0??3A?3:6*>3782?l0d2900e:<50;9j33<722e9<=4?::a636=83>1<7>t$330>47b3A?246F:879'560=92c=o7>5;h51>5<<a><1<75`21294?=zj;?n6=4;:183!46;3;:i6F:999K1=0<,89=6<5f6b83>>o0:3:17d99:188k7672900qo<:d;290?6=8r.9=>4>1d9K1<><@<2=7)?<6;38m3e=831b;?4?::k42?6=3f8;<7>5;|`1`5<72=0;6=u+201954c<@<337E;76:&273<63`<h6=44i6094?=n??0;66a=0183>>{e:m21<7:50;2x 77428;n7E;68:J6<3=#9:<1=6g9c;29?l152900e:850;9l656=831vn?j8:187>5<7s-8:?7?>e:J6===O=1<0(<=9:09j2f<722c<>7>5;h55>5<<g;:;6=44}c0g2?6=<3:1<v*=12825`=O=020D869;%302?7<a?i1<75f7383>>o0>3:17b<?0;29?xd5l<0;694?:1y'645=98o0D877;I7;2>"6;?0:7d8l:188m24=831b;;4?::m145<722wi>i:50;694?6|,;;86<?j;I7:<>N20?1/=>851:k5g?6=3`=96=44i6494?=h:9:1<75rb3f0>5<3290;w)<>3;32a>N2111C9584$015>4=n>j0;66g82;29?l112900c?>?:188yg4c:3:187>50z&156<69l1C9464H4:5?!74>3;0e;m50;9j37<722c<:7>5;n034?6=3th9h<4?:583>5}#:891=<k4H4;;?M3?>2.:?;4>;h4`>5<<a>81<75f7783>>i5890;66sm2bd94?2=83:p(??<:03f?M3>02B>4;5+12495>o1k3:17d9=:188m20=831d>=>50;9~f7eb290?6=4?{%027?76m2B>555G5948 451281b:n4?::k46?6=3`==6=44o323>5<<uk>2;7>53;294~"59:0:;h5G58:8L0>13-;8:7?7;%3ea?3f?2c:>54?::k26<<722e:j54?::a053=83<1<7>t$330>4>63A?246F:879'560=:>1/=kk55`58m44?2900e<<6:188m44f2900e<<m:188m44d2900c<h7:188yg30m3:1?7>50z&156<6?l1C9464H4:5?!74>3;37d?=8;29?l7513:17b?i8;29?xd20=0;6>4?:1y'645=9>o0D877;I7;2>"6;?0:46*>fd86ed=n9;21<75f13;94?=h9o21<75rb53b>5<2290;w)<>3;3;4>N2111C9584$015>17<a8836=44i00:>5<<a88j6=44i00a>5<<g8l36=44}c62<?6==3:1<v*=1282<5=O=020D869;%302?263`;947>5;h31=?6=3`;9m7>5;h31f?6=3f;m47>5;|`753<72<0;6=u+20195=6<@<337E;76:&273<392c:>54?::k26<<722c:>l4?::k26g<722e:j54?::a042=83?1<7>t$330>4>73A?246F:879'560=<81b=?650;9j57?=831b=?o50;9j57d=831d=k650;9~f175290>6=4?{%027?7?82B>555G5948 4512=;0e<<7:188m44>2900e<<n:188m44e2900c<h7:188yg26k3:1?7>50z&156<6?l1C9464H4:5?!74>3>;7d?=8;29?l7513:17b?i8;29?xd3;80;684?:1y'645=91:0D877;I7;2>"6;?0?=6g>2983>>o6:00;66g>2`83>>o6:k0;66a>f983>>{e<;l1<7;50;2x 774282;7E;68:J6<3=#9:<18<5f13:94?=n9;31<75f13c94?=n9;h1<75`1g:94?=zj=8o6=4::183!46;3;3<6F:999K1=0<,89=69?4i00;>5<<a8826=44i00b>5<<a88i6=44o0d;>5<<uk>9n7>55;294~"59:0:4=5G58:8L0>13-;8:7:>;h31<?6=3`;957>5;h31e?6=3`;9n7>5;n3e<?6=3th?>44?:483>5}#:891=5>4H4;;?M3?>2.:?;4;1:k26=<722c:>44?::k26d<722c:>o4?::m2b=<722wi8>=50;194?6|,;;86<9j;I7:<>N20?1/=>85419j57>=831b=?750;9l5c>=831vn>7k:186>5<7s-8:?7?70:J6===O=1<0(<=9:538m44?2900e<<6:188m44f2900e<<m:188k4`?2900qo=6b;291?6=8r.9=>4>819K1<><@<2=7)?<6;62?l7503:17d?=9;29?l75i3:17d?=b;29?j7a03:17pl<9883>0<729q/><=51928L0??3A?3:6*>37875>o6:10;66g>2883>>o6:h0;66g>2c83>>i6n10;66sm38594?3=83:p(??<:0:3?M3>02B>4;5+124904=n9;21<75f13;94?=n9;k1<75f13`94?=h9o21<75rb2;6>5<2290;w)<>3;3;4>N2111C9584$015>17<a8836=44i00:>5<<a88j6=44i00a>5<<g8l36=44}c1:b?6=;3:1<v*=12823`=O=020D869;%302?273`;947>5;h31=?6=3f;m47>5;|`0f1<72<0;6=u+20195=6<@<337E;76:&273<392c:>54?::k26<<722c:>l4?::k26g<722e:j54?::a7g4=83?1<7>t$330>4>73A?246F:879'560=<81b=?650;9j57?=831b=?o50;9j57d=831d=k650;9~f6d7290>6=4?{%027?7?82B>555G5948 4512=;0e<<7:188m44>2900e<<n:188m44e2900c<h7:188yg5fm3:197>50z&156<6091C9464H4:5?!74>39o7d?=8;29?l7513:17d?=a;29?l75j3:17b?i8;29?xd4ij0;684?:1y'645=91:0D877;I7;2>"6;?08o6g>2983>>o6:00;66g>2`83>>o6:k0;66a>f983>>{e;k<1<7=50;2x 77428=n7E;68:J6<3=#9:<18=5f13:94?=n9;31<75`1g:94?=zj:?n6=48:183!46;3;3>6F:999K1=0<,89=6>l4i00;>5<<a8826=44i00b>5<<a88i6=44i00`>5<<a88o6=44o0d;>5<<uk9?i7>55;294~"59:0:4=5G58:8L0>13-;8:7=<;h31<?6=3`;957>5;h31e?6=3`;9n7>5;n3e<?6=3th8954?:683>5}#:891=5<4H4;;?M3?>2.:?;46;h31<?6=3`;957>5;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n3e<?6=3th8>54?:583>5}#:891=:h4H4;;?M3?>2.:?;4;6:&2b`<2i?1b=?650;9j57?=831b=?o50;9l5c>=831vn><n:186>5<7s-8:?7?70:J6===O=1<0(<=9:69'5cc==k>0e<<7:188m44>2900e<<n:188m44e2900c<h7:188yg55j3:1:7>50z&156<6081C9464H4:5?!74>320(<hj:4`7?l7503:17d?=9;29?l75i3:17d?=b;29?l75k3:17b?i8;29?xd4:00;694?:1y'645=9>l0D877;I7;2>"6;?0=7)?ie;7b2>o6:10;66g>2883>>o6:h0;66a>f983>>{e;j21<7850;2x 774282:7E;68:J6<3=#9:<18:5f13:94?=n9;31<75f13c94?=n9;h1<75f13a94?=h9o21<75rb2`g>5<0290;w)<>3;3;6>N2111C9584$015>2g<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c1ag?6=>3:1<v*=1282<4=O=020D869;%302?1>3`;947>5;h31=?6=3`;9m7>5;h31f?6=3`;9o7>5;n3e<?6=3th8ol4?:783>5}#:891=5?4H4;;?M3?>2.:?;4:;h31<?6=3`;957>5;h31e?6=3`;9n7>5;h31g?6=3f;m47>5;|`0g4<72:0;6=u+201952c<@<337E;76:&273<502.:jh4:a29j57>=831b=?750;9l5c>=831vn>m=:187>5<7s-8:?7?8f:J6===O=1<0(<=9:3f8 4`b2<k87d?=8;29?l7513:17d?=a;29?j7a03:17pl<c283>1<729q/><=516d8L0??3A?3:6*>37806>o6:10;66g>2883>>o6:h0;66a>f983>>{e;>o1<7:50;2x 77428=m7E;68:J6<3=#9:<1:45+1gg91d7<a8836=44i00:>5<<a88j6=44o0d;>5<<uk9387>54;294~"59:0:;k5G58:8L0>13-;8:789;%3ea?3f92c:>54?::k26<<722c:>l4?::m2b=<722wi?:j50;794?6|,;;86<6?;I7:<>N20?1/=>853g9'5cc==kh0e<<7:188m44>2900e<<n:188m44e2900c<h7:188yg5?93:197>50z&156<6091C9464H4:5?!74>3k0(<hj:4`a?l7503:17d?=9;29?l75i3:17d?=b;29?j7a03:17pl<7b83>0<729q/><=51928L0??3A?3:6*>37812>"6nl0>n:5f13:94?=n9;31<75f13c94?=n9;h1<75`1g:94?=zj=?;6=48:183!46;3;3>6F:999K1=0<,89=6<?m;h31<?6=3`;957>5;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n3e<?6=3th?844?:583>5}#:891=:h4H4;;?M3?>2.:?;4=d:&2b`<2jh1b=?650;9j57?=831b=?o50;9l5c>=831vn9:n:187>5<7s-8:?7?8f:J6===O=1<0(<=9:208m44?2900e<<6:188m44f2900c<h7:188yg2303:1?7>50z&156<6?l1C9464H4:5?!74>3837d?=8;29?l7513:17b?i8;29?xd3i=0;6;4?:1y'645=91;0D877;I7;2>"6;?0?;6g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>i6n10;66sm48;94?1=83:p(??<:0:1?M3>02B>4;5+12493d=n9;21<75f13;94?=n9;k1<75f13`94?=n9;i1<75f13f94?=h9o21<75rb5;;>5<1290;w)<>3;3;5>N2111C9584$015>2?<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44o0d;>5<<uk>j:7>56;294~"59:0:4<5G58:8L0>13-;8:7;4i00;>5<<a8826=44i00b>5<<a88i6=44i00`>5<<g8l36=44}c6:a?6=<3:1<v*=12823c=O=020D869;%302?4c3-;mi7;n2:k26=<722c:>44?::k26d<722e:j54?::a0<`=83>1<7>t$330>41a3A?246F:879'560=;;1b=?650;9j57?=831b=?o50;9l5c>=831vn97k:180>5<7s-8:?7?8e:J6===O=1<0(<=9:3:8 4`b2<k97d?=8;29?l7513:17b?i8;29?xd50h0;6>4?:1y'645=9>o0D877;I7;2>"6;?0946*>fd86e0=n9;21<75f13;94?=h9o21<75rb3:`>5<3290;w)<>3;34b>N2111C9584$015>14<,8ln68o:;h31<?6=3`;957>5;h31e?6=3f;m47>5;|`042<72:0;6=u+201952c<@<337E;76:&273<502.:jh4:a59j57>=831b=?750;9l5c>=831vn>>6:187>5<7s-8:?7?8f:J6===O=1<0(<=9:508 4`b2<k?7d?=8;29?l7513:17d?=a;29?j7a03:17pl=2683>6<729q/><=516g8L0??3A?3:6*>3781<>"6nl0>mk5f13:94?=n9;31<75`1g:94?=zj;8=6=4<:183!46;3;<i6F:999K1=0<,89=6?64$0df>0ga3`;947>5;h31=?6=3f;m47>5;|`160<72:0;6=u+201952c<@<337E;76:&273<502c:>54?::k26<<722e:j54?::a674=8391<7>t$330>41b3A?246F:879'560=:11b=?650;9j57?=831d=k650;9~f74329086=4?{%027?70m2B>555G5948 4512;20(<hj:4`0?l7503:17d?=9;29?j7a03:17pl=2283>6<729q/><=516g8L0??3A?3:6*>3781<>"6nl0>n>5f13:94?=n9;31<75`1g:94?=zj;8:6=4<:183!46;3;<i6F:999K1=0<,89=6?64$0df>0d53`;947>5;h31=?6=3f;m47>5;|`165<72:0;6=u+201952c<@<337E;76:&273<502.:jh4:b39j57>=831b=?750;9l5c>=831vn??k:180>5<7s-8:?7?8e:J6===O=1<0(<=9:3:8 4`b2<kn7d?=8;29?l7513:17b?i8;29?xd59o0;6>4?:1y'645=9>o0D877;I7;2>"6;?0946*>fd86ea=n9;21<75f13;94?=h9o21<75rb33f>5<4290;w)<>3;34a>N2111C9584$015>7><,8ln68ok;h31<?6=3`;957>5;n3e<?6=3th9=n4?:283>5}#:891=:k4H4;;?M3?>2.:?;4=8:&2b`<2il1b=?650;9j57?=831d=k650;9~f77e29086=4?{%027?70m2B>555G5948 4512;20e<<7:188m44>2900c<h7:188yg4603:1?7>50z&156<6?l1C9464H4:5?!74>3837d?=8;29?l7513:17b?i8;29?xd59h0;6>4?:1y'645=9>o0D877;I7;2>"6;?0946*>fd86f4=n9;21<75f13;94?=h9o21<75rb33:>5<4290;w)<>3;34a>N2111C9584$015>7><,8ln68l>;h31<?6=3`;957>5;n3e<?6=3th9=:4?:283>5}#:891=:k4H4;;?M3?>2.:?;4=8:&2b`<2j91b=?650;9j57?=831d=k650;9~f77129086=4?{%027?70m2B>555G5948 4512;20(<hj:4`3?l7503:17d?=9;29?j7a03:17pl=1483>6<729q/><=516g8L0??3A?3:6*>3781<>"6nl0>mn5f13:94?=n9;31<75`1g:94?=zj;;?6=4<:183!46;3;<i6F:999K1=0<,89=6?64$0df>0gd3`;947>5;h31=?6=3f;m47>5;|`1<a<72<0;6=u+20195=6<@<337E;76:&273<5i2.:jh4:b89j57>=831b=?750;9j57g=831b=?l50;9l5c>=831vn?6i:185>5<7s-8:?7?71:J6===O=1<0(<=9:518 4`b2<h27d?=8;29?l7513:17d?=a;29?l75j3:17d?=c;29?j7a03:17pl=9083>2<729q/><=51908L0??3A?3:6*>3781g>o6:10;66g>2883>>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i6n10;66sm31c94?3=83:p(??<:0:3?M3>02B>4;5+12496d=#9oo19o64i00;>5<<a8826=44i00b>5<<a88i6=44o0d;>5<<uk9;o7>56;294~"59:0:4<5G58:8L0>13-;8:7:<;%3ea?3e02c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::m2b=<722wi?=k50;594?6|,;;86<6=;I7:<>N20?1/=>852b9j57>=831b=?750;9j57g=831b=?l50;9j57e=831b=?j50;9l5c>=831vn>;?:186>5<7s-8:?7?70:J6===O=1<0(<=9:2:8m44?2900e<<6:188m44f2900e<<m:188k4`?2900qo=;d;293?6=8r.9=>4>839K1<><@<2=7)?<6;1a?l7503:17d?=9;29?l75i3:17d?=b;29?l75k3:17d?=d;29?j7a03:17pl<5283>0<729q/><=51928L0??3A?3:6*>3780<>o6:10;66g>2883>>o6:h0;66g>2c83>>i6n10;66sm34094?1=83:p(??<:0:1?M3>02B>4;5+12490>o6:10;66g>2883>>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i6n10;66sm37294?5=83:p(??<:05f?M3>02B>4;5+124973=n9;21<75f13;94?=h9o21<75rb27e>5<0290;w)<>3;3;6>N2111C9584$015>6c<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c1`4?6=;3:1<v*=12823`=O=020D869;%302?513`;947>5;h31=?6=3f;m47>5;|`0fc<72>0;6=u+20195=4<@<337E;76:&273<0i2c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::k26a<722e:j54?::a0<e=8391<7>t$330>41b3A?246F:879'560=;?1b=?650;9j57?=831d=k650;9~f1?e290<6=4?{%027?7?:2B>555G5948 4512>k0e<<7:188m44>2900e<<n:188m44e2900e<<l:188m44c2900c<h7:188yg4f83:1;7>50z&156<60;1C9464H4:5?!74>38h7d?=8;29?l7513:17d?=a;29?l75j3:17d?=c;29?l75l3:17b?i8;29?xd51o0;6:4?:1y'645=9180D877;I7;2>"6;?09o6g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>o6:m0;66a>f983>>{e;9>1<7950;2x 77428297E;68:J6<3=#9:<1>n5f13:94?=n9;31<75f13c94?=n9;h1<75f13a94?=n9;n1<75`1g:94?=zj::86=48:183!46;3;3>6F:999K1=0<,89=6?m4i00;>5<<a8826=44i00b>5<<a88i6=44i00`>5<<a88o6=44o0d;>5<<uk8287>53;294~"59:0:;h5G58:8L0>13-;8:7<7;%3ea?3f12c:>54?::k26<<722e:j54?::a6<5=83=1<7>t$330>4>53A?246F:879'560=:j1b=?650;9j57?=831b=?o50;9j57d=831b=?m50;9j57b=831d=k650;9~f7?0290?6=4?{%027?70n2B>555G5948 4512;30(<hj:4c:?l7503:17d?=9;29?l75i3:17b?i8;29?xd51?0;6:4?:1y'645=9180D877;I7;2>"6;?0?86g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>o6:m0;66a>f983>>{e:0k1<7;50;2x 774282;7E;68:J6<3=#9:<1>l5+1gg91g0<a8836=44i00:>5<<a88j6=44i00a>5<<g8l36=44}c0:=?6=?3:1<v*=1282<7=O=020D869;%302?4d3`;947>5;h31=?6=3`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f;m47>5;|`1=a<72?0;6=u+20195=7<@<337E;76:&273<5j2.:jh4:b79j57>=831b=?750;9j57g=831b=?l50;9j57e=831d=k650;9~f7?d290<6=4?{%027?7?:2B>555G5948 4512=>0e<<7:188m44>2900e<<n:188m44e2900e<<l:188m44c2900c<h7:188yg4aj3:187>50z&156<6?o1C9464H4:5?!74>3827)?ie;7b<>o6:10;66g>2883>>o6:h0;66a>f983>>{e:ok1<7950;2x 77428297E;68:J6<3=#9:<1895f13:94?=n9;31<75f13c94?=n9;h1<75f13a94?=n9;n1<75`1g:94?=zj:;:6=4<:183!46;3;<i6F:999K1=0<,89=6?64$0df>0g?3`;947>5;h31=?6=3f;m47>5;|`055<72>0;6=u+20195=4<@<337E;76:&273<5k2c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::k26a<722e:j54?::a6cc=83?1<7>t$330>4>73A?246F:879'560=:h1/=kk55c78m44?2900e<<6:188m44f2900e<<m:188k4`?2900qo<id;293?6=8r.9=>4>839K1<><@<2=7)?<6;0`?l7503:17d?=9;29?l75i3:17d?=b;29?l75k3:17d?=d;29?j7a03:17pl<0083>3<729q/><=51938L0??3A?3:6*>3781f>"6nl0>n85f13:94?=n9;31<75f13c94?=n9;h1<75f13a94?=h9o21<75rb223>5<0290;w)<>3;3;6>N2111C9584$015>12<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c7;6?6=<3:1<v*=12823c=O=020D869;%302?76>2.:jh4:a`9j57>=831b=?750;9j57g=831d=k650;9~f01a290?6=4?{%027?7582B>555G5948L3><,8286??=;h4`>5<<a><1<75f1gd94?=h:9:1<75rb56`>5<2290;w)<>3;3;4>N2111C9584$015>7c<,8ln68ln;h31<?6=3`;957>5;h31e?6=3`;9n7>5;n3e<?6=3th?8i4?:783>5}#:891=5?4H4;;?M3?>2.:?;4=f:k26=<722c:>44?::k26d<722c:>o4?::k26f<722e:j54?::a70g=83=1<7>t$330>4>53A?246F:879'560=901b=?650;9j57?=831b=?o50;9j57d=831b=?m50;9j57b=831d=k650;9~f12b290<6=4?{%027?7?:2B>555G5948 4512:>0e<<7:188m44>2900e<<n:188m44e2900e<<l:188m44c2900c<h7:188yg51:3:1;7>50z&156<60;1C9464H4:5?!74>39j7d?=8;29?l7513:17d?=a;29?l75j3:17d?=c;29?l75l3:17b?i8;29?xd4>80;6:4?:1y'645=9180D877;I7;2>"6;?027d?=8;29?l7513:17d?=a;29?l75j3:17d?=c;29?l75l3:17b?i8;29?xd3<?0;6;4?:1y'645=91;0D877;I7;2>"6;?0856g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>i6n10;66sm45794?1=83:p(??<:0:1?M3>02B>4;5+124975=n9;21<75f13;94?=n9;k1<75f13`94?=n9;i1<75f13f94?=h9o21<75rb51b>5<0290;w)<>3;3;6>N2111C9584$015>6g<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c666?6==3:1<v*=1282<5=O=020D869;%302?5?3`;947>5;h31=?6=3`;9m7>5;h31f?6=3f;m47>5;|`714<72>0;6=u+20195=4<@<337E;76:&273<482c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::k26a<722e:j54?::a71`=83>1<7>t$330>41a3A?246F:879'560=;>1b=?650;9j57?=831b=?o50;9l5c>=831vn>;l:184>5<7s-8:?7?72:J6===O=1<0(<=9:89j57>=831b=?750;9j57g=831b=?l50;9j57e=831b=?j50;9l5c>=831vn9:m:180>5<7s-8:?7?8e:J6===O=1<0(<=9:248m44?2900e<<6:188k4`?2900qo:;f;293?6=8r.9=>4>839K1<><@<2=7)?<6;13?l7503:17d?=9;29?l75i3:17d?=b;29?l75k3:17d?=d;29?j7a03:17pl<b883>2<729q/><=51908L0??3A?3:6*>378266=n9;21<75f13;94?=n9;k1<75f13`94?=n9;i1<75f13f94?=h9o21<75rb2a:>5<0290;w)<>3;3;6>N2111C9584$015>13<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c6b1?6=?3:1<v*=1282<7=O=020D869;%302?223`;947>5;h31=?6=3`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f;m47>5;|`0g2<72>0;6=u+20195=4<@<337E;76:&273<4=2c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::k26a<722e:j54?::a0d5=83=1<7>t$330>4>53A?246F:879'560=;<1b=?650;9j57?=831b=?o50;9j57d=831b=?m50;9j57b=831d=k650;9~f6e3290>6=4?{%027?7?82B>555G5948 4512;o0(<hj:4ca?l7503:17d?=9;29?l75i3:17d?=b;29?j7a03:17pl;a183>0<729q/><=51928L0??3A?3:6*>3781a>"6nl0>m=5f13:94?=n9;31<75f13c94?=n9;h1<75`1g:94?=zj<<36=49:183!46;3;3=6F:999K1=0<,89=6<<;;%3ea?3e?2c:>54?::k26<<722c:>l4?::k26g<722c:>n4?::m2b=<722wi?n;50;494?6|,;;86<6>;I7:<>N20?1/=>852g9'5cc==hh0e<<7:188m44>2900e<<n:188m44e2900e<<l:188k4`?2900qo:n1;292?6=8r.9=>4>809K1<><@<2=7)?<6;0e?!7am3?j<6g>2983>>o6:00;66g>2`83>>o6:k0;66g>2b83>>i6n10;66sm3b494?1=83:p(??<:0:1?M3>02B>4;5+124975=n9;21<75f13;94?=n9;k1<75f13`94?=n9;i1<75f13f94?=h9o21<75rb5c1>5<0290;w)<>3;3;6>N2111C9584$015>66<a8836=44i00:>5<<a88j6=44i00a>5<<a88h6=44i00g>5<<g8l36=44}c1aa?6=:3:1<v*=12823d=O=020D869;h313?6=3f;m47>5;|`702<72;0;6=u+201952g<@<337E;76:k262<722e:j54?::a0<g=8381<7>t$330>41f3A?246F:879j571=831d=k650;9~f001290io7>50z&156<58;1C9464H4:5?_0e2jq9<7<=:0a953<6?3;i6?:520811?7c2;91=l4rn659=>h00330bh750:l24g<73-;:57?>c:&25d<59;1/>=h52:&26`<43-;9j7=4$013>6=#9:;1?6*>3380?!74;390(<=;:29'563=;2.:?:4<;%30<?5<,8926>5+12c97>"6;k087)?<c;18 45c2:1/=>k53:&27c<43-;?<7=4$062>6=#9=81?6*>4280?!73<390(<:::29'510=;2.:8:4<;%37<?5<,8>26>5+15c97>"6<k087)?;c;18 42c2:1/=9k53:&20c<43-;><7=4$072>6=#9<81?6*>5280?!72<390(<;::29'500=;2.:9:4<;%36<?5<,8?26>5+14c97>"6=k087)?:c;18 43c2:1/=8k53:&21c<43-;=<7=4$042>6=#9?81?6*>6280?!71<390(<8::29'530=;2.:::4<;%35<?5<,8<26>5+17c97>"6>k087)?9c;18 40c2:1/=;k53:&22c<43-;<<7=4$052>6=#9>81?6*>7280?!70<390(<9::29'520=;2.:;44>f69'651=:8;0(?>7:7f8 76>2?n0(?>m:758 76d2;:n7)?87;08 41?2;1/94:55818 0?22<387)<>0;08m7642900e:>50;9j54>=831b>=850;9j34<722c9<94?::k140<722c:=:4?::k`6?6=,8lo6n?4n0d`>5=<aj:1<7*>fe8`5>h6nj0:76gmf;29 4`c2j;0b<hl:398mgc=83.:ji4l1:l2bf<432c>4i4?:%3e`?3?k2d:jn4?;:k6<g<72-;mh7;7c:l2bf<632c>4l4?:%3e`?3?k2d:jn4=;:k6<<<72-;mh7;7c:l2bf<432en=7>5$0dg>`6<f8lh6=54oed94?"6nm0n<6`>fb82?>icl3:1(<hk:d28j4`d2;10cim50;&2ba<b82d:jn4<;:mgf?6=,8lo6h>4n0d`>1=<gmk1<7*>fe8f4>h6nj0>76ak9;29 4`c2l:0b<hl:798ka>=83.:ji4j0:l2bf<032eo;7>5$0dg>`6<f8lh6554oe494?"6nm0n<6`>fb8:?>ic=3:1(<hk:d28j4`d2h10ci:50;&2ba<b82d:jn4m;:mg6?6=,8lo6h>4n0d`>f=<gm;1<7*>fe8f4>h6nj0o76ak0;29 4`c2l:0b<hl:d98kf`=83.:ji4j0:l2bf<a32ehi7>5$0dg>`6<f8lh6<>4;nag>5<#9on1i=5a1ga954=<gji1<7*>fe8f4>h6nj0:>65`cc83>!7al3o;7c?ic;30?>idi3:1(<hk:d28j4`d28>07bm6:18'5cb=m91e=km51498k`>=83.:ji4j0:l2bf<6>21di:4?:%3e`?c73g;mo7?8;:mf2?6=,8lo6h>4n0d`>4><3fo>6=4+1gf9a5=i9oi1=454od694?"6nm0n<6`>fb82e>=hm:0;6)?id;g3?k7ak3;i76aj2;29 4`c2l:0b<hl:0a8?jbb290/=kj5e19m5ce=9m10ci=50;&2ba<b82d:jn4>e:9lg=<72-;mh7k?;o3eg?7a32c?h7>5$0dg>1e<f8lh6=54i5`94?"6nm0?o6`>fb82?>o3i3:1(<hk:5a8j4`d2;10e9750;&2ba<3k2d:jn4<;:k62?6=,8lo69m4n0d`>1=<a<?1<7*>fe87g>h6nj0>76g:4;29 4`c2=i0b<hl:798m05=83.:ji4;c:l2bf<032c>>7>5$0dg>1e<f8lh6554i4394?"6nm0?o6`>fb8:?>o283:1(<hk:5a8j4`d2h10e9h50;&2ba<3k2d:jn4m;:k7a?6=,8lo69m4n0d`>f=<a=21<7*>fe87g>h6nj0o76g:c;29 4`c2<h0b<hl:198m0g=83.:ji4:b:l2bf<632c>57>5$0dg>0d<f8lh6?54i4:94?"6nm0>n6`>fb80?>o1=3:1(<hk:4`8j4`d2=10e;:50;&2ba<2j2d:jn4:;:k57?6=,8lo68l4n0d`>3=<a?81<7*>fe86f>h6nj0<76g91;29 4`c2<h0b<hl:998m36=83.:ji4:b:l2bf<>32c>j7>5$0dg>0d<f8lh6l54i4g94?"6nm0>n6`>fb8a?>o2l3:1(<hk:4`8j4`d2j10e8950;&2ba<2j2d:jn4k;:ka2?6=,8lo6o;4n0d`>5=<ak>1<7*>fe8a1>h6nj0:76gm2;29 4`c2k?0b<hl:398mg7=83.:ji4m5:l2bf<432ci<7>5$0dg>g3<f8lh6954i`d94?"6nm0i96`>fb86?>ofm3:1(<hk:c78j4`d2?10elj50;&2ba<e=2d:jn48;:kbg?6=,8lo6o;4n0d`>==<ahh1<7*>fe8a1>h6nj0276gna;29 4`c2k?0b<hl:`98md?=83.:ji4m5:l2bf<e32cj;7>5$0dg>g3<f8lh6n54i`494?"6nm0i96`>fb8g?>of=3:1(<hk:c78j4`d2l10el:50;&2ba<e=2d:jn4i;:kb7?6=,8lo6o;4n0d`>46<3`k96=4+1gf9f0=i9oi1=<54i`394?"6nm0i96`>fb826>=ni90;6)?id;`6?k7ak3;876g6f;29 4`c2k?0b<hl:068?l?b290/=kj5b49m5ce=9<10eoj50;&2ba<e=2d:jn4>6:9jff<72-;mh7l:;o3eg?7032cin7>5$0dg>g3<f8lh6<64;h`b>5<#9on1n85a1ga95<=<ak31<7*>fe8a1>h6nj0:m65fb983>!7al3h>7c?ic;3a?>oe?3:1(<hk:c78j4`d28i07dl<:18'5cb=j<1e=km51e98md>=83.:ji4m5:l2bf<6m21b5i4?:%3e`?d23g;mo7?i;:m255<72-;mh7??f:l2bf<732e:<h4?:%3e`?77n2d:jn4>;:m24a<72-;mh7??f:l2bf<532e:<n4?:%3e`?77n2d:jn4<;:k`3?6=,8lo6n84n0d`>5=<aj?1<7*>fe8`2>h6nj0:76gl4;29 4`c2j<0b<hl:398mf5=83.:ji4l6:l2bf<432e:=84?:%3e`?76<2d:jn4?;:m256<72-;mh7?>4:l2bf<632e:=?4?:%3e`?76<2d:jn4=;:m254<72-;mh7?>4:l2bf<432e:<>4?:%3e`?77:2d:jn4?;:m244<72-;mh7??2:l2bf<632emj7>5$0dg>4653g;mo7<4;ndf>5<#9on1==<4n0d`>6=<gon1<7*>fe8247=i9oi1865`fb83>!7al3;;>6`>fb86?>iaj3:1(<hk:021?k7ak3<07bhn:18'5cb=9980b<hl:698kc?=83.:ji4>039m5ce=021dj54?:%3e`?77:2d:jn46;:me3?6=,8lo6<>=;o3eg?g<3fl=6=4+1gf9554<f8lh6o54og694?"6nm0:<?5a1ga9g>=hn:0;6)?id;336>h6nj0o76ai2;29 4`c28:97c?ic;g8?j`6290/=kj51108j4`d2o10ck>50;&2ba<68;1e=km51198k``=83.:ji4>039m5ce=9810chk50;&2ba<68;1e=km51398k`b=83.:ji4>039m5ce=9:10chm50;&2ba<68;1e=km51598k`d=83.:ji4>039m5ce=9<10c<>n:18'5cb=9980b<hl:048?j7713:1(<hk:021?k7ak3;<76a>0983>!7al3;;>6`>fb82<>=h99=1<7*>fe8247=i9oi1=454o025>5<#9on1==<4n0d`>4g<3f;;97>5$0dg>4653g;mo7?m;:m241<72-;mh7??2:l2bf<6k21d==>50;&2ba<68;1e=km51e98kc3=83.:ji4>039m5ce=9l10cho50;&2ba<68;1e=km51g98m0?5290/=kj55838j4`d2910e87?:18'5cb==0;0b<hl:098m0>a290/=kj55838j4`d2;10e86j:18'5cb==0;0b<hl:298m<3=83.:ji464:l2bf<732c2?7>5$0dg><2<f8lh6<54i8394?"6nm0286`>fb81?>o>83:1(<hk:868j4`d2:10e5h50;&2ba<><2d:jn4;;:k;a?6=,8lo64:4n0d`>0=<a1n1<7*>fe8:0>h6nj0=76g7c;29 4`c20>0b<hl:698m=d=83.:ji464:l2bf<?32c3m7>5$0dg><2<f8lh6454i9;94?"6nm0286`>fb8b?>o?03:1(<hk:868j4`d2k10e5850;&2ba<><2d:jn4l;:k;1?6=,8lo64:4n0d`>a=<a1>1<7*>fe8:0>h6nj0n76g73;29 4`c20>0b<hl:g98m=4=83.:ji464:l2bf<6821b4<4?:%3e`??33g;mo7?>;:k;4?6=,8lo64:4n0d`>44<3`=m6=4+1gf9=1=i9oi1=>54i6g94?"6nm0286`>fb820>=n?m0;6)?id;;7?k7ak3;>76g6c;29 4`c20>0b<hl:048?l?e290/=kj5959m5ce=9>10e4o50;&2ba<><2d:jn4>8:9j=<<72-;mh77;;o3eg?7>32c247>5$0dg><2<f8lh6<o4;h;4>5<#9on1595a1ga95g=<a0<1<7*>fe8:0>h6nj0:o65f9383>!7al33?7c?ic;3g?>o??3:1(<hk:868j4`d28o07d9l:18'5cb=1=1e=km51g98yg31=3:1nn4?:1y'645=:980D877;I7;2>\1j3ip>=4=2;3`>40=9>0:n7<;:33960<6l3886<o5}o54><=i?1027ck6:19m55d=82.:=44>1b9'54g=:880(?>i:39'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>953:&27=<43-;857=4$01b>6=#9:h1?6*>3b80?!74l390(<=j:29'56`=;2.:8=4<;%375?5<,8>96>5+15197>"6<=087)?;5;18 4212:1/=9953:&20=<43-;?57=4$06b>6=#9=h1?6*>4b80?!73l390(<:j:29'51`=;2.:9=4<;%365?5<,8?96>5+14197>"6==087)?:5;18 4312:1/=8953:&21=<43-;>57=4$07b>6=#9<h1?6*>5b80?!72l390(<;j:29'50`=;2.::=4<;%355?5<,8<96>5+17197>"6>=087)?95;18 4012:1/=;953:&22=<43-;=57=4$04b>6=#9?h1?6*>6b80?!71l390(<8j:29'53`=;2.:;=4<;%345?5<,8=96>5+16197>"6?=087)?85;18 4112:1/=:751g58 7602;;:7)<?8;4g?!4713<o7)<?b;44?!47k38;i6*>7681?!700380(87;:4;0?!3>=3?2?6*=1181?l47;3:17d9?:188m47?2900e?>9:188m27=831b>=:50;9j653=831b=<950;9jg7<72-;mh7m>;o3eg?6<3`i;6=4+1gf9g4=i9oi1=65fbg83>!7al3i:7c?ic;08?ldb290/=kj5c09m5ce=;21b95j50;&2ba<20j1e=km50:9j1=d=83.:ji4:8b9m5ce=921b95o50;&2ba<20j1e=km52:9j1=?=83.:ji4:8b9m5ce=;21di<4?:%3e`?c73g;mo7>4;nfe>5<#9on1i=5a1ga95>=hlm0;6)?id;g3?k7ak3807bjl:18'5cb=m91e=km53:9l`g<72-;mh7k?;o3eg?2<3fnj6=4+1gf9a5=i9oi1965`d883>!7al3o;7c?ic;48?jb?290/=kj5e19m5ce=?21dh:4?:%3e`?c73g;mo764;nf5>5<#9on1i=5a1ga9=>=hl<0;6)?id;g3?k7ak3k07bj;:18'5cb=m91e=km5b:9l`7<72-;mh7k?;o3eg?e<3fn:6=4+1gf9a5=i9oi1h65`d183>!7al3o;7c?ic;g8?jea290/=kj5e19m5ce=n21doh4?:%3e`?c73g;mo7??;:m``?6=,8lo6h>4n0d`>47<3fih6=4+1gf9a5=i9oi1=?54ob`94?"6nm0n<6`>fb827>=hkh0;6)?id;g3?k7ak3;?76al9;29 4`c2l:0b<hl:078?jc?290/=kj5e19m5ce=9?10ch950;&2ba<b82d:jn4>7:9la3<72-;mh7k?;o3eg?7?32en97>5$0dg>`6<f8lh6<74;ng7>5<#9on1i=5a1ga95d=<gl91<7*>fe8f4>h6nj0:n65`e383>!7al3o;7c?ic;3`?>icm3:1(<hk:d28j4`d28n07bj<:18'5cb=m91e=km51d98kf>=83.:ji4j0:l2bf<6n21b8i4?:%3e`?2d3g;mo7>4;h6a>5<#9on18n5a1ga95>=n<h0;6)?id;6`?k7ak3807d:6:18'5cb=<j1e=km53:9j13<72-;mh7:l;o3eg?2<3`?>6=4+1gf90f=i9oi1965f5583>!7al3>h7c?ic;48?l34290/=kj54b9m5ce=?21b9?4?:%3e`?2d3g;mo764;h72>5<#9on18n5a1ga9=>=n=90;6)?id;6`?k7ak3k07d:i:18'5cb=<j1e=km5b:9j0`<72-;mh7:l;o3eg?e<3`>36=4+1gf90f=i9oi1h65f5b83>!7al3?i7c?ic;28?l3f290/=kj55c9m5ce=921b944?:%3e`?3e3g;mo7<4;h7;>5<#9on19o5a1ga97>=n><0;6)?id;7a?k7ak3>07d8;:18'5cb==k1e=km55:9j26<72-;mh7;m;o3eg?0<3`<96=4+1gf91g=i9oi1;65f6083>!7al3?i7c?ic;:8?l07290/=kj55c9m5ce=121b9k4?:%3e`?3e3g;mo7o4;h7f>5<#9on19o5a1ga9f>=n=m0;6)?id;7a?k7ak3i07d;8:18'5cb==k1e=km5d:9jf3<72-;mh7l:;o3eg?6<3`h?6=4+1gf9f0=i9oi1=65fb383>!7al3h>7c?ic;08?ld6290/=kj5b49m5ce=;21bn=4?:%3e`?d23g;mo7:4;hce>5<#9on1n85a1ga91>=nil0;6)?id;`6?k7ak3<07dok:18'5cb=j<1e=km57:9jef<72-;mh7l:;o3eg?><3`ki6=4+1gf9f0=i9oi1565fa`83>!7al3h>7c?ic;c8?lg>290/=kj5b49m5ce=j21bm:4?:%3e`?d23g;mo7m4;hc5>5<#9on1n85a1ga9`>=ni<0;6)?id;`6?k7ak3o07do;:18'5cb=j<1e=km5f:9je6<72-;mh7l:;o3eg?7732cj>7>5$0dg>g3<f8lh6<?4;hc2>5<#9on1n85a1ga957=<ah:1<7*>fe8a1>h6nj0:?65f9g83>!7al3h>7c?ic;37?>o>m3:1(<hk:c78j4`d28?07dlk:18'5cb=j<1e=km51798mge=83.:ji4m5:l2bf<6?21bno4?:%3e`?d23g;mo7?7;:kae?6=,8lo6o;4n0d`>4?<3`h26=4+1gf9f0=i9oi1=l54ic:94?"6nm0i96`>fb82f>=nj>0;6)?id;`6?k7ak3;h76gm3;29 4`c2k?0b<hl:0f8?lg?290/=kj5b49m5ce=9l10e4j50;&2ba<e=2d:jn4>f:9l546=83.:ji4>0g9m5ce=821d==k50;&2ba<68o1e=km51:9l55b=83.:ji4>0g9m5ce=:21d==m50;&2ba<68o1e=km53:9jg2<72-;mh7m9;o3eg?6<3`i>6=4+1gf9g3=i9oi1=65fc583>!7al3i=7c?ic;08?le4290/=kj5c79m5ce=;21d=<;50;&2ba<69=1e=km50:9l545=83.:ji4>159m5ce=921d=<<50;&2ba<69=1e=km52:9l547=83.:ji4>159m5ce=;21d===50;&2ba<68;1e=km50:9l557=83.:ji4>039m5ce=921djk4?:%3e`?77:2d:jn4=;:mea?6=,8lo6<>=;o3eg?5<3flo6=4+1gf9554<f8lh6954oga94?"6nm0:<?5a1ga91>=hnk0;6)?id;336>h6nj0=76aia;29 4`c28:97c?ic;58?j`>290/=kj51108j4`d2110ck650;&2ba<68;1e=km59:9lb2<72-;mh7??2:l2bf<f32em:7>5$0dg>4653g;mo7l4;nd7>5<#9on1==<4n0d`>f=<go91<7*>fe8247=i9oi1h65`f383>!7al3;;>6`>fb8f?>ia93:1(<hk:021?k7ak3l07bh?:18'5cb=9980b<hl:028?jca290/=kj51108j4`d28;07bkj:18'5cb=9980b<hl:008?jcc290/=kj51108j4`d28907bkl:18'5cb=9980b<hl:068?jce290/=kj51108j4`d28?07b??a;29 4`c28:97c?ic;35?>i6800;6)?id;336>h6nj0:;65`11:94?"6nm0:<?5a1ga95==<g8:<6=4+1gf9554<f8lh6<74;n332?6=,8lo6<>=;o3eg?7f32e:<84?:%3e`?77:2d:jn4>b:9l552=83.:ji4>039m5ce=9j10c<>?:18'5cb=9980b<hl:0f8?j`2290/=kj51108j4`d28o07bkn:18'5cb=9980b<hl:0d8?l3>:3:1(<hk:4;2?k7ak3:07d;60;29 4`c2<3:7c?ic;38?l3?n3:1(<hk:4;2?k7ak3807d;7e;29 4`c2<3:7c?ic;18?l?2290/=kj5959m5ce=821b5>4?:%3e`??33g;mo7?4;h;2>5<#9on1595a1ga96>=n190;6)?id;;7?k7ak3907d6i:18'5cb=1=1e=km54:9j<`<72-;mh77;;o3eg?3<3`2o6=4+1gf9=1=i9oi1:65f8b83>!7al33?7c?ic;58?l>e290/=kj5959m5ce=021b4l4?:%3e`??33g;mo774;h::>5<#9on1595a1ga9e>=n010;6)?id;;7?k7ak3h07d69:18'5cb=1=1e=km5c:9j<0<72-;mh77;;o3eg?b<3`2?6=4+1gf9=1=i9oi1i65f8283>!7al33?7c?ic;d8?l>5290/=kj5959m5ce=9910e5?50;&2ba<><2d:jn4>1:9j<5<72-;mh77;;o3eg?7532c<j7>5$0dg><2<f8lh6<=4;h5f>5<#9on1595a1ga951=<a>n1<7*>fe8:0>h6nj0:965f9b83>!7al33?7c?ic;35?>o>j3:1(<hk:868j4`d28=07d7n:18'5cb=1=1e=km51998m<?=83.:ji464:l2bf<6121b554?:%3e`??33g;mo7?n;:k:3?6=,8lo64:4n0d`>4d<3`3=6=4+1gf9=1=i9oi1=n54i8094?"6nm0286`>fb82`>=n0>0;6)?id;;7?k7ak3;n76g8c;29 4`c20>0b<hl:0d8?xd2>=0;6om50;2x 7742;:97E;68:J6<3=]>k0hw?>52382g?7128=1=o4=4;02>73=9m09?7?n:|l43??<f>2156`j9;28j46e291/=<7510a8 47f2;;97)<?f;08 44b2:1/=?h53:&275<43-;8=7=4$011>6=#9:91?6*>3580?!74=390(<=8:29'56>=;2.:?44<;%30e?5<,89i6>5+12a97>"6;m087)?<e;18 45a2:1/=9>53:&204<43-;?>7=4$060>6=#9=>1?6*>4480?!73>390(<:8:29'51>=;2.:844<;%37e?5<,8>i6>5+15a97>"6<m087)?;e;18 42a2:1/=8>53:&214<43-;>>7=4$070>6=#9<>1?6*>5480?!72>390(<;8:29'50>=;2.:944<;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=;>53:&224<43-;=>7=4$040>6=#9?>1?6*>6480?!71>390(<88:29'53>=;2.::44<;%35e?5<,8<i6>5+17a97>"6>m087)?9e;18 40a2:1/=:>53:&234<43-;<>7=4$050>6=#9>>1?6*>7480?!70>390(<96:0d4?!47?38:=6*=0985`>"5800=h6*=0c853>"58j09<h5+16596>"6?1097);64;7:7>"21<0>5>5+20296>o58:0;66g80;29?l7603:17d<?6;29?l162900e?>;:188m7622900e<?8:188mf4=83.:ji4l1:l2bf<732ch<7>5$0dg>f7<f8lh6<54icd94?"6nm0h=6`>fb81?>oem3:1(<hk:b38j4`d2:10e86k:18'5cb==1i0b<hl:198m0>e290/=kj559a8j4`d2810e86n:18'5cb==1i0b<hl:398m0>>290/=kj559a8j4`d2:10ch?50;&2ba<b82d:jn4?;:mgb?6=,8lo6h>4n0d`>4=<gmn1<7*>fe8f4>h6nj0976akc;29 4`c2l:0b<hl:298kad=83.:ji4j0:l2bf<332eom7>5$0dg>`6<f8lh6854oe;94?"6nm0n<6`>fb85?>ic03:1(<hk:d28j4`d2>10ci950;&2ba<b82d:jn47;:mg2?6=,8lo6h>4n0d`><=<gm?1<7*>fe8f4>h6nj0j76ak4;29 4`c2l:0b<hl:c98ka4=83.:ji4j0:l2bf<d32eo=7>5$0dg>`6<f8lh6i54oe294?"6nm0n<6`>fb8f?>idn3:1(<hk:d28j4`d2o10cnk50;&2ba<b82d:jn4>0:9lga<72-;mh7k?;o3eg?7632eho7>5$0dg>`6<f8lh6<<4;naa>5<#9on1i=5a1ga956=<gjk1<7*>fe8f4>h6nj0:865`c883>!7al3o;7c?ic;36?>ib03:1(<hk:d28j4`d28<07bk8:18'5cb=m91e=km51698k`0=83.:ji4j0:l2bf<6021di84?:%3e`?c73g;mo7?6;:mf0?6=,8lo6h>4n0d`>4g<3fo86=4+1gf9a5=i9oi1=o54od094?"6nm0n<6`>fb82g>=hll0;6)?id;g3?k7ak3;o76ak3;29 4`c2l:0b<hl:0g8?je?290/=kj5e19m5ce=9o10e9j50;&2ba<3k2d:jn4?;:k7f?6=,8lo69m4n0d`>4=<a=k1<7*>fe87g>h6nj0976g;9;29 4`c2=i0b<hl:298m00=83.:ji4;c:l2bf<332c>97>5$0dg>1e<f8lh6854i4694?"6nm0?o6`>fb85?>o2;3:1(<hk:5a8j4`d2>10e8<50;&2ba<3k2d:jn47;:k65?6=,8lo69m4n0d`><=<a<:1<7*>fe87g>h6nj0j76g;f;29 4`c2=i0b<hl:c98m1c=83.:ji4;c:l2bf<d32c?47>5$0dg>1e<f8lh6i54i4a94?"6nm0>n6`>fb83?>o2i3:1(<hk:4`8j4`d2810e8750;&2ba<2j2d:jn4=;:k6<?6=,8lo68l4n0d`>6=<a??1<7*>fe86f>h6nj0?76g94;29 4`c2<h0b<hl:498m35=83.:ji4:b:l2bf<132c=>7>5$0dg>0d<f8lh6:54i7394?"6nm0>n6`>fb8;?>o183:1(<hk:4`8j4`d2010e8h50;&2ba<2j2d:jn4n;:k6a?6=,8lo68l4n0d`>g=<a<n1<7*>fe86f>h6nj0h76g:7;29 4`c2<h0b<hl:e98mg0=83.:ji4m5:l2bf<732ci87>5$0dg>g3<f8lh6<54ic094?"6nm0i96`>fb81?>oe93:1(<hk:c78j4`d2:10eo>50;&2ba<e=2d:jn4;;:kbb?6=,8lo6o;4n0d`>0=<aho1<7*>fe8a1>h6nj0=76gnd;29 4`c2k?0b<hl:698mde=83.:ji4m5:l2bf<?32cjn7>5$0dg>g3<f8lh6454i`c94?"6nm0i96`>fb8b?>of13:1(<hk:c78j4`d2k10el950;&2ba<e=2d:jn4l;:kb2?6=,8lo6o;4n0d`>a=<ah?1<7*>fe8a1>h6nj0n76gn4;29 4`c2k?0b<hl:g98md5=83.:ji4m5:l2bf<6821bm?4?:%3e`?d23g;mo7?>;:kb5?6=,8lo6o;4n0d`>44<3`k;6=4+1gf9f0=i9oi1=>54i8d94?"6nm0i96`>fb820>=n1l0;6)?id;`6?k7ak3;>76gmd;29 4`c2k?0b<hl:048?ldd290/=kj5b49m5ce=9>10eol50;&2ba<e=2d:jn4>8:9jfd<72-;mh7l:;o3eg?7>32ci57>5$0dg>g3<f8lh6<o4;h`;>5<#9on1n85a1ga95g=<ak=1<7*>fe8a1>h6nj0:o65fb283>!7al3h>7c?ic;3g?>of03:1(<hk:c78j4`d28o07d7k:18'5cb=j<1e=km51g98k477290/=kj511d8j4`d2910c<>j:18'5cb=99l0b<hl:098k46c290/=kj511d8j4`d2;10c<>l:18'5cb=99l0b<hl:298mf1=83.:ji4l6:l2bf<732ch97>5$0dg>f0<f8lh6<54ib694?"6nm0h:6`>fb81?>od;3:1(<hk:b48j4`d2:10c<?::18'5cb=98>0b<hl:198k474290/=kj51068j4`d2810c<?=:18'5cb=98>0b<hl:398k476290/=kj51068j4`d2:10c<><:18'5cb=9980b<hl:198k466290/=kj51108j4`d2810ckh50;&2ba<68;1e=km52:9lb`<72-;mh7??2:l2bf<432emh7>5$0dg>4653g;mo7:4;nd`>5<#9on1==<4n0d`>0=<goh1<7*>fe8247=i9oi1:65`f`83>!7al3;;>6`>fb84?>ia13:1(<hk:021?k7ak3207bh7:18'5cb=9980b<hl:898kc1=83.:ji4>039m5ce=i21dj;4?:%3e`?77:2d:jn4m;:me0?6=,8lo6<>=;o3eg?e<3fl86=4+1gf9554<f8lh6i54og094?"6nm0:<?5a1ga9a>=hn80;6)?id;336>h6nj0m76ai0;29 4`c28:97c?ic;33?>ibn3:1(<hk:021?k7ak3;:76aje;29 4`c28:97c?ic;31?>ibl3:1(<hk:021?k7ak3;876ajc;29 4`c28:97c?ic;37?>ibj3:1(<hk:021?k7ak3;>76a>0`83>!7al3;;>6`>fb822>=h9931<7*>fe8247=i9oi1=:54o02;>5<#9on1==<4n0d`>4><3f;;;7>5$0dg>4653g;mo7?6;:m243<72-;mh7??2:l2bf<6i21d==;50;&2ba<68;1e=km51c98k463290/=kj51108j4`d28i07b??0;29 4`c28:97c?ic;3g?>ia=3:1(<hk:021?k7ak3;n76aja;29 4`c28:97c?ic;3e?>o21;0;6)?id;7:5>h6nj0;76g:9183>!7al3?2=6`>fb82?>o20o0;6)?id;7:5>h6nj0976g:8d83>!7al3?2=6`>fb80?>o>=3:1(<hk:868j4`d2910e4=50;&2ba<><2d:jn4>;:k:5?6=,8lo64:4n0d`>7=<a0:1<7*>fe8:0>h6nj0876g7f;29 4`c20>0b<hl:598m=c=83.:ji464:l2bf<232c3h7>5$0dg><2<f8lh6;54i9a94?"6nm0286`>fb84?>o?j3:1(<hk:868j4`d2110e5o50;&2ba<><2d:jn46;:k;=?6=,8lo64:4n0d`>d=<a121<7*>fe8:0>h6nj0i76g76;29 4`c20>0b<hl:b98m=3=83.:ji464:l2bf<c32c387>5$0dg><2<f8lh6h54i9194?"6nm0286`>fb8e?>o?:3:1(<hk:868j4`d28:07d6>:18'5cb=1=1e=km51098m=6=83.:ji464:l2bf<6:21b;k4?:%3e`??33g;mo7?<;:k4a?6=,8lo64:4n0d`>42<3`=o6=4+1gf9=1=i9oi1=854i8a94?"6nm0286`>fb822>=n1k0;6)?id;;7?k7ak3;<76g6a;29 4`c20>0b<hl:0:8?l?>290/=kj5959m5ce=9010e4650;&2ba<><2d:jn4>a:9j=2<72-;mh77;;o3eg?7e32c2:7>5$0dg><2<f8lh6<m4;h;1>5<#9on1595a1ga95a=<a1=1<7*>fe8:0>h6nj0:i65f7b83>!7al33?7c?ic;3e?>{e=?91<7ll:183!46;38;>6F:999K1=0<R?h1ov<?:3095f<6>3;<6<l525815?4228n1>>4>a;m32<>3g=3645ae883?k77j3:0(<?6:03`?!76i38:>6*=0g81?!75m390(<<i:29'566=;2.:?<4<;%306?5<,8986>5+12697>"6;<087)?<7;18 45?2:1/=>753:&27d<43-;8n7=4$01`>6=#9:n1?6*>3d80?!74n390(<:?:29'517=;2.:8?4<;%377?5<,8>?6>5+15797>"6<?087)?;7;18 42?2:1/=9753:&20d<43-;?n7=4$06`>6=#9=n1?6*>4d80?!73n390(<;?:29'507=;2.:9?4<;%367?5<,8??6>5+14797>"6=?087)?:7;18 43?2:1/=8753:&21d<43-;>n7=4$07`>6=#9<n1?6*>5d80?!72n390(<8?:29'537=;2.::?4<;%357?5<,8<?6>5+17797>"6>?087)?97;18 40?2:1/=;753:&22d<43-;=n7=4$04`>6=#9?n1?6*>6d80?!71n390(<9?:29'527=;2.:;?4<;%347?5<,8=?6>5+16797>"6??087)?89;3e3>"58>09=<5+21:92a=#:931:i5+21`922=#:9i1>=k4$054>7=#9>21>6*:9586=6=#=0?194=4$333>7=n:991<75f7183>>o6910;66g=0783>>o093:17d<?4;29?l47=3:17d?>7;29?le5290/=kj5c09m5ce=821bo=4?:%3e`?e63g;mo7?4;h`e>5<#9on1o<5a1ga96>=njl0;6)?id;a2?k7ak3907d;7d;29 4`c2<2h7c?ic;28?l3?j3:1(<hk:4:`?k7ak3;07d;7a;29 4`c2<2h7c?ic;08?l3?13:1(<hk:4:`?k7ak3907bk>:18'5cb=m91e=km50:9l`c<72-;mh7k?;o3eg?7<3fno6=4+1gf9a5=i9oi1>65`db83>!7al3o;7c?ic;18?jbe290/=kj5e19m5ce=<21dhl4?:%3e`?c73g;mo7;4;nf:>5<#9on1i=5a1ga92>=hl10;6)?id;g3?k7ak3=07bj8:18'5cb=m91e=km58:9l`3<72-;mh7k?;o3eg??<3fn>6=4+1gf9a5=i9oi1m65`d583>!7al3o;7c?ic;`8?jb5290/=kj5e19m5ce=k21dh<4?:%3e`?c73g;mo7j4;nf3>5<#9on1i=5a1ga9a>=hko0;6)?id;g3?k7ak3l07bmj:18'5cb=m91e=km51198kfb=83.:ji4j0:l2bf<6921don4?:%3e`?c73g;mo7?=;:m`f?6=,8lo6h>4n0d`>45<3fij6=4+1gf9a5=i9oi1=954ob;94?"6nm0n<6`>fb821>=hm10;6)?id;g3?k7ak3;=76aj7;29 4`c2l:0b<hl:058?jc1290/=kj5e19m5ce=9110ch;50;&2ba<b82d:jn4>9:9la1<72-;mh7k?;o3eg?7f32en?7>5$0dg>`6<f8lh6<l4;ng1>5<#9on1i=5a1ga95f=<gmo1<7*>fe8f4>h6nj0:h65`d283>!7al3o;7c?ic;3f?>id03:1(<hk:d28j4`d28l07d:k:18'5cb=<j1e=km50:9j0g<72-;mh7:l;o3eg?7<3`>j6=4+1gf90f=i9oi1>65f4883>!7al3>h7c?ic;18?l31290/=kj54b9m5ce=<21b984?:%3e`?2d3g;mo7;4;h77>5<#9on18n5a1ga92>=n=:0;6)?id;6`?k7ak3=07d;=:18'5cb=<j1e=km58:9j14<72-;mh7:l;o3eg??<3`?;6=4+1gf90f=i9oi1m65f4g83>!7al3>h7c?ic;`8?l2b290/=kj54b9m5ce=k21b854?:%3e`?2d3g;mo7j4;h7`>5<#9on19o5a1ga94>=n=h0;6)?id;7a?k7ak3;07d;6:18'5cb==k1e=km52:9j1=<72-;mh7;m;o3eg?5<3`<>6=4+1gf91g=i9oi1865f6583>!7al3?i7c?ic;78?l04290/=kj55c9m5ce=>21b:?4?:%3e`?3e3g;mo794;h42>5<#9on19o5a1ga9<>=n>90;6)?id;7a?k7ak3307d;i:18'5cb==k1e=km5a:9j1`<72-;mh7;m;o3eg?d<3`?o6=4+1gf91g=i9oi1o65f5683>!7al3?i7c?ic;f8?ld1290/=kj5b49m5ce=821bn94?:%3e`?d23g;mo7?4;h`1>5<#9on1n85a1ga96>=nj80;6)?id;`6?k7ak3907dl?:18'5cb=j<1e=km54:9jec<72-;mh7l:;o3eg?3<3`kn6=4+1gf9f0=i9oi1:65fae83>!7al3h>7c?ic;58?lgd290/=kj5b49m5ce=021bmo4?:%3e`?d23g;mo774;hcb>5<#9on1n85a1ga9e>=ni00;6)?id;`6?k7ak3h07do8:18'5cb=j<1e=km5c:9je3<72-;mh7l:;o3eg?b<3`k>6=4+1gf9f0=i9oi1i65fa583>!7al3h>7c?ic;d8?lg4290/=kj5b49m5ce=9910el<50;&2ba<e=2d:jn4>1:9je4<72-;mh7l:;o3eg?7532cj<7>5$0dg>g3<f8lh6<=4;h;e>5<#9on1n85a1ga951=<a0o1<7*>fe8a1>h6nj0:965fbe83>!7al3h>7c?ic;35?>oek3:1(<hk:c78j4`d28=07dlm:18'5cb=j<1e=km51998mgg=83.:ji4m5:l2bf<6121bn44?:%3e`?d23g;mo7?n;:ka<?6=,8lo6o;4n0d`>4d<3`h<6=4+1gf9f0=i9oi1=n54ic194?"6nm0i96`>fb82`>=ni10;6)?id;`6?k7ak3;n76g6d;29 4`c2k?0b<hl:0d8?j7683:1(<hk:02e?k7ak3:07b??e;29 4`c28:m7c?ic;38?j77l3:1(<hk:02e?k7ak3807b??c;29 4`c28:m7c?ic;18?le0290/=kj5c79m5ce=821bo84?:%3e`?e13g;mo7?4;ha7>5<#9on1o;5a1ga96>=nk:0;6)?id;a5?k7ak3907b?>5;29 4`c28;?7c?ic;28?j76;3:1(<hk:037?k7ak3;07b?>2;29 4`c28;?7c?ic;08?j7693:1(<hk:037?k7ak3907b??3;29 4`c28:97c?ic;28?j7793:1(<hk:021?k7ak3;07bhi:18'5cb=9980b<hl:398kcc=83.:ji4>039m5ce=;21dji4?:%3e`?77:2d:jn4;;:meg?6=,8lo6<>=;o3eg?3<3fli6=4+1gf9554<f8lh6;54ogc94?"6nm0:<?5a1ga93>=hn00;6)?id;336>h6nj0376ai8;29 4`c28:97c?ic;;8?j`0290/=kj51108j4`d2h10ck850;&2ba<68;1e=km5b:9lb1<72-;mh7??2:l2bf<d32em?7>5$0dg>4653g;mo7j4;nd1>5<#9on1==<4n0d`>`=<go;1<7*>fe8247=i9oi1j65`f183>!7al3;;>6`>fb824>=hmo0;6)?id;336>h6nj0:=65`ed83>!7al3;;>6`>fb826>=hmm0;6)?id;336>h6nj0:?65`eb83>!7al3;;>6`>fb820>=hmk0;6)?id;336>h6nj0:965`11c94?"6nm0:<?5a1ga953=<g8:26=4+1gf9554<f8lh6<94;n33<?6=,8lo6<>=;o3eg?7?32e:<:4?:%3e`?77:2d:jn4>9:9l550=83.:ji4>039m5ce=9h10c<>::18'5cb=9980b<hl:0`8?j77<3:1(<hk:021?k7ak3;h76a>0183>!7al3;;>6`>fb82`>=hn<0;6)?id;336>h6nj0:i65`e`83>!7al3;;>6`>fb82b>=n=081<7*>fe86=4=i9oi1<65f58294?"6nm0>5<5a1ga95>=n=1l1<7*>fe86=4=i9oi1>65f59g94?"6nm0>5<5a1ga97>=n1<0;6)?id;;7?k7ak3:07d7<:18'5cb=1=1e=km51:9j=4<72-;mh77;;o3eg?4<3`3;6=4+1gf9=1=i9oi1?65f8g83>!7al33?7c?ic;68?l>b290/=kj5959m5ce==21b4i4?:%3e`??33g;mo784;h:`>5<#9on1595a1ga93>=n0k0;6)?id;;7?k7ak3207d6n:18'5cb=1=1e=km59:9j<<<72-;mh77;;o3eg?g<3`236=4+1gf9=1=i9oi1n65f8783>!7al33?7c?ic;a8?l>2290/=kj5959m5ce=l21b494?:%3e`??33g;mo7k4;h:0>5<#9on1595a1ga9b>=n0;0;6)?id;;7?k7ak3;;76g71;29 4`c20>0b<hl:038?l>7290/=kj5959m5ce=9;10e:h50;&2ba<><2d:jn4>3:9j3`<72-;mh77;;o3eg?7332c<h7>5$0dg><2<f8lh6<;4;h;`>5<#9on1595a1ga953=<a0h1<7*>fe8:0>h6nj0:;65f9`83>!7al33?7c?ic;3;?>o>13:1(<hk:868j4`d28307d77:18'5cb=1=1e=km51`98m<1=83.:ji464:l2bf<6j21b5;4?:%3e`??33g;mo7?l;:k:6?6=,8lo64:4n0d`>4b<3`2<6=4+1gf9=1=i9oi1=h54i6a94?"6nm0286`>fb82b>=z{?:m6=4={_7ff>;2>?0ii6s|61f94?4|V<oj70;96;;b?xu18j0;6?uQ5d;890012030q~8?b;296~X2m1169;85999~w36f2909wS;j7:?623<>?2wx:=750;0xZ0c134?=:779;|q542<72;qU9h:4=445><4<uz<;:7>52z\6a6=:=?<14:5rs726>5<5sW?n>63:6784g>{t>9>1<7<t^4g2?831=3hn7p}90283>7}Y=l:0188::8c8yv07:3:1>vP:dg9>133=101v;>>:181[3cm27>:8468:p256=838pR8jk;<751??03ty>jk4?:3y]1ae<5<<>6484}r7ea?6=:rT>ho525779=7=z{<lh6=4={_7g=>;2><03;6s|5g`94?4|V<n370;95;5`?xu2nh0;6?uQ5e5890032ko0q~;i9;296~X2l?169;:59`9~w0`?2909wS;k5:?621<>12wx9k950;0xZ0b334?=8777;|q6b3<72;qU9i=4=447><1<uz?m97>52z\6`7=:=?>15;5rs4d7>5<5sW?o=63:658:6>{t=o91<7<t^4f3?831<32<7p}91783>7}Y=o;0188;:6a8yv06=3:1>vP:f19>135=jl1v;?;:181[3bn27>:>46a:p245=838pR8kj;<757??>3ty==?4?:3y]1`b<5<<86464}r425?6=:rT>in525719=2=z{?;;6=4={_7f1>;2>:02:6s|61:94?4|V<nj70;93;;1?xu2nm0;6?uQ5bd8900421=0q~;i2;296~X2kl169;=57b9~w3d02903?vP9b69>7gd=>j169:m56b9>12d=>j169:;56b9>122=>j169:=56b9>12g=>j169>656b9>161=>j169>856b9>163=>j169>:56b9>165=>j169>?56b9>166=>j169?h56b9>17c=>j169?j56b9>17e=>j169?l56b9>17g=>j169?756b9>17>=>j169?856b9>173=>j169?:56b9>175=>j169?<56b9>177=>j169?>56b9>14`=>j169<k56b9>14b=>j169>k56b9>16b=>j169>m56b9>16d=>j169>o56b9>16?=>j169><56b9>171=>j169<m56b9>14d=>j16?:=56b9>724=>j16?:l56b9>72g=>j16?:756b9>72>=>j16?:956b9>720=>j16?:;56b9>722=>j16?:?56b9>726=>j16?km56b9>052=>j168==56b9>054=>j168=?56b9>056=>j16?kh56b9>7cc=>j16?kj56b9>7cd=>j16?ko56b9>7`0=>j16?hk56b9>7`b=>j16?hm56b9>7`d=>j16?ho56b9>7`?=>j16?h656b9>7`1=>j16?h;56b9>7`2=>j16?5<56b9>72`=>j16?5656b9>7=0=>j16?5;56b9>6gg=>j16>n<56b9>6f7=>j16>n>56b9>6g`=>j16>ok56b9>6gb=>j16>om56b9>6gd=>j16>o756b9>6g>=>j16?<;56b9>74b=>j16?<m56b9>74d=>j16?<o56b9>74?=>j16?<656b9>741=>j16?<856b9>742=>j16?<=56b9>6`0=>j16>hk56b9>6`b=>j16>hm56b9>6`d=>j16>ho56b9>6`?=>j16>h656b9>6`1=>j16>h;56b9>6`2=>j16>8h56b9>631=>j16>;856b9>633=>j16>;:56b9>635=>j16>;<56b9>637=>j16>;>56b9>60c=>j16>8j56b9>130=?8169;;5709>132=?8169;=5709~w3b62903wS8k1:?03`<6:116?5:513c8961c288i70=71;31e>;4?j0:>o523c;957><5<<36<<m;|q5`6<72>qU:i=4=44`>24<5<<m6<hi;<75a?7an27>;l4>fg9>13b=9ol0189i:0de?xu1lh0;6;7t^7fb?82403<h70:<7;4`?831k3<h70;71;4`?831n3<h70;70;4`?831m3<h70;89;4`?83003<h70;9d;4`?82?m3<h70:7d;4`?82>>3<h70:65;4`?82><3<h70:63;4`?82>:3<h70:61;4`?82>83<h70:7f;4`?82?k3<h70:7b;4`?82bm3<h70:i6;4`?82a=3<h70:i4;4`?82a;3<h70:i2;4`?82a93<h70:i0;4`?82bn3<h70:jd;4`?82bk3<h70:k8;4`?82b83<h70:kf;4`?82cm3<h70:kd;4`?82ck3<h70:kb;4`?82ci3<h70:k9;4`?82c?3<h70:k6;4`?82d:3<h70:la;4`?82d13<h70:l8;4`?82d?3<h70:l6;4`?82d=3<h70:l4;4`?82d;3<h70:l1;4`?82d83<h70<;9;4`?84293<h70<:0;4`?843n3<h70<;e;4`?843l3<h70<;c;4`?843j3<h70<;a;4`?84303<h70<;7;4`?84f<3<h70<nc;4`?84fj3<h70<na;4`?84f13<h70<n8;4`?84f?3<h70<n6;4`?84f=3<h70<n3;4`?84f:3<h70<85;4`?840l3<h70<8c;4`?840j3<h70<8a;4`?84013<h70<88;4`?840?3<h70<86;4`?840<3<h70<83;4`?84c83<h70<k8;4`?84c?3<h70<k6;4`?84c=3<h70<k4;4`?84c;3<h70<k2;4`?84c93<h70<lf;4`?84dm3<h70;8f;4`?831>3=;70;95;53?831<3=;70;93;53?xu1n=0;6>uQ6g6891?0288370:?5;31f>{t=jn1<7?96z\6g6=Y=jh0R8mn;_7`=>X2k11U9n94^4a5?[3d=2T>o95Q5b08Z0e63W?io6P:be9]23e<V?<o7S862:\5`==Y>m=0R;j6;_4g0>X2km169475137890122><0189;:64890142><0189n:648901>2><01897:648900c2><019>i:6`8916b2>h019>k:6`8916d2>h019>m:6`891772>h019<9:6`891422>h019<;:6`891442>h019<=:6`891402>h01>7=:6`896?62>h01>7?:6`896>a2>h01>6j:6`896?42>h01>o6:6`896g?2>h01>o8:6`896g12>h01>o::6`896gf2>h0186=:00b?831>38;?63:678141=:=?<1>=;4=445>f4<5<<=6n>4=445>g`<5<<=69h4=445>1c<5<<=6964=445>0c<5<<=68j4=445>01<5<<=6o84=445>g2<5<<=6o<4=445>g7<5<<=6o>4=445>d`<5<<=6lk4=445>db<5<<=6lm4=445>dd<5<<=6lo4=445>d?<5<<=6l94=445>d0<5<<=6l;4=445>d2<5<<=6l=4=445>d4<5<<=6l?4=445>d6<5<<=64h4=445><c<5<<=6oj4=445>ge<5<<=6ol4=445>gg<5<<=6o74=445>g><5<<=6o94=445>g5<5<<=6l64=445><b<5<<=6n94=445>f3<5<<=6n:4=445>f5<5<<=687=;<752?3>827>:;4:8g9>130==1o01889:878900120901889:838900120:01889:9d8900121o01889:9f8900121i01889:9`8900121k01889:9;8900121201889:948900121?01889:968900121901889:908900121;01889:92890012>l01889:6g890012>n01889:8a8900120h0188::320?831=38;863:648140=:=??1o?525779g5=:=??1nk5257790c=:=??18h5257790==:=??19h5257791a=:=??19:525779f3=:=??1n9525779f7=:=??1n<525779f5=:=??1mk525779e`=:=??1mi525779ef=:=??1mo525779ed=:=??1m4525779e2=:=??1m;525779e0=:=??1m9525779e6=:=??1m?525779e4=:=??1m=525779=c=:=??15h525779fa=:=??1nn525779fg=:=??1nl525779f<=:=??1n5525779f2=:=??1n>525779e==:=??15i525779g2=:=??1o8525779g1=:=??1o>5257791<4<5<<>687?;<751?3?n27>:84:8d9>133=1<169;;5929>133=18169;;5919>133=0o169;;58d9>133=0m169;;58b9>133=0k169;;58`9>133=00169;;5899>133=0?169;;5849>133=0=169;;5829>133=0;169;;5809>133=09169;;57g9>133=?l169;;57e9>133=1j169;;59c9>132=:990188;:327?831<38;963:658`6>;2>=0h<63:658ab>;2>=0?j63:6587a>;2>=0?463:6586a>;2>=0>h63:65863>;2>=0i:63:658a0>;2>=0i>63:658a5>;2>=0i<63:658bb>;2>=0ji63:658b`>;2>=0jo63:658bf>;2>=0jm63:658b=>;2>=0j;63:658b2>;2>=0j963:658b0>;2>=0j?63:658b6>;2>=0j=63:658b4>;2>=02j63:658:a>;2>=0ih63:658ag>;2>=0in63:658ae>;2>=0i563:658a<>;2>=0i;63:658a7>;2>=0j463:658:`>;2>=0h;63:658`1>;2>=0h863:658`7>;2>=0>5?5257691<6<5<<?686i;<750?3?m27>:9465:?621<>;27>:9461:?621<>827>:947f:?621<?m27>:947d:?621<?k27>:947b:?621<?i27>:9479:?621<?027>:9476:?621<?=27>:9474:?621<?;27>:9472:?621<?927>:9470:?621<0n27>:948e:?621<0l27>:946c:?621<>j27>:>4=029>135=:9>0188<:326?831;3i970;93;a3?831;3hm70;93;6e?831;3>n70;93;6;?831;3?n70;93;7g?831;3?<70;93;`5?831;3h?70;93;`1?831;3h:70;93;`3?831;3km70;93;cf?831;3ko70;93;c`?831;3ki70;93;cb?831;3k270;93;c4?831;3k=70;93;c6?831;3k?70;93;c0?831;3k970;93;c2?831;3k;70;93;;e?831;33n70;93;`g?831;3hh70;93;`a?831;3hj70;93;`:?831;3h370;93;`4?831;3h870;93;c;?831;33o70;93;a4?831;3i>70;93;a7?831;3i870;93;7:6>;2>:0>5=5257191=`<5<<8686j;<757??234?=?77<;<757??634?=?77?;<757?>a34?=?76j;<757?>c34?=?76l;<757?>e34?=?76n;<757?>>34?=?767;<757?>134?=?76:;<757?>334?=?76<;<757?>534?=?76>;<757?>734?=?79i;<757?1b34?=?79k;<757??d34?=?77m;|q656<72;qU:>:4=41;>7673ty>=?4?:3y]265<5<9<6?>?;|q654<72;qU:><4=415>7673ty>==4?:3y]267<5<9>6?>?;|q64c<72;qU:>>4=417>7673ty><h4?:3y]27`<5<986?>?;|q64f<72;qU:?j4=412>7673ty><o4?:3y]27e<5<9;6?>?;|q64d<72;qU:?l4=40e>7673ty><44?:3y]27g<5<8n6?>?;|q64=<72;qU:?74=40g>7673ty><:4?:3y]27><5<8h6?>?;|q643<72;qU:?94=40a>7673ty><84?:3y]270<5<8j6?>?;|q641<72;qU:?;4=40:>7673ty><>4?:3y]272<5<836?>?;|q644<72;qU:?<4=405>7673ty><=4?:3y]277<5<8>6?>?;|q7bc<72;qU:?>4=407>7673ty?jh4?:3y]24`<5<886?>?;|q7ba<72;qU:<k4=401>7673ty?jn4?:3y]24b<5<8:6?>?;|q7bg<72;qU:<m4=403>7673ty?jl4?:3y]24d<5<;m6?>?;|q7b<<72;qU:<o4=43f>7673ty?j54?:3y]24?<5<;o6?>?;|q65d<72;qU:>o4=41f>7673ty>=44?:3y]26?<5<9o6?>?;|q65=<72;qU:>64=41`>7673ty>=:4?:3y]261<5<9i6?>?;|q653<72;qU:>84=41b>7673ty>=84?:3y]263<5<926?>?;|q651<72;qU:?k4=411>7673ty><i4?:3y]275<5<8<6?>?;|q647<72;qU:<64=43`>7673ty?j:4?:3y]241<5<;i6?>?;|q023<72;qU:ol4=250>7673ty8:84?:3y]2gg<5:=96?>?;|q02c<72;qU:n=4=25a>7673ty8:h4?:3y]2f4<5:=j6?>?;|q02a<72;qU:n?4=25:>7673ty8:n4?:3y]2f6<5:=36?>?;|q02g<72;qU:oh4=254>7673ty8:l4?:3y]2gc<5:==6?>?;|q02<<72;qU:oj4=256>7673ty8:54?:3y]2ge<5:=?6?>?;|q022<72;qU:o74=252>7673ty8:94?:3y]2g><5:=;6?>?;|q7<4<72;qU:ik4=5:f>7673ty?4=4?:3y]2ab<5=2o6?>?;|q7<d<72;qU:h84=5;5>7673ty?444?:3y]2`3<5=3>6?>?;|q7<=<72;qU:h:4=5;7>7673ty?4:4?:3y]2`5<5=386?>?;|q7<3<72;qU:h<4=5;1>7673ty?484?:3y]2`7<5=3:6?>?;|q7<1<72;qU:h>4=5;3>7673ty?4>4?:3y]2a`<5=2m6?>?;|q7<7<72;qU:im4=5:`>7673ty?;k4?:3y]2ad<5=2i6?>?;|q0<3<72;qU:;?4=2:5>7673ty??54?:3y]231<5=936?>?;|q2<2<720q694o51gc8916e2?l019<=:7d896>b2?l01>o::7d896262?l01><l:7d8910?2?l019;<:7d8yv5e03:1>v3<bc842>;4j00:j55rs451>5<51r78no4>fg9>123=:9:01>9<:60896152>801>9m:608961f2>801>96:608961?2>801>98:60896112>801>9::60896132>801>9>:60896172>801>hl:60891632>8019><:60891652>8019>>:60891672>801>hi:60896`b2>801>hk:60896`e2>801>hn:60896c12>801>kj:60896cc2>801>kl:60896ce2>801>kn:60896c>2>801>k7:60896c02>801>k::60896c328lm70=72;51?850n3=970=78;51?85?>3;mj63<8482bc=z{:hi6=4:{<1af?4782784<4>2c9>72e=9;201>l6:00:?83103;946s|42794?5|5=936:84=514>20<5=:>6<h7;|q62`<72=q68>651gd8915028lm70;9f;55?831m38;<6s|42594?5|5=9<6?>?;<6:3?75127?<84>2`9~w00a2909w0;9c;55?831n38;<6s|57a94?4|5<<h6?>?;<631?7502wx9:l50;1x901d2><0189m:323?830i3<o7p}:7b83>7}:=>i1>=>4=45f>44>3ty>4=4?:2y>1=7=??1695>5212890>528837p}:8083>7}:=1;1>=>4=4:7>44>3ty>;l4?:2y>12d=??169:o52128901b28837p}:7g83>1}:=1:1;;52596957><5<296<<6;<74b?4782wx9;j50;0x900b2><0188k:323?xu2?m0;69u256795c`<5<=?6<hi;<747?7an27>;h4>f99~w0162909hv3:758145=::kk1;?522b0937=::j;1;?522b2937=::kl1;?522cg937=::kn1;?522ca937=::kh1;?522c;937=::k21;?52307937=:;8n1;?5230a937=:;8h1;?5230c937=:;831;?5230:937=:;8=1;?52304937=:;8>1;?52301937=::l<1;?522dg937=::ln1;?522da937=::lh1;?522dc937=::l31;?522d:937=::l=1;?522d7937=::l>1;?5224d937=::?=1;?52274937=::??1;?52276937=::?91;?52270937=::?;1;?52272937=::<o1;?5224f937=z{<=;6=4=az?636<589169>65213890502;::70;<6;035>;2;<09<<525269657<5<986?>>;<705?47927>?=4=009>17`=:9;018<j:322?835l38;=63:2b8144=:=;h1>=?4=40b>76634?957<?1:?66=<588169?85213890422;::70;=4;035>;2::09<<525309657<5<8:6?>>;<714?47927>=k4=009>14c=:9;018?k:322?834m38;=63:3e8144=:=:i1>=?4=41a>76634?8m7<?1:?67<<588169><5213890402;::70;>c;035>;29k09<<5257:957e<5<<=6?>9;<751?47>27>:94=079>135=:9<0q~;73;297~;2?00:jk5256:95c`<5<2?6<h7;|q632<72;op1896:323?82?m3=970:7d;51?82>>3=970:65;51?82><3=970:63;51?82>:3=970:61;51?82>83=970:7f;51?82?k3=970:7b;51?82bm3=970:i6;51?82a=3=970:i4;51?82a;3=970:i2;51?82a93=970:i0;51?82bn3=970:jd;51?82bk3=970:k8;51?82b83=970:kf;51?82cm3=970:kd;51?82ck3=970:kb;51?82ci3=970:k9;51?82c?3=970:k6;3eb>;3k;0<>63;c`846>;3k00<>63;c9846>;3k>0<>63;c7846>;3k<0<>63;c5846>;3k:0<>63;c082bc=:<j:1;?5rs455>5<5lr7>;54=019>61?=?;16>8?5739>606=?;16>9h5739>61c=?;16>9j5739>61e=?;16>9l5739>61g=?;16>965739>611=?;16>l:5739>6de=?;16>ll5739>6dg=?;16>l75739>6d>=?;16>l95739>6d0=?;16>l;5739>6d5=?;16>l<5739>623=?;16>:j5739>62e=?;16>:l5739>62g=?;16>:75739>62>=?;16>:95739>620=?;16>::5739>625=?;16>i>5739>6a>=?;16>i95739>6a0=?;16>i;5739>6a2=?;16>i=5739>6a4=?;16>i?5739>6f`=?;16>nk5739~w00f29099v3:3985`>;2;>0=h63:3785`>;2;<0=h63:3585`>;2;:0=h63:3085`>;2;90=h63:2g85`>;2:l0=h63:2e85`>;2:j0=h63:2c85`>;2:h0=h63:2885`>;2:10=h63:2785`>;2:<0=h63:2585`>;2::0=h63:2385`>;2:80=h63:2185`>;29o0=h63:1d85`>;29m0=h63:3d85`>;2;m0=h63:3b85`>;2;k0=h63:3`85`>;2;00=h63:3385`>;2:>0=h63:1b85`>;29k0=h63<7d82b==z{<?i6=4={<70<?1134?=:7?>1:p10g=838p18=8:648900128:37p}:5883>7}:=:<1;;525749551<uz?>47>52z?670<0>27>:;4>079~w0302909w0;<4;55?831>3;;96s|54494?4|5<986:84=445>4633ty>994?:3y>167=??169;851128yv32;3:1>v3:31842>;2>?0m96s|54094?4|5<8m6:84=445>`g<uz?>=7>52z?66`<0>27>:84>109~w0372909w0;=d;55?831=3;;46s|55d94?4|5<8h6:84=446>4603ty>8h4?:3y>17d=??169;;51148yv33l3:1>v3:2`842>;2><0:<85rs46`>5<5s4?95799;<751?77<2wx99l50;0x904?2><0188::023?xu2<00;6?u2534933=:=??1j85rs46;>5<5s4?99799;<751?cf3ty>8:4?:3y>172=??169;:51038yv33>3:1>v3:22842>;2>=0:<55rs466>5<5s4?9>799;<750?77?2wx99:50;0x90462><0188;:025?xu2<:0;6?u2532933=:=?>1==;4}r776?6=:r7>=k486:?621<68=1v8:>:181836m3==70;94;334>{t==:1<7<t=43g>20<5<<?6k;4}r756?6=:r7>?h486:?621<bi2wx9;?50;0x905c2><0188<:032?xu2>90;6?u252a933=:=?91==64}r76b?6=:r7>?o486:?626<68>1v8;j:181834i3==70;93;332>{t=<n1<7<t=41:>20<5<<86<>:;|q61f<72;q69><5779>135=99>0q~;:5;296~;2:>0<:63:628245=z{<>j6=4={<72g?1134?=?7h:;|q67c<72;q69<l5779>135=mh1v9>6:181827n3<m70:?e;3e<>{t<831<7<t=52e>76f34>:m7?i8:p05g=838p19>i:0d;?82683<m7p};0983>7}:<9o1:k5241f95c><uz>:;7>52z?74`<58h168<651g:8yv27?3:1>v3;0e85b>;38j0:j55rs536>5<5s4>;h7<?a:?753<6n11v9>9:181827k3<m70:?b;3e<>{t<891<7<t=52`>76f34>:87?i8:p047=838p19>m:32b?826:3;m46s|40`94?4|5=;;6?>n;<62g?7a02wx8>:50;0x917728l370:?5;31g>{t<;:1<7<t=505>3`<5=8>6<h7;|q775<72;q68?8521c8915628l37p};2083>7}:<;<1=k64=504>3`<uz>:j7>52z?760<1n27?>94>f99~w14b2909w0:=5;03e>;3:o0:j55rs53f>5<5s4>9878i;<617?7a02wx8?m50;0x91432;:j70:=d;3e<>{t<8n1<7<t=500>3`<5=896<h7;|q76d<72;q68?=521c8914e28l37p};2983>7}:<;81>=o4=50:>4`?3ty???4?:3y>071=:9k019=<:0d;?xu3;?0;6?u243595c><5=:>6<<6;|q0<f<72;q6?4<56g9>7<7=9o20q~=6c;296~;41;09<l5238f95c><uz93h7>52z?0=7<6n116?4=56g9~w6>e2909w0=61;4e?85>83;m46s|38c94?4|5:3:6?>n;<1:f?7a02wx?5o50;0x96?72?l01>6i:0d;?xu4110;6?u2382965g<5:326<h7;|q0<<<72;q6?5h56g9>7=c=9o20q~=66;296~;40o09<l5238595c><uz9287>52z?0<`<58h16?4;51g:8yv5>m3:1>v3<92814d=:;0l1=k64}r1a3?6=:r785>4>f99>7g?=9;i0q~=n3;296~;4i00=j63<a982b==z{:h86=4={<1b=?47i278n94>f99~w6g32909w0=n9;3e<>;4ih0=j6s|3`094?4|5:k36;h4=2c4>4`?3ty8n<4?:3y>7d>=:9k01>l=:0d;?xu4i80;6?u23`592c=:;h<1=k64}r1bb?6=:r78m:4=0`9>7g6=9o20q~=n0;296~;4i?0=j63<a482b==z{:ko6=4={<1b2?47i278mh4>f99~w6ge2909w0=n5;03e>;4ij0:j55rs2`6>5<5s49jm7<?a:?0f3<6n11v>ln:18185fi3;m463<b8826a=z{:9>6=4={<176?0a349897?i8:p761=838p1>:=:05a?854?3;m46s|30d94?5|5:>96<h7;<17`?75i2789?4>299~w7gb290?w0=<7;31<>;5jh09<=5238d957?<5:h=6<<6;|q0b5<720q6?>9513;896`d2;:;70<:f;55?85>n3;9463=1e826==:=?<19n5257791f=:=?>19n5257191f=z{:9?6=4<{<17f?0a3498978i;<100?7a02wx?9>50;1x962e28=i70=<5;03e>;4<90:j55rs204>5<3s49?n7?i8:?00a<6:k16?8<513;89606288j7p}=b683>0}:;:?1;o52352957><5;i96?>?;<1:`?751278n94>289~w6`>2902w0=;0;31=>;38=09<=5238f957><5;;o6<<6;<02g?75127>:;4:a:?620<2i27>:94:a:?626<2i2wx?>=50;1x962f2?l01>=;:7d8965428l37p}<3g83>6}:;=k1=:l4=217>76f3498j7?i8:p770=83?p1>:n:0d;?85283;9463<53826d=:;<l1=?74=242>44e3ty9n;4?:4y>762=?k16?>h513:897e62;:;70=6d;31f>;4j=0:>o5rs2d;>5<>s498j7?=9:?746<58916?4j513c8977d288370<>b;31=>;2>?0>563:6486=>;2>=0>563:6286=>{t;:81<7=t=26:>3`<5:986;h4=211>4`?3ty8?h4?:2y>71?=9>h01>=<:32b?854m3;m46s|33794?0|5:>26<h7;<16a?75i2789=4>289>704=9;h01>;i:00a?85193;9o6s|2c794?3|5:986:l4=21f>44?348h<7<?0:?0=g<6:016?o<513;8yv5a?3:15v3<3d826<=:<981>=>4=2;a>44?348:n7?=8:?15d<6:0169;85599>133==1169;:5599>135==11v>=>:18085303<m70=<2;4e?85493;m46s|32f94?5|5:>36<9m;<106?47i278?i4>f99~w643290<w0=;8;3e<>;4=l0:>o52342957g<5:?86<<n;<16b?75k278:?4>2b9>70e=9;k0q~<m4;291~;4;;0<n63<3e826==::kl1>=>4=2;a>44e349i>7?=b:p7c0=833p1>=k:00:?827938;<63<9c826d=::8k1=?64=33:>44>34?=:78:;<751?0234?=878:;<757?023ty8?=4?:2y>711=>o16?>?56g9>766=9o20q~=<c;297~;4<>0:;o52323965g<5:9h6<h7;|q066<721q6?9951g:8963b288h70=:0;31f>;4=:0:>o5234d957g<5:?j6<<k;<156?75l2789n4>2c9~w7d4290>w0=<1;5a?854k3;9463=bd8145=:;031=?74=2`3>44>3ty8j84?:8y>76e=9;3019>?:323?85>13;9463=19826<=::831=?64=445>32<5<<>6;:4=447>32<5<<86;:4}r11b?6=;r788;49f:?075<1n278>k4>f99~w65e2908w0=;6;34f>;4;909<l5232`95c><uz99>7>56z?003<6n116?9k513:8963?288j70=:a;31=>;4>;0:>55234a957e<uz8i>7>55z?075<0j278?o4>299>6gb=:9:01>76:00a?85e83;9n6s|3g694??|5:9i6<<6;<1eb?478278544>2`9>64>=9;201??8:00:?831>3<870;95;40?831<3<870;93;40?xu4:l0;6>u235792c=:;;l1:k5233g95c><uz98m7>53z?000<6?k16??h521c8965f28l37p}<2083>2}:;=?1=k64=26f>44e349>47?=d:?06g<6:h16?8o513c89605288270=;f;31<>{t:k;1<7;t=20e>2d<5:9j6<<7;<0ag?4782785:4>289>7dc=9;30q~=i3;29=~;4;h0:>4523gg9656<5:3<6<<7;<023?750279=;4>289>130=>;169;;5639>132=>;169;=5639~w64c2908w0=;4;4e?855m3<m70==d;3e<>{t;:31<7=t=267>41e3499i7<?a:?07<<6n11v><?:18;853<3;m463<4d826d=:;<21=?m4=20b>44e3499n7?=c:?01d<6:j16?;<513`8962a28827p}=b183>0}:;;o1;o5232;957><5;hi6?>?;<1:3?75j278mh4>299~w6`52902w0=<9;31=>;4nm09<=52385957g<5;;=6<<7;<021?75127>:;491:?620<1927>:9491:?626<192wx??m50;1x96242?l01><k:7d8964d28l37p}<3983>6}:;=91=:l4=20g>76f349847?i8:p74c=833p1>:<:0d;?853m3;9563<59826g=:;;k1=?o4=20a>44e349957?=a:?01d<6:k16?;<513c8962a288j7p}=ag83>0}:;;n1;o5232:957><5;h26?>?;<1:1?751278mn4>289~w6`62902w0=<8;31=>;4nk09<=52387957><5;;>6<<7;<020?75127>:;490:?620<1827>:9490:?626<182wx?>850;1x962628=i70==c;03e>;4;?0:j55rs240>5<5s49?=7?i8:?06=<6:h1v?ok:186855k3=i70=<6;31<>;5j109<=52387957d<5:kh6<<7;|q0ac<721q6?>8513;896`f2;:;70=65;31e>;59=0:>55257491c=:=??19k5257691c=:=?919k5rs271>5<5s49<?799;<166?7a02wx?9j50;0x96152><01>:k:0d;?xu4>80;6?u236`933=:;?;1=k64}r16b?6=:r78;l486:?01c<6n11v>;k:18185013==70=:e;3e<>{t;<h1<7<t=25;>20<5:?h6<h7;|q01<<72;q6?:95779>70g=9o20q~=:7;296~;4??0<:63<5982b==z{:?=6=4={<141?113499n7?i8:p703=838p1>9;:648964f28l37p}<5583>7}:;>;1;;5233;95c><uz9?o7>52z?035<0>278>54>f99~w00>290:;v3<fb85`>;38=0=h63;0285`>;38;0=h63;0085`>;3890=h63<fg85`>;4nl0=h63<fe85`>;4nk0=h63<f`85`>;4m?0=h63<ed85`>;4mm0=h63<eb85`>;4mk0=h63<e`85`>;4m00=h63<e985`>;4m>0=h63<e485`>;4m=0=h63<7b82b==z{:im6=4;{<1eg?11349n:7<?0:?0f3<6:116?oh513:8yv5c03:19v3;05842>;4ml09<=523c6957><5:ho6<<7;<1ab?75k2wx?i950;4x91642><01>kk:323?85e<3;9m63<be826f=:;ki1=?64=2a3>44?3ty8h;4?:6y>054=??16?hm5212896d5288370=md;31f>;4jj0:>o523b2957?<5:i26<<7;|q0`0<720q68=?5779>7`d=:9:01>l=:00b?85d03;9463<be826d=:;ki1=?o4=2ab>44?349ij7?=a:?0g<<6:m1v>j;:18;82783==70=ja;034>;4j90:>5523b:957?<5:ij6<<6;<1`=?75j278o:4>2`9>7f0=9;20q~=k3;29=~;4no0<:63<e88145=:;k:1=?o4=2a;>44e349hm7?=b:?0g<<6:j16?n9513;896e2288370=l6;31=>{t;m81<7ot=2df>20<5:o36?>?;<1ba?75j278o54>2`9>7fg=9;k01>m6:00b?85d?3;9463<c5826==:;j?1=?74=2a5>44f3ty8h<4?:8y>7cb=??16?h95212896gb288j70=l2;31<>;4k:0:>5523b5957b<5:i?6<<m;<1`1?75k278o;4>2e9~w6b7290jw0=ib;55?85b=38;<63<ab826d=:;j;1=?74=2a1>44f349h?7?=9:?0g2<6:j16?n:513c896e2288i70=l6;31g>{t;jo1<7lt=2db>20<5:o?6?>?;<1bg?75j278o<4>299>7f4=9;301>m<:00b?85d?3;9n63<c5826<=:;j?1=?o4=2a5>44e349ii7?=7:p7ag=838p1>k9:64896da28l37p}<e283>7}:;lo1;;523cf95c><uz9n>7>52z?0aa<0>278nn4>f99~w6c62909w0=jc;55?85d13;m46s|3d294?4|5:oi6:84=2a;>4`?3ty8hk4?:3y>7`g=??16?n851g:8yv5cm3:1>v3<e8842>;4k<0:j55rs2fg>5<5s49n4799;<1`0?7a02wx?im50;0x96c02><01>m=:0d;?xu4lk0;6?u23d7933=:;j;1=k64}r1g=?6=:r78i9486:?0f`<6n11v>6<:18085?:3==70=78;55?85?<3;m46s|39094?>|5:296?>?;<14a?751278494>289>72b=9;301>6>:00:?850k3;9563<b8826d=:=?21=?74}r1;4?6=:r78;k486:?0<4<6n11v>9i:1827~;4?o09<=5234g957?<5:?36<<6;<11<?751278>l4>299>77d=9;201><6:00:?850m3;9m63<85826==:;>n1=?o4=2:2>44?349<o7?=a:?00a<6:016?8=513;89607288270=91;31=>;4=j0:>4523c;957d<5<<36<<n;|q0<=<72jq6?5652128963b288370=:8;31<>;4:10:>55233c957?<5:8i6<<6;<11=?7502788i4>299>705=9;201>8?:00;?85193;9463<5b826==z{:2<6=4<{<1;2?1134939799;<14`?7a02wx?5;50;0x96>22;:;70=8d;31<>{t<<i1<7<t=54:>3`<5=?h6<h7;|q71`<72;q68;7516`8913b28l37p};3b83>6}:<?31=k64=566>44?34>8m7?=8:p0`4=832p19;j:00;?82bm38;<63=d1842>;5:>0:>55257490a=:=??18i5257690a=:=?918i5rs31g>5<3s4>>i7?=9:?10<<589168<m513:8915428837p};5c83>6}:<>81:k5244a92c=:<<h1=k64}r653?6=;r7?;?4>7c9>00e=:9k01988:0d;?xu3<=0;69u246095c><5=>>6<<6;<60e?75127?9<4>299~w1ce2902w0::c;5a?821?3;9463;f78145=::;=1=?74=305>44>34?=:7:m;<751?2e34?=87:m;<757?2e3ty98;4?:5y>031=9;301?;>:323?826i3;9463;30826==z{=?j6=4<{<645?0a34>>n78i;<66e?7a02wx8;850;1x911628=i70::b;03e>;3>?0:j55rs560>5<2s4><=7?i8:?715<6:h1689;513c8915f288j70::1;31=>{t<lk1<77t=57a>2d<5=<=6<<7;<6e1?478279>;4>299>673=9;301889:5c890022=k0188;:5c890042=k0q~<;5;290~;3>?0:>4522429656<5=;j6<<n;<605?75i2wx88750;1x91172?l019;n:7d8913>28l37p};6483>6}:<>:1=:l4=57b>76f34>=97?i8:p014=83<p199?:0d;?82283;9n63;44826g=:<:k1=?l4=572>44f34>?j7?=8:p0`?=833p19;n:6`89102288370:i4;034>;5:<0:>552236957?<5<<=6974=446>1?<5<<?6974=440>1?<uz8?87>54z?720<6:016>9h52128917?288370:=f;31<>{t<<21<7=t=54e>3`<5=?26;h4=57;>4`?3ty?:94?:2y>03`=9>h019;6:32b?821<3;m46s|45394?1|5=<m6<h7;<664?75k27?8h4>2e9>013=9;i019=n:00`?82293;9n63;4g826<=z{=o36=46{<66=?1e34>=87?=9:?7b6<58916>?:513:89744288270;96;75?831=3?=70;94;75?831;3?=7p}=4283>1}:<?>1=?64=36f>76734>:47?=a:?76c<6:h1v9;8:180821m3<m70::8;4e?822?3;m46s|47194?5|5=<n6<9m;<66<?47i27?:>4>f99~w127290<w0:9e;3e<>;3=90:>55245f957><5=>n6<<7;<672?75027?9<4>2b9>01`=9;k0q~:j7;29=~;3=10<n63;62826<=:<o81>=>4=301>44>3489?7?=8:?623<2=27>:84:5:?621<2=27>:>4:5:p614=83>p198<:00;?843l38;<63;17826==:<;n1=?64}r662?6=;r7?:i49f:?712<1n27?9;4>f99~w1052908w0:9d;34f>;3=>09<l5247095c><uz>8j7>58z?72a<6n11688>513;8912d288370:;d;31=>;3<l0:>452454957?<5=?96<<7;<67b?75j2wx8h850;;x91302>h0198=:00:?82a938;<63=23826==::;;1=?74=445>02<5<<>68:4=447>02<5<<868:4}r075?6=<r7?:?4>299>61e=:9:019?9:00b?825l3;9m6s|44794?5|5=<h6;h4=575>3`<5=?>6<h7;|q724<72:q68;m516`891312;:j70:91;3e<>{t<:o1<77t=54`>4`?34>?57?=8:?70d<6:11689m513;8912c288j70:;e;31e>;3<?0:>l52440957?<5=>m6<<l;|q7a0<720q688857c9>037=9;3019h?:323?84593;9463=21826<=:=?<19>52577916=:=?>19>52571916=z{;>;6=4;{<655?7502798o4=019>042=9;2019<m:00;?xu3==0;6>u247`92c=:<<?1:k5244695c><uz>=<7>53z?72g<6?k1688;521c8910728l37p};3e83>d}:<?h1=k64=56:>44f34>?m7?=a:?70=<6:11689m513`8912c288h70:;e;31f>;3<?0:>o52440957g<5=>i6<<7;|q7a1<720q688;57c9>036=9;3019ki:323?84583;9463=1g826<=:=?<19?52577917=:=?>19?52571917=z{;9m6=4;{<654?7502798l4=019>042=9;k019<m:00b?xu3=:0;6>u247c92c=:<<>1:k5244195c><uz>>j7>53z?72d<6?k1688:521c8913a28l37p};3c83>g}:<?k1=k64=56:>44>34>?m7?=9:?70=<6:01689m513c8912c288i70:;e;31g>;3<?0:>n52440957d<5=>i6<<6;<673?75?2wx8h=50;;x91332>h019;i:00:?82bl38;<63=1g826==::8o1=?74=445>07<5<<>68?4=447>07<5<<868?4}r00a?6=<r7?9k4>299>61>=:9:019?=:00;?82513;946s|44f94?5|5=<36<9m;<667?47i27?9i4>f99~w11b2909w0:98;3e<>;30k0<:6s|4d394?>|5=?86:l4=57g>44>34>no7<?0:?15`<6:1169;85519>133==9169;:5519>135==91v?=l:187822l3;9463=468145=:<881=?o4=50:>44f3ty??44?:3y>0=c=??168>o51g:8yv20<3:1>v3;8e842>;3<<0:j55rs55g>5<5s4>2:799;<665?7a02wx8:m50;0x91?22><019;?:0d;?xu3?k0;6?u2486933=:<=l1=k64}r64e?6=:r7?5>486:?70`<6n11v996:18182>:3==70:;d;3e<>{t<>21<7<t=5;2>20<5=>h6<h7;|q732<72;q684>5779>01?=9o20q~:86;296~;30o0<:63;4982b==z{==>6=4={<6;g?1134>?;7?i8:p13d=839=w0:je;4g?82a>3<o70:i5;4g?82a<3<o70:i3;4g?82a:3<o70:i1;4g?82a83<o70:jf;4g?82bl3<o70:jc;4g?82c03<o70:j0;4g?82cn3<o70:ke;4g?82cl3<o70:kc;4g?82cj3<o70:ka;4g?82c13<o70:k7;4g?82c>3<o70:l2;4g?82di3<o70:l9;4g?82d03<o70:l7;4g?82d>3<o70:l5;4g?82d<3<o70:l3;4g?82d93<o70:l0;4g?82>?3;m463:678252=:=?<195j4=445>0>e34?=:7;7a:?623<200169;;5105890022<2o70;95;7;f>;2><0>4l5257791=?<5<<?6<?8;<750?3?l27>:94:8c9>132==1k0188;:4::?831;3;:;63:6286<a=:=?9195l4=440>0>f34?=?7;79:p0fe=839p19kj:64891b?2;:;70:>c;31=>{t<m?1<7=t=5d5>20<5=o;6?>?;<62e?7512wx8i:50;1x91`22><019ji:323?826i3;9n6s|4e194?5|5=l?6:84=5ff>76734>:47?=9:p0a4=839p19h<:64891bc2;:;70:>8;31f>{t<m;1<7=t=5d1>20<5=nh6?>?;<622?7512wx8i>50;1x91`62><019jm:323?826>3;9n6s|4bd94?5|5=l;6:84=5fb>76734>:87?=9:p0fc=839p19ki:64891b>2;:;70:>4;31f>{t<jn1<7=t=5gg>20<5=n<6?>?;<626?7512wx8nl50;1x91cd2><019j9:323?826:3;9n6s|4``94?2|5=n36:84=5a1>76734>8?7?=9:?7=g<6:11v9l;:18682b83==70:la;034>;3;80:>45248;957><5=3i6<<l;|q7f6<72?q68ih5779>0f?=:9:019=>:00a?82>13;9o63;99826==:<0i1=?64}r6a6?6=?r7?hh486:?7g=<589168?h513;891?>288i70:68;31f>;31j0:>4524`7957><uz>i=7>59z?7`a<0>27?o:4=019>07`=9;h019o;:00;?82>13;9m63;99826d=:<h<1=?64=5;a>44f34>j97?=d:p0g6=832p19jl:64891e12;:;70:=d;31=>;3i=0:>4524`4957?<5=k>6<<m;<6b7?75i27?m?4>299~w1ga2902w0:kb;55?82d=38;<63;2e826g=:<h>1=?l4=5c5>44e34>j97?=c:?7e6<6:0168l?513:891g528827p};ad83>d}:<mk1;;524b69656<5=8i6<<6;<6b0?75i27?m;4>2`9>0d3=9;k019o<:00;?82f83;9463;a0826<=:<h81=?o4}r6b`?6=1r7?h4486:?7g6<589168?l513`891?b288370:6f;31<>;3i:0:>i524`2957d<5=k:6<<l;<6b6?75l2wx8lm50;cx91b02><019m>:323?82513;9563;9d826d=:<0l1=?74=5;g>44?34>j?7?=c:?7e5<6:h168l?513`891g5288h7p};a`83>g}:<m<1;;524b29656<5=826<<m;<6:a?75127?5k4>2`9>0<b=9;3019o<:00a?82f83;9563;a0826d=:<h81=?l4=5;b>4403ty?n;4?:3y>0f4=??1684l51g:8yv2en3:1>v3;c`842>;3100:j55rs5`f>5<5s4>h5799;<6:<?7a02wx8oj50;0x91e?2><019o::0d;?xu3jj0;6?u24b5933=:<h>1=k64}r6af?6=:r7?o;486:?7e7<6n11v9ln:18182d=3==70:n1;3e<>{t<k31<7<t=5a7>20<5=k;6<h7;|q7f=<72;q68n=5779>0<c=9o20q~:m7;296~;3k80<:63;9e82b==z{=h>6=4={<6`4?1134>2m7?i8:p6c6=83ip1?ln:64896722;:;70=?7;31<>;4800:>45231c957><5::h6<<7;<13a?750278<>4>2c9>6cg=9;301>??:00;?84al3;9m63<01826g=z{::=6=4={<0`6?11349;;7?i8:p75>=838p1?m>:648966>28l37p}<0c83>7}::j:1;;5231c95c><uz9;h7>52z?1fc<0>278<n4>f99~w66a2909w0<me;55?857m3;m46s|30094?4|5;ho6:84=233>4`?3ty9jn4?:3y>6ge=??16>ko51g:8yv4an3:1>v3=bc842>;5nm0:j55rs221>5<5s48i5799;<134?7a02wx?=;50;0x97d?2><01>><:0d;?xu5?o0;6nu225;933=::h>1>=>4=3:b>44?3483o7?=8:?1<a<6:116>5h513:897?6288370<6f;31f>;51:0:>552284957?<5;326<<n;<0:g?75j2wx>5750;0x97362><01?6n:0d;?xu50k0;6?u2242933=::1i1=k64}r0;a?6=:r798k486:?1<a<6n11v?7?:181843m3==70<7f;3e<>{t:081<7<t=36g>20<5;3:6<h7;|q1=0<72;q6>9m5779>6<5=9o20q~<68;296~;5<k0<:63=9782b==z{;3i6=4={<07e?11348257?i8:p6<c=838p1?:7:64897?d28l37p}=a083>7}::==1;;5228d95c><uz8om7>52z?050<0>279i;4=019~w7c42909w0=>d;55?84bm38;<6s|2g;94?d|5:;o6?>?;<133?751278<44>2`9>75g=9;h01>>l:00`?857m3;9h63<05826d=::ok1=?m4=233>44e348mi7?=8:?044<6:01v?k=:181856k3==70<jd;034>{t:o21<7ot=23`>767349;57?=8:?04d<6:h16?=m513`8966b288h70=?4;31f>;5nk0:>552302957e<5;ln6<<6;<135?75i2wx>h?50;0x967e2><01?kl:323?xu5n>0;64u230`9656<5::j6<<6;<13g?75i278<h4>2c9>752=9;i01?hm:00:?85693;9463=fd826d=:;9;1=?l4}r0f4?6=:r78=l486:?1ag<5891v?h9:18;856i38;<63<0b826<=:;9o1=?o4=227>44c348mn7?=a:?054<6:016>kk513`89666288h7p}=dg83>7}:;831;;522dc9656<uz8m97>57z?05<<58916?=k513;89664288h70<ia;31e>;4990:>4522gf957d<5::;6<<l;|q1``<72;q6?<65779>6`?=:9:0q~<i4;292~;49109<=52316957><5;lj6<<m;<124?75i279ji4>2b9>757=9;20q~<kd;296~;49>0<:63=e98145=z{;l86=4:{<123?478278<>4>299>6cg=9;201?hk:00;?85783;946s|2ea94?4|5:;=6:84=3g4>7673ty9j?4?:5y>740=:9:01>><:00:?84al3;9563<01826<=z{;ni6=4={<120?11348n97<?0:p6c7=839p1>?;:323?857;3;9m63<01826d=z{;n26=4={<127?11348n87<?0:p6``=838p1>?<:323?857<3;956s|27;94?4|5;k?6:84=356>7673ty9;?4?:3y>6de=??16>:j52128yv4?03:1nv3=ab8145=::1k1=?74=3:`>44>3483h7?=b:?1<c<6:j16>4?513f897g7288j70<63;31f>;51?0:>n5228c957><5;3o6<<6;|q134<72;q6>ll5779>62e=:9:0q~<77;29e~;5ik09<=5229a957g<5;2o6<<n;<0;b?75j2795<4>2b9>6d6=9;h01?7<:00`?84>?3;9463=9`826<=::0n1=?o4}r044?6=:r79ml486:?13g<5891v?69:18:84fi38;<63=8e826<=::1l1=?o4=3;2>44e348j<7?=c:?1=1<6:116>49513;897?f288j70<6d;31f>{t:?l1<7<t=3c:>20<5;=j6?>?;|q1<0<721q6>l75212897>a288270<61;31e>;5i90:>i52286957?<5;3<6<<n;<0:e?75j2795i4>2b9~w70b2909w0<n8;55?840138;<6s|29694?1|5;k36?>?;<0:5?7512795k4>2b9>6<5=9;301?79:00b?84>13;9n63=9b826f=z{;<o6=4={<0b3?11348<47<?0:p6=5=83<p1?o8:323?84f83;9463=92826d=::0<1=?l4=3;:>44d3482h7?=8:p63e=838p1?o9:64897102;:;7p}=8383>0}::h<1>=>4=3;e>44?3482:7?=8:?1=<<6:116>4m513:8yv41j3:1>v3=a4842>;5??09<=5rs3:2>5<3s48j97<?0:?1=c<6:016>47513;897?d28827p}=6`83>7}::h91;;522669656<uz83<7>53z?1e6<58916>4h513c897?d288j7p}=6983>7}::h81;;522619656<uz8<i7>52z?1e7<58916>l>513;8yv4d<3:1>v3=e7842>;5l909<=5rs3ag>5<5s48ni799;<0g<?4782wx>nm50;0x97cc2><01?j8:323?xu5kk0;6?u22da933=::m<1>=>4}r0`e?6=:r79io486:?1`0<5891v?m6:18184bi3==70<k4;034>{t:j21<7<t=3g:>20<5;n86?>?;|q1g2<72;q6>h65779>6a4=:9:0q~<l6;296~;5m>0<:63=d08145=z{;i>6=4={<0f1?11348hj7<?0:p6f5=838p1?k;:64897eb2;:;7p}=5283>7}::>?1;;5224d9656<uz8>o7>52z?13a<0>279::4=019~w73e2909w0<8c;55?841>38;<6s|24c94?4|5;=i6:84=346>7673ty9944?:3y>62g=??16>;:52128yv4203:1>v3=78842>;5>:09<=5rs374>5<5s48<4799;<056?4782wx>8850;0x97102><01?8>:323?xu5=<0;6?u2264933=::?:1>=>4}r060?6=:r79;9486:?11`<5891v?;=:181840;3==70<:d;034>{t::;1<7<t=344>20<5;;o6<h7;|q175<72;q6>;85779>64e=9o20q~<=f;296~;5><0<:63=1c82b==z{;8n6=4={<050?11348:m7?i8:p67b=838p1?8<:648977>28l37p}=2b83>7}::?81;;5220:95c><uz89n7>52z?124<0>279=:4>f99~w74f2909w0<90;55?846>3;m46s|23;94?4|5;?n6:84=336>4`?3ty9>54?:3y>60b=??16><:51g:8yv44j3:1>v3=d9842>;5:>0:j55rs31b>5<5s48o;799;<012?7a02wx>>750;0x97b12><01?<::0d;?xu5;10;6?u22e7933=::;>1=k64}r003?6=:r79h9486:?166<6n11v?=9:18184c;3==70<=2;3e<>{t::?1<7<t=3f1>20<5;8:6<h7;|q171<72;q6>i?5779>676=9o20q~<<3;296~;5ko0<:63=1g82b==z{;996=4={<0`a?11348:i7?i8:p707=83?p1>;j:00g?853m3;m463<4e826a=:;<81=?j4=27e>44c3ty8on4?:5y>7f>=9;i01>mn:00`?85d;3;m463<c8826<=z{:io6=4;{<1a`?751278nn4>289>7g`=9;301>m8:0d;?xu4kk0;69u23cf957b<5:hh6<<l;<1`e?7a0278nk4>2e9~w1142909w0::0;31`>;3<h0:j55rs5c;>5<3s4>j87?=c:?7e3<6:j1684h51g:891g228827p};a883>1}:<031=?74=5;;>44>34>2n7?=9:?7e6<6n11v9o8:18782>13;9h63;99826f=:<h<1=k64=5;a>44c3ty:h=4?:3y>706=9o201>:k:00`?xu6m<0;6?u234195c><5:?96<<l;|q2b6<72:q6?;>51g:8963a288370=:a;31<>{t9121<7<t=2a3>4`?349ij7?=b:p5=e=838p197l:0d;?82>j3;9n6s|1`094?4|5;k;6<h7;<0:b?75l2wx=o:50;0x966328l370=?3;31`>{t9kn1<7<t=3;7>4`?3482?7?=d:p5a7=838p1?78:0d;?84>>3;9h6s|1e194?4|5;3j6<h7;<0:=?75l2wx=i;50;0x97?c28l370<6c;31`>{t9m=1<7<t=3da>4`?348mm7?=d:p5a?=838p1>?>:0d;?85683;9h6s|1e`94?4|5;ln6<h7;<0e`?75l2wx=ij50;0x966628l370=?0;31`>{t=181<7<t=4:1>4`?34?<j799;|q2a=<72;q6?;<51g:89606288o7p}>e`83>6}:<=<1=k64=566>44c34>8m7?=d:p5`c=838p19;=:0d;?82293;9h6s|1g294?4|5:>m6<h7;<16g?75l2wx=k?50;0x912e28l370:;f;31`>{t=?=1<7;t=44;>4`?34?=:7?>8:?620<691169;:510:8900428;37ps|6e194?4|V?n8708n:7f0?!3?=3;nj6s|6ec94?4|V?nj708n:7fb?!3?=3;m>6s|6c594?4|V?h<708n:7`4?!3?=3;m86s|61d94?4|V<oi708n:4ga?!3?=3;356s|61f94?4|V<oj708n:4gb?!3?=3;3o6s|61a94?4|V<o2708n:4g:?!3?=3;2;6s|61`94?4|V<o3708n:4g;?!3?=3;j>6s|61c94?4|V<o<708n:4g4?!3?=3;jh6s|61;94?4|V<o=708n:4g5?!3?=3;i86s|61594?4|V<o?708n:4g7?!3?=3;i96s|61494?4|V<o8708n:4g0?!3?=3;ih6s|61794?4|V<o9708n:4g1?!3?=3;h46s|61694?4|V<o:708n:4g2?!3?=3;o=6s|61194?4|V<o;708n:4g3?!3?=3;o>6s|61094?4|V<nm708n:4fe?!3?=3;o?6s|61394?4|V<nn708n:4ff?!3?=3;o86s|61294?4|V<no708n:4fg?!3?=3;o96s|5gd94?4|V<nh708n:4f`?!3?=3;o:6s|5gg94?4|V<ni708n:4fa?!3?=3;o;6s|5ga94?4|V<n2708n:4f:?!3?=3;o46s|5g`94?4|V<n3708n:4f;?!3?=3;o56s|5gc94?4|V<n<708n:4f4?!3?=3;om6s|5g;94?4|V<n=708n:4f5?!3?=3;on6s|5g:94?4|V<n>708n:4f6?!3?=3;oo6s|5g594?4|V<n?708n:4f7?!3?=3;oh6s|5g494?4|V<n8708n:4f0?!3?=3;oi6s|5g794?4|V<n9708n:4f1?!3?=3;oj6s|5g694?4|V<n:708n:4f2?!3?=3;n<6s|5g194?4|V<n;708n:4f3?!3?=3;n=6s|60494?4|V<l:708n:4d2?!3?=3;n>6s|60794?4|V<l;708n:4d3?!3?=3;n?6s|60694?4|V<om708n:4ge?!3?=3;n86s|60194?4|V<on708n:4gf?!3?=3;n:6s|60094?4|V<oo708n:4gg?!3?=3;n;6s|60394?4|V<oh708n:4g`?!3?=3;n46s|60294?4|V<o>708n:4g6?!3?=3;n56s|61:94?4|V<nj708n:4fb?!3?=3;nm6s|5gf94?4|V<im708n:4ae?!3?=3;nn6s|5g094?4|V<in708n:4af?!3?=3;no6s|6g694?4|V?l?708n:7d7?!3?=3;nh6s|6e394?4|V?n:708n:7f2?!3?=3;ni6s|64:94?4|V?9?708n:717?!3?=3;3m6s|64494?4|V?98708n:710?!3?=3;3n6s|64794?4|V?99708n:711?!3?=3;3h6s|64694?4|V?9:708n:712?!3?=3;3i6s|64194?4|V?9;708n:713?!3?=3;3j6s|64094?4|V?8m708n:70e?!3?=3;2<6s|64294?4|V?8o708n:70g?!3?=3;2=6s|65d94?4|V?8h708n:70`?!3?=3;2>6s|65g94?4|V?8i708n:70a?!3?=3;2?6s|65f94?4|V?8j708n:70b?!3?=3;286s|65a94?4|V?82708n:70:?!3?=3;296s|65`94?4|V?83708n:70;?!3?=3;2:6s|65c94?4|V?8<708n:704?!3?=3;246s|65;94?4|V?8=708n:705?!3?=3;256s|65:94?4|V?8>708n:706?!3?=3;2m6s|65594?4|V?8?708n:707?!3?=3;2n6s|65794?4|V?89708n:701?!3?=3;2o6s|65694?4|V?8:708n:702?!3?=3;2h6s|65194?4|V?8;708n:703?!3?=3;2i6s|65094?4|V?;m708n:73e?!3?=3;2j6s|65394?4|V?;n708n:73f?!3?=3;j<6s|65294?4|V?;o708n:73g?!3?=3;j=6s|62d94?4|V?;h708n:73`?!3?=3;j?6s|62g94?4|V?;i708n:73a?!3?=3;j86s|62f94?4|V?;j708n:73b?!3?=3;j96s|62a94?4|V?;2708n:73:?!3?=3;j:6s|64d94?4|V?9j708n:71b?!3?=3;j;6s|64g94?4|V?92708n:71:?!3?=3;j46s|64f94?4|V?93708n:71;?!3?=3;j56s|64a94?4|V?9<708n:714?!3?=3;jm6s|64`94?4|V?9=708n:715?!3?=3;jn6s|64c94?4|V?9>708n:716?!3?=3;jo6s|64;94?4|V?8n708n:70f?!3?=3;ji6s|64394?4|V?88708n:700?!3?=3;jj6s|65494?4|V?;3708n:73;?!3?=3;i<6s|62`94?4|V?;<708n:734?!3?=3;i=6s|67594?4|V?<<708n:744?!3?=3;i>6s|67394?4|V?<:708n:742?!3?=3;i?6s|6b594?4|V?hi708n:7`a?!3?=3;i:6s|6b794?4|V?hj708n:7`b?!3?=3;i;6s|6e294?4|V?i8708n:7a0?!3?=3;i46s|6bd94?4|V?i9708n:7a1?!3?=3;i56s|6bg94?4|V?i:708n:7a2?!3?=3;im6s|6bf94?4|V?i;708n:7a3?!3?=3;in6s|6ba94?4|V?hm708n:7`e?!3?=3;io6s|6b`94?4|V?hn708n:7`f?!3?=3;ii6s|6bc94?4|V?ho708n:7`g?!3?=3;ij6s|6b;94?4|V?hh708n:7``?!3?=3;h<6s|6b:94?4|V?h2708n:7`:?!3?=3;h=6s|6b694?4|V?h3708n:7`;?!3?=3;h>6s|6dc94?4|V?nn708n:7ff?!3?=3;h?6s|6d:94?4|V?no708n:7fg?!3?=3;h86s|6g194?4|V?o=708n:7g5?!3?=3;h96s|6g094?4|V?o>708n:7g6?!3?=3;h:6s|6g394?4|V?o?708n:7g7?!3?=3;h;6s|6g294?4|V?o8708n:7g0?!3?=3;h56s|6dd94?4|V?o9708n:7g1?!3?=3;hm6s|6dg94?4|V?o:708n:7g2?!3?=3;hn6s|6df94?4|V?o;708n:7g3?!3?=3;ho6s|6da94?4|V?nm708n:7fe?!3?=3;hh6s|6d`94?4|V?nh708n:7f`?!3?=3;hi6s|6d594?4|V?ni708n:7fa?!3?=3;hj6srn022g?6=:rB>4;5rn022`?6=:rB>4;5rn022a?6=:rB>4;5rn022b?6=:rB>4;5rn0214?6=:rB>4;5rn0215?6=:rB>4;5rn0216?6=:rB>4;5rn0217?6=:rB>4;5rn0210?6=:rB>4;5rn0211?6=:rB>4;5rn0212?6=:rB>4;5rn0213?6=:rB>4;5rn021<?6=:rB>4;5rn021=?6=:rB>4;5rn021e?6=:rB>4;5rn021f?6=:rB>4;5rn021g?6=:rB>4;5rn021`?6=:rB>4;5rn021a?6=:rB>4;5rn021b?6=:rB>4;5rn0204?6=:rB>4;5rn0205?6=:rB>4;5rn0206?6=:rB>4;5rn0207?6=:rB>4;5rn0200?6=:rB>4;5rn0201?6=:rB>4;5rn0202?6=:rB>4;5rn0203?6=:rB>4;5rn020<?6=:rB>4;5rn020=?6=:rB>4;5rn020e?6=:rB>4;5rn020f?6=:rB>4;5rn020g?6=:rB>4;5rn020`?6=:rB>4;5rn020a?6=:rB>4;5rn020b?6=:rB>4;5rn0274?6=:rB>4;5rn0275?6=:rB>4;5rn0276?6=:rB>4;5rn0277?6=:rB>4;5rn0270?6=:rB>4;5rn0271?6=:rB>4;5rn0272?6=:rB>4;5rn0273?6=:rB>4;5rn027<?6=:rB>4;5rn027=?6=:rB>4;5rn027e?6=:rB>4;5rn027f?6=:rB>4;5rn027g?6=:rB>4;5rn027`?6=:rB>4;5rn027a?6=:rB>4;5rn027b?6=:rB>4;5rn0264?6=:rB>4;5rn0265?6=:rB>4;5rn0266?6=:rB>4;5rn0267?6=:rB>4;5rn0260?6=:rB>4;5rn0261?6=:rB>4;5rn0262?6=:rB>4;5rn0263?6=:rB>4;5rn026<?6=:rB>4;5rn026=?6=:rB>4;5rn026e?6=:rB>4;5rn026f?6=:rB>4;5rn026g?6=:rB>4;5rn026`?6=:rB>4;5rn026a?6=:rB>4;5rn026b?6=:rB>4;5rn0254?6=:rB>4;5rn0255?6=:rB>4;5rn0256?6=:rB>4;5rn0257?6=:rB>4;5rn0250?6=:rB>4;5rn0251?6=:rB>4;5rn0252?6=:rB>4;5rn0253?6=:rB>4;5rn025<?6=:rB>4;5rn025=?6=:rB>4;5rn025e?6=:rB>4;5rn025f?6=:rB>4;5rn025g?6=:rB>4;5rn025`?6=:rB>4;5rn025a?6=:rB>4;5rn025b?6=:rB>4;5rn0244?6=:rB>4;5rn0245?6=:rB>4;5rn0246?6=:rB>4;5rn0247?6=:rB>4;5rn0240?6=:rB>4;5rn0241?6=:rB>4;5rn0242?6=:rB>4;5rn0243?6=:rB>4;5rn024<?6=:rB>4;5rn024=?6=:rB>4;5rn024e?6=:rB>4;5rn024f?6=:rB>4;5rn024g?6=:rB>4;5rn024`?6=:rB>4;5rn024a?6=:rB>4;5rn024b?6=:rB>4;5rn02;4?6=:rB>4;5rn02;5?6=:rB>4;5rn02;6?6=:rB>4;5rnd04>5<6sA?3:6sae7494?7|@<2=7p`j6683>4}O=1<0qck98;295~N20?1vbh86:182M3?>2wei;o50;3xL0>13tdn:o4?:0yK1=0<ugo=o7>51zJ6<3=zfl<o6=4>{I7;2>{im?o1<7?tH4:5?xhb>o0;6<uG5948ykc083:1=vF:879~j`16290:wE;76:ma24=83;pD869;|lf36<728qC9584}og40?6=9rB>4;5rnd56>5<6sA?3:6sae6494?7|@<2=7p`j7683>4}O=1<0qck88;295~N20?1vbh96:182M3?>2wei:o50;3xL0>13tdn;o4?:0yK1=0<ugo<o7>51zJ6<3=zfl=o6=4>{I7;2>{im>o1<7?tH4:5?xhb?o0;6<uG5948ykc?83:1=vF:879~j`>6290:wE;76:ma=4=83;pD869;|lf<6<728qC9584}og;0?6=9rB>4;5rnd:6>5<6sA?3:6sae9494?7|@<2=7p`j8683>4}O=1<0qck78;295~N20?1vbh66:182M3?>2wei5o50;3xL0>13tdn4o4?:0yK1=0<ugo3o7>51zJ6<3=zfl2o6=4>{I7;2>{im1o1<7?tH4:5?xhb0o0;6<uG5948ykc>83:1=vF:879~j`?6290:wE;76:ma<4=83;pD869;|lf=6<728qC9584}og:0?6=9rB>4;5rnd;6>5<6sA?3:6sae8494?7|@<2=7p`j9683>4}O=1<0qck68;295~N20?1vbh76:182M3?>2wei4o50;3xL0>13tdn5o4?:0yK1=0<ugo2o7>51zJ6<3=zfl3o6=4>{I7;2>{im0o1<7?tH4:5?xhb1o0;6<uG5948ykcf83:1=vF:879~j`g6290:wE;76:mad4=83;pD869;|lfe6<728qC9584}ogb0?6=9rB>4;5rndc6>5<6sA?3:6sae`494?7|@<2=7p`ja683>4}O=1<0qckn8;295~N20?1vbho6:182M3?>2weilo50;3xL0>13tdnmo4?:0yK1=0<ugojo7>51zJ6<3=zflko6=4>{I7;2>{imho1<7?tH4:5?xhbio0;6<uG5948ykce83:1=vF:879~j`d6290:wE;76:mag4=83;pD869;|lff6<728qC9584}oga0?6=9rB>4;5rnd`6>5<6sA?3:6saec494?7|@<2=7p`jb683>4}O=1<0qckm8;295~N20?1vbhl6:182M3?>2weioo50;3xL0>13tdnno4?:0yK1=0<ugoio7>51zJ6<3=zflho6=4>{I7;2>{imko1<7?tH4:5?xhbjo0;6<uG5948ykcd83:1=vF:879~j`e6290:wE;76:maf4=83;pD869;|lfg6<728qC9584}og`0?6=9rB>4;5rnda6>5<6sA?3:6saeb494?7|@<2=7p`jc683>4}O=1<0qckl8;295~N20?1vbhm6:182M3?>2weino50;3xL0>13tdnoo4?:0yK1=0<ugoho7>51zJ6<3=zflio6=4>{I7;2>{imjo1<7?tH4:5?xhbko0;6<uG5948ykcc83:1=vF:879~j`b6290:wE;76:maa4=83;pD869;|lf`6<728qC9584}ogg0?6=9rB>4;5rndf6>5<6sA?3:6saee494?7|@<2=7p`jd683>4}O=1<0qckk8;295~N20?1vbhj6:182M3?>2weiio50;3xL0>13tdnho4?:0yK1=0<ugooo7>51zJ6<3=zflno6=4>{I7;2>{immo1<7?tH4:5?xhblo0;6<uG5948ykcb83:1=vF:879~j`c6290:wE;76:ma`4=83;pD869;|lfa6<728qC9584}ogf0?6=9rB>4;5rndg6>5<6sA?3:6saed494?7|@<2=7p`je683>4}O=1<0qckj8;295~N20?1vbhk6:182M3?>2weiho50;3xL0>13tdnio4?:0yK1=0<ugono7>51zJ6<3=zfloo6=4>{I7;2>{imlo1<7?tH4:5?xhbmo0;6<uG5948ykca83:1=vF:879~j``6290:wE;76:mac4=83;pD869;|lfb6<728qC9584}oge0?6=9rB>4;5rndd6>5<6sA?3:6saeg494?7|@<2=7p`jf683>4}O=1<0qcki8;295~N20?1vbhh6:182M3?>2weiko50;3xL0>13tdnjo4?:0yK1=0<ugomo7>51zJ6<3=zfllo6=4>{I7;2>{imoo1<7?tH4:5?xhbno0;6<uG5948yk`783:1=vF:879~jc66290:wE;76:mb54=83;pD869;|le46<728qC9584}od30?6=9rB>4;5rng26>5<6sA?3:6saf1494?7|@<2=7p`i0683>4}O=1<0qch?8;295~N20?1vbk>6:182M3?>2wej=o50;3xL0>13tdm<o4?:0yK1=0<ugl;o7>51zJ6<3=zfo:o6=4>{I7;2>{in9o1<7?tH4:5?xha8o0;6<uG5948yk`683:1=vF:879~jc76290:wE;76:mb44=83;pD869;|le56<728qC9584}od20?6=9rB>4;5rng36>5<6sA?3:6saf0494?7|@<2=7p`i1683>4}O=1<0qch>8;295~N20?1vbk?6:182M3?>2wej<o50;3xL0>13tdm=o4?:0yK1=0<ugl:o7>51zJ6<3=zfo;o6=4>{I7;2>{in8o1<7?tH4:5?xha9o0;6<uG5948yk`583:1=vF:879~jc46290:wE;76:mb74=83;pD869;|le66<728qC9584}od10?6=9rB>4;5rng06>5<6sA?3:6saf3494?7|@<2=7p`i2683>4}O=1<0qch=8;295~N20?1vbk<6:182M3?>2wej?o50;3xL0>13tdm>o4?:0yK1=0<ugl9o7>51zJ6<3=zfo8o6=4>{I7;2>{in;o1<7?tH4:5?xha:o0;6<uG5948yk`483:1=vF:879~jc56290:wE;76:mb64=83;pD869;|le76<728qC9584}od00?6=9rB>4;5rng16>5<6sA?3:6saf2494?7|@<2=7p`i3683>4}O=1<0qch<8;295~N20?1vbk=6:182M3?>2wej>o50;3xL0>13tdm?o4?:0yK1=0<ugl8o7>51zJ6<3=zfo9o6=4>{I7;2>{in:o1<7?tH4:5?xha;o0;6<uG5948yk`383:1=vF:879~jc26290:wE;76:mb14=83;pD869;|le06<728qC9584}od70?6=9rB>4;5rng66>5<6sA?3:6saf5494?7|@<2=7p`i4683>4}O=1<0qch;8;295~N20?1vbk:6:182M3?>2wej9o50;3xL0>13tdm8o4?:0yK1=0<ugl?o7>51zJ6<3=zfo>o6=4>{I7;2>{in=o1<7?tH4:5?xha<o0;6<uG5948yk`283:1=vF:879~jc36290:wE;76:mb04=83;pD869;|le16<728qC9584}od60?6=9rB>4;5rng76>5<6sA?3:6saf4494?7|@<2=7p`i5683>4}O=1<0qch:8;295~N20?1vbk;6:182M3?>2wej8o50;3xL0>13tdm9o4?:0yK1=0<ugl>o7>51zJ6<3=zfo?o6=4>{I7;2>{in<o1<7?tH4:5?xha=o0;6<uG5948yk`183:1=vF:879~jc06290:wE;76:mb34=83;pD869;|le26<728qC9584}od50?6=9rB>4;5rng46>5<6sA?3:6saf7494?7|@<2=7p`i6683>4}O=1<0qch98;295~N20?1vbk86:182M3?>2wej;o50;3xL0>13tdm:o4?:0yK1=0<ugl=o7>51zJ6<3=zfo<o6=4>{I7;2>{in?o1<7?tH4:5?xha>o0;6<uG5948yk`083:1=vF:879~jc16290:wE;76:mb24=83;pD869;|le36<728qC9584}od40?6=9rB>4;5rng56>5<6sA?3:6saf6494?7|@<2=7p`i7683>4}O=1<0qch88;295~N20?1vbk96:182M3?>2wej:o50;3xL0>13tdm;o4?:0yK1=0<ugl<o7>51zJ6<3=zfo=o6=4>{I7;2>{in>o1<7?tH4:5?xha?o0;6<uG5948yk`?83:1=vF:879~jc>6290:wE;76:mb=4=83;pD869;|le<6<728qC9584}od;0?6=9rB>4;5rng:6>5<6sA?3:6saf9494?7|@<2=7p`i8683>4}O=1<0qch78;295~N20?1vbk66:182M3?>2wej5o50;3xL0>13tdm4o4?:0yK1=0<ugl3o7>51zJ6<3=zfo2o6=4>{I7;2>{in1o1<7?tH4:5?xha0o0;6<uG5948yk`>83:1=vF:879~jc?6290:wE;76:mb<4=83;pD869;|le=6<728qC9584}od:0?6=9rB>4;5rng;6>5<6sA?3:6saf8494?7|@<2=7p`i9683>4}O=1<0qch68;295~N20?1vbk76:182M3?>2wej4o50;3xL0>13tdm5o4?:0yK1=0<ugl2o7>51zJ6<3=zfo3o6=4>{I7;2>{in0o1<7?tH4:5?xha1o0;6<uG5948yk`f83:1=vF:879~jcg6290:wE;76:mbd4=83;pD869;|lee6<728qC9584}odb0?6=9rB>4;5rngc6>5<6sA?3:6saf`494?7|@<2=7p`ia683>4}O=1<0qchn8;295~N20?1vbko6:182M3?>2wejlo50;3xL0>13tdmmo4?:0yK1=0<ugljo7>51zJ6<3=zfoko6=4>{I7;2>{inho1<7?tH4:5?xhaio0;6<uG5948yk`e83:1=vF:879~jcd6290:wE;76:mbg4=83;pD869;|lef6<728qC9584}oda0?6=9rB>4;5rng`6>5<6sA?3:6safc494?7|@<2=7p`ib683>4}O=1<0qchm8;295~N20?1vbkl6:182M3?>2wejoo50;3xL0>13tdmno4?:0yK1=0<uglio7>51zJ6<3=zfoho6=4>{I7;2>{inko1<7?tH4:5?xhajo0;6<uG5948yk`d83:1=vF:879~jce6290:wE;76:mbf4=83;pD869;|leg6<728qC9584}od`0?6=9rB>4;5rnga6>5<6sA?3:6safb494?7|@<2=7p`ic683>4}O=1<0qchl8;295~N20?1vbkm6:182M3?>2wejno50;3xL0>13tdmoo4?:0yK1=0<uglho7>51zJ6<3=zfoio6=4>{I7;2>{injo1<7?tH4:5?xhako0;6<uG5948yk`c83:1=vF:879~jcb6290:wE;76:mba4=83;pD869;|le`6<728qC9584}odg0?6=9rB>4;5rngf6>5<6sA?3:6safe494?7|@<2=7p`id683>4}O=1<0qchk8;295~N20?1vbkj6:182M3?>2wejio50;3xL0>13tdmho4?:0yK1=0<ugloo7>51zJ6<3=zfono6=4>{I7;2>{inmo1<7?tH4:5?xhalo0;6<uG5948yk`b83:1=vF:879~jcc6290:wE;76:mb`4=83;pD869;|lea6<728qC9584}odf0?6=9rB>4;5rngg6>5<6sA?3:6safd494?7|@<2=7p`ie683>4}O=1<0qchj8;295~N20?1vbkk6:182M3?>2wejho50;3xL0>13tdmio4?:0yK1=0<uglno7>51zJ6<3=zfooo6=4>{I7;2>{inlo1<7?tH4:5?xhamo0;6<uG5948yk`a83:1=vF:879~jc`6290:wE;76:mbc4=83;pD869;|leb6<728qC9584}ode0?6=9rB>4;5rngd6>5<6sA?3:6safg494?7|@<2=7p`if683>4}O=1<0qchi8;295~N20?1vbkh6:182M3?>2wejko50;3xL0>13tdmjo4?:0yK1=0<uglmo7>51zJ6<3=zfolo6=4>{I7;2>{inoo1<7?tH4:5?xhano0;6<uG5948yk77890;6<uG5948yk77880;6<uG5948yk778;0;6<uG5948yk778:0;6<uG5948yk778=0;6<uG5948yk778<0;6<uG5948yk778?0;6<uG5948yk778>0;6<uG5948yk77810;6<uG5948yk77800;6<uG5948yk778h0;6<uG5948yk778k0;6<uG5948yk778j0;6<uG5948yk778m0;6<uG5948yk778l0;6<uG5948yk778o0;6<uG5948yk77990;6<uG5948yk77980;6<uG5948yk779;0;6<uG5948yk779:0;6<uG5948yk779=0;6<uG5948yk779<0;6<uG5948yk779?0;6<uG5948yk779>0;6<uG5948yk77910;6<uG5948yk77900;6<uG5948yk779h0;6<uG5948yk779k0;6<uG5948yx{zHIIp=?o>:g56bf5bmtJKNv>r@ARxyEF
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v deleted file mode 100644 index 9f2cc7d4e..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_s6_2Kx36_2clk.v when simulating -// the core, fifo_s6_2Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_s6_2Kx36_2clk( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - empty, - rd_data_count, - wr_data_count); - - -input rst; -input wr_clk; -input rd_clk; -input [35 : 0] din; -input wr_en; -input rd_en; -output [35 : 0] dout; -output full; -output empty; -output [11 : 0] rd_data_count; -output [11 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(11), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan6"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("2kx18"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(2047), - .C_PROG_FULL_THRESH_NEGATE_VAL(2046), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(12), - .C_RD_DEPTH(2048), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(11), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(12), - .C_WR_DEPTH(2048), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(11), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .EMPTY(empty), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .ALMOST_FULL(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .PROG_FULL(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.veo b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.veo deleted file mode 100644 index 7657f41bc..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_s6_2Kx36_2clk YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .empty(empty), - .rd_data_count(rd_data_count), // Bus [11 : 0] - .wr_data_count(wr_data_count)); // Bus [11 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_s6_2Kx36_2clk.v when simulating -// the core, fifo_s6_2Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xco b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xco deleted file mode 100644 index 659795e5f..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.1 -# Date: Fri May 4 20:55:54 2012 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc6slx75 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = csg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_s6_2Kx36_2clk -CSET data_count=false -CSET data_count_width=11 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=2047 -CSET full_threshold_negate_value=2046 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=2048 -CSET output_data_width=36 -CSET output_depth=2048 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=12 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=12 -# END Parameters -GENERATE -# CRC: e7a1c106 diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xise b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xise deleted file mode 100644 index c09cc4b35..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk.xise +++ /dev/null @@ -1,392 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_s6_2Kx36_2clk.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_s6_2Kx36_2clk.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/> - <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/> - <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/> - <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/> - <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/> - <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading par" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/> - <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/> - <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed Package Parasitics" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/> - <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/> - <property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/> - <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_s6_2Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_s6_2Kx36_2clk.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_s6_2Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/> - <property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/> - <property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/> - <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/> - <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/> - <property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/> - <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Output File Name" xil_pn:value="fifo_s6_2Kx36_2clk" xil_pn:valueState="default"/> - <property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/> - <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/> - <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/> - <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="fifo_s6_2Kx36_2clk_map.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="fifo_s6_2Kx36_2clk_timesim.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="fifo_s6_2Kx36_2clk_synthesis.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="fifo_s6_2Kx36_2clk_translate.v" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Advanced Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/> - <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/> - <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/> - <property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/> - <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> - <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/> - <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/> - <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/> - <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_s6_2Kx36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-05-04T13:55:55" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="B3454C89F83BDEF9B4A09CDC070DE482" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_flist.txt deleted file mode 100644 index 3466e5f91..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_flist.txt +++ /dev/null @@ -1,13 +0,0 @@ -# Output products list for <fifo_s6_2Kx36_2clk> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_s6_2Kx36_2clk.asy -fifo_s6_2Kx36_2clk.gise -fifo_s6_2Kx36_2clk.ngc -fifo_s6_2Kx36_2clk.v -fifo_s6_2Kx36_2clk.veo -fifo_s6_2Kx36_2clk.xco -fifo_s6_2Kx36_2clk.xise -fifo_s6_2Kx36_2clk_flist.txt -fifo_s6_2Kx36_2clk_readme.txt -fifo_s6_2Kx36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_readme.txt deleted file mode 100644 index 3a017fbb0..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_readme.txt +++ /dev/null @@ -1,51 +0,0 @@ -The following files were generated for 'fifo_s6_2Kx36_2clk' in directory -/home/matt/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_s6_2Kx36_2clk.asy: - Graphical symbol information file. Used by the ISE tools and some - third party tools to create a symbol representing the core. - -fifo_s6_2Kx36_2clk.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_2Kx36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_s6_2Kx36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_s6_2Kx36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_s6_2Kx36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_s6_2Kx36_2clk.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_2Kx36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_s6_2Kx36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_s6_2Kx36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_xmdf.tcl deleted file mode 100644 index 63b4f2099..000000000 --- a/fpga/usrp2/coregen/fifo_s6_2Kx36_2clk_xmdf.tcl +++ /dev/null @@ -1,72 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_s6_2Kx36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_s6_2Kx36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_s6_2Kx36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_s6_2Kx36_2clk -} -# ::fifo_s6_2Kx36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_s6_2Kx36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk.asy -utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_2Kx36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_s6_2Kx36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.asy b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.asy deleted file mode 100644 index 5adf4bfb4..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.asy +++ /dev/null @@ -1,49 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 fifo_s6_512x36_2clk -RECTANGLE Normal 32 32 544 768 -LINE Wide 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName din[35:0] -PINATTR Polarity IN -LINE Normal 0 144 32 144 -PIN 0 144 LEFT 36 -PINATTR PinName wr_en -PINATTR Polarity IN -LINE Normal 0 176 32 176 -PIN 0 176 LEFT 36 -PINATTR PinName wr_clk -PINATTR Polarity IN -LINE Normal 0 240 32 240 -PIN 0 240 LEFT 36 -PINATTR PinName rd_en -PINATTR Polarity IN -LINE Normal 0 272 32 272 -PIN 0 272 LEFT 36 -PINATTR PinName rd_clk -PINATTR Polarity IN -LINE Normal 144 800 144 768 -PIN 144 800 BOTTOM 36 -PINATTR PinName rst -PINATTR Polarity IN -LINE Wide 576 80 544 80 -PIN 576 80 RIGHT 36 -PINATTR PinName dout[35:0] -PINATTR Polarity OUT -LINE Normal 576 208 544 208 -PIN 576 208 RIGHT 36 -PINATTR PinName full -PINATTR Polarity OUT -LINE Wide 576 368 544 368 -PIN 576 368 RIGHT 36 -PINATTR PinName wr_data_count[9:0] -PINATTR Polarity OUT -LINE Normal 576 432 544 432 -PIN 576 432 RIGHT 36 -PINATTR PinName empty -PINATTR Polarity OUT -LINE Wide 576 592 544 592 -PIN 576 592 RIGHT 36 -PINATTR PinName rd_data_count[9:0] -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.gise b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.gise deleted file mode 100644 index 2edb1c020..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.gise +++ /dev/null @@ -1,31 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_s6_512x36_2clk.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="fifo_s6_512x36_2clk.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_s6_512x36_2clk.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc deleted file mode 100644 index 523080a69..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$71`40<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>>0:234567892;<=>?01084=2<812;46>781:3<5773923<5>7092;4=6?8=1;4KH>;0185+66k2;;6B[[PTV9vaYci}kTob{at=;94;7d38:1CXZ_UU8tvZbf|hUhcx`{<883:42<9=0BB][[:@FGVD:6;3:5=95>4;KMTPR=IMNYN1?<:1<2?7773;23456789:;<=>?0880??4FNQWW>AOF4:;1<3?=;209MKVR\3NBN1=>:1<27>552F__\XZ5DNC?74<76890??4@UURVP?BHJ59:6=0>2:15>LHW]]0oec2<5;2=51=4>3E^X][[:emvp952294:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<7?036=11>98;?4122?34<>0>0:4791195=<0>>?32:;799841?3G33?KJM559A@CBEDG682<JMLONA@CBEDGFIHo0:~bw74apc1>3%:80;K?4859;456?31:;<=>?0028<56789:;<=>?012355=?81:3<5>?892;4=63318?=9574337?=C?I812867?0808=3><1?<2:479119:23?110<=548667;2a>?=AGZ^X7~}of]fiur~W}byi~f38;2=60=>2@D[YY4rne\ahvsqV~c~h}g_`qpawr;03:5>856:HLSQQ<wzfmTi`~{y^vkv`uoWgolmyk38;2=5a=>2@D[YY4rne\bpjkW}byi~f38;2=61=>2@D[YY4rne\bpjkW}byi~fParqfvq:?29498675IORVP?vugnUmyabPtipfwmYimnki1650?3;?<<H]]Z^X7jnt`]`kphs410;2?5N299BEDG1IHK:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?<IMNYM1?<>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828?3HNO^L27>99B@ATF40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d<IMNYN1?<:1<:?DBCZK6:?364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?9:2KN?6OCL89BW\HDW[OL>6L?3:@V6==E]ZUBBKA>;B08G@753JBNOFQCIBGMW@YSQYO97NG;;BNHE1=DDBH87NB]9:ALIHOS\LN:86M@RD]DAKCUI]CDBRGAFN58GWCF\LN:7Im4D@VB[ROC\AUJo6JNT@]TMAROWK80HD84DHC?4;1<L@K7==08;EKB8479?2NBM1?=>69GMD:6;7=0HDO315<4?AOF48?5;6JFA=35:2=CAH6:;394DHC?5=803MCJ0<716:FJE979?2NBM1<?>69GMD:597=0HDO323<4?AOF4;95;6JFA=07:2=CAH699394DHC?63803MCJ0?917:FJE94?6>1OEL2=9?48@LG;:7=0HDO331<:?AOF4:;1<394DHC?74813MCJ0>09;EKB81813MCJ0809;EKB83813MCJ0:09;EKB8=813MCJ0409;EKA85803MCI0<>17:FJF9766>1OEO2>2?58@LD;9:4<7IGM<06=3>BNJ5;>2:5KIC>22;1<L@H7=:08;EKA84>9?2NBN1?6>79GMG:66>1OEO2=0?58@LD;:84<7IGM<30=3>BNJ5882:5KIC>10;1<L@H7>808;EKA8709?2NBN1<8>69GMG:507=0HDL328<5?AOE4;4<7IGM<22==>BNJ59:6=08;EKA8679>2NBN1=16:FJF929>2NBN1;16:FJF909>2NBN1916:FJF9>9>2NBN1717:FJTD:76>1OE]O31?58@LVF4;427IG_A=194;1<L@ZJ0>08;EKSF969?2NB\O2>>69GMUD;:730HD^M<283:2=CAYH7?384DNC?4;1<LFK7==08;EMB8479?2NDM1?=>69GKD:6;7=0HBO315<4?AIF48?5;6J@A=35:2=CGH6:;394DNC?5=803MEJ0<716:FLE979?2NDM1<?>69GKD:597=0HBO323<4?AIF4;95;6J@A=07:2=CGH699394DNC?63803MEJ0?917:FLE94?6>1OCL2=9?48@JG;:7=0HBO331<:?AIF4:;1<394DNC?74813MEJ0>09;EMB81813MEJ0809;EMB83813MEJ0:09;EMB8=813MEJ0408;EMB[WC@>2NDN1>17:FLF9776>1OCO2>1?58@JD;9;4<7IAM<01=3>BHJ5;?2:5KOC>21;1<LFH7=;08;EMA8419?2NDN1?7>69GKG:617<0HBL31?58@JD;:94<7IAM<33=3>BHJ5892:5KOC>17;1<LFH7>908;EMA8739?2NDN1<9>69GKG:5?7=0HBL329<4?AIE4;35:6J@B=0=3>BHJ59;245KOC>05?69?2NDN1=>>79GKG:46?1OCO2;>79GKG:26?1OCO29>79GKG:06?1OCO27>79GKG:>6>1OCOQ]EF58@JVF494<7IA_A=3=3>BHXH69245KOQC?7?69?2ND\L2<>69GKUD;87=0HB^M<0<4?AIWJ58556J@PC>0>5803ME[N1=12:G77>CII:1NBOl4EO]QWQTFEVKi7H@PRRVQEHYE=2LJ@^K=;GF0?CBD<2LOOH=4FER7?CBWM=1MH_K>0:DEBC44;:LMJK>?01:8BC@A=<;>=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G1<AGC_S=M8;HLJPZ6C?2CEEYQ?E69JJLRX8O=0ECG[_024?LHN\V;:;6GAIU]262=NF@^T=>94IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1<AGC_S<M8;HLJPZ7C?2CEEYQ>E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G1<AGC_S?M8;HLJPZ4C?2CEEYQ=E69JJLRX:O=0ECG[_224?LHN\V9:;6GAIU]062=NF@^T?>94IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1<AGC_S>M8;HLJPZ5C?2CEEYQ<E69JJLRX;O<0ECG[_@48MKOSWK30ECG[_GKOA6=NF_80@D84LNCGAA1<DFMBOLB;;MWW61=K]]9?7A[[459OQQ333E__:85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G;?7C??659M55133G;;495A11;0?K76<2D:==:4N0320>H69;>0B<?<4:L2512<F8;>86@>1768J470<2D:=5:4N03:7>H6:=1E=?>;;O3151=I9;8?7C?=359M57233G;9995A1347?K75?=1E=?6;;O31=6=I9:>0B<=?4:L2742<F89986@>3268J453<2D:?8:4N0150>H6;>>0B<=74:L27<5<F8>?7C?;059M51733G;?>95A1540?K72<2D:94=4N047?K718=1E=;?;;O3561=I9?9?7C?9459M53333G;=:95A1757?K710=1E=;7<;O340>H6?9>0B<9>4:L2372<F8=886@>7568J412<2D:;;:4N0540>H6?190B<6<;O3:6>H5;2D9<>5A2018J7443G88?6@=429M605<F;<87C<83:L1<6=I:090B>><;O127>H4::1E?>=4N260?K52;2D8:>5A3618J6>43G92>6@;3:L746=I<890B9<<;O607>H3<:1E88=4N540?K20;2D?4?5A539M37=I1o1ENRLZSQKM[UTHXZ=0BHZXOSI7?KIIM81D>6AD1:R7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD38U4=Ui2XJAO?9BVGQ2>TBOJOJ:6\JGBGA0>TT\H>0^^ZM7:PPPQ_WMl1Y_YQ_RHMQMQ_XIl1Y_YQ_RHMQMQ_XJ81X=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C68W\HD<2^YYH:4TXRF7a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]7UVXOS]@[RZ3^[]IUW<8TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]6UVXOS]@[RZ0^[]IUW<;TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]5UVXOS]@[RZ1^[]IUW<:TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]3UVXOS]@[RZ7^[]IUW=2TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]2UVXOS]@[RZ4^[]IUW==TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]1UVXOS]@[RZ5^[]IUW=<TcRv`<1<27a=R8&myj#|i/fa{*fjlp&GscQ]D^RMPW]0UVXOS]@[RZ:^[]IUW=?TcRv`<1<27f=R8&myj#|i/fa{*fjlp&GscQXR^RMPW]7UV]YS]@[RZ3^[]IUW1UdSua30?30g>S7'nxm"h gbz-gim'Drd~RY]_QLWV^7ZW^XT\CZ][3_\\JTX?VeTtb2?>01`?P6(o{l%~k!hcy,`hn~(EqeySZ\PPOVQ_7[X_[U[BY\T3\][KWY1WfUsc1>112a8Q5)`zo$yj"ilx/aoo})JpfxT[_Q_NUPX7XYPZVZEX_U;]^ZLVZ3XgVrd0=0>3b9V4*aun'xm#jmw.bnh|*Kg{U\^R^ATSY7YZQUWYD_^V;R_YMQ[1YhWqe7<3?<c:W3+bta&{l$knv!cmi{+H~hzV]YS]@[RZ7^[RTXXG^YW;SPXNP\7ZiXpf6;2<=l;T2,cw`)zo%lou lljz,I}iuW^XT\CZ][7_\SWYWF]XP;PQWOS]1[jYg5:5=>m4U1-dvc(un&mht#mcky-N|jtX_[U[BY\T7\]TVZVI\[Q3QRV@R^3\kZ~h494:?<5Z0.eqb+ta'nis"nbdx.PG[UHSZR:VS_JPPOVQ_4[XPFXT9?Q`309V4*aun'xm#jmw.bnh|*TCWYD_^V?R_SF\TKRUS;WTTB\P50]l74=R8&myj#|i/fa{*fjlp&XOS]@[RZ0^[WBXXG^YW>SPXNP\15Yh;81^<"i}f/pe+be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX<0Ud?<5Z0.eqb+ta'nis"nbdx.PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`309V4*aun'xm#jmw.bnh|*TCWYD_^V;R_SF\TKRUS?WTTB\P46]l74=R8&myj#|i/fa{*fjlp&XOS]@[RZ4^[WBXXG^YW:SPXNP\03Yh;81^<"i}f/pe+be&jf`t"\K_QLWV^1ZW[NT\CZ][9_\\JTX<<Ud?=5Z0.eqb+ta'nis"nbdx.UQ[UHSZR:VSZ\PPOVQ_4[XPFXT4Ra<0:W3+bta&{l$knv!cmi{+RTXXG^YW<SPWS]SJQT\:TUSC_Q8_n13?P6(o{l%~k!hcy,`hn~(_[U[BY\T2\]TVZVI\[Q8QRV@R^4\k66<]9%l~k }f.e`|+ekcq%\^R^ATSY0YZQUWYD_^V:R_YMQ[0Yh;91^<"i}f/pe+be&jf`t"Y]_QLWV^2ZW^XT\CZ][4_\\JTX<Ve8<6[?/fpe*w`(ojr%oaew/VP\TKRUS<WT[_Q_NUPX2XY_G[U8Sb=?;T2,cw`)zo%lou lljz,SWYWF]XP:PQXR^RMPW]0UVRD^R<Po228Q5)`zo$yj"ilx/aoo})PZVZEX_U8]^UQ[UHSZR2VSUA]_0]l64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj969:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj929:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb181209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=5=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9>9:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS<<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})ulVzexQmio]065=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#jPpovq[goiW<8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^:14>S7'nxm"h gbz-gim'{nT|cz}_fa?4;473\:$kh!rg-dg}(ddbr$~iQnup\cf:66;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k585>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0>0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm34?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>6:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg909:91^<"i}f/pe+be&jf`t"|k_qlwvZad4>49<6[?/fpe*w`(ojr%oaew/sf\tkruWni743?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_03e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]15c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[67a3\:$kh!rg-dg}(ddbr$~iQnup\cfY39o1^<"i}f/pe+be&jf`t"|k_qlwvZadW<;m7X> gsd-vc)`kq$h`fv re]sjqtXojU==k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS:?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ7279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:76;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi31?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4;49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=1=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6?2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?1;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0;0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc919:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij27>378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX8;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP1378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX:;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP3378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX<;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP5378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX>;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP7378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX0;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>3:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2>>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8692?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:46;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>7:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2:>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86=2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:06;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>;:6?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<8Po2c8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8<Tc<=6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7\0TUyii{le^zlvZ70Wf9j7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3X<XYummhiRv`r^34[j74n2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ>80]{k9699:30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_0:\k6`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<7>_ym?4;7412_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>[9_\v`brklUscQ>9^m0b>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U9<<Qwo=2=56?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<U7]^pf`pebWqeyS?>Po2g8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;;:Sua30?1:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV8:Sb=j;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7\0TUyii{le^zlvZ459Vrd0=0;2:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6S1WT~hjzcd]{kwY5:8Usc1>1_RU37<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=V6R_sggqfcXpfxT>?Q`3d9V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9R2VSkkubg\|jtX::;Ttb2?>2;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW;9Tc?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q7209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=2=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj979:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1=1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=6=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj939:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5<5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb191209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=:=65=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ6582_;#j|i.sd,cf~)keas#z|Ppovq[goiW88;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT>?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ<219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^614>S7'nxm"h gbz-gim'~xT|cz}_ckm[0473\:$kh!rg-dg}(ddbr${Qnup\flhX>;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU<>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR6=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm30?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>2:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg949:91^<"i}f/pe+be&jf`t"y}_qlwvZad4:49<6[?/fpe*w`(ojr%oaew/vp\tkruWni783<?;T2,cw`)zo%lou lljz,swYwf}xTkn2:>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=4=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`828582_;#j|i.sd,cf~)keas#z|Ppovq[be;07;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS<?i;T2,cw`)zo%lou lljz,swYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_23e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]75c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[07a3\:$kh!rg-dg}(ddbr${Qnup\cfY19o1^<"i}f/pe+be&jf`t"y}_qlwvZadW>;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU3>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>3:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7=3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8785>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1=1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:36;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi35?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4?49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=5=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn632?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT=?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\<7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2?>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<27>2g8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8Q3QR|jdtaf[}iuW8::Sua30?61?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV;;=Rv`<1<\WR6412_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ>0^m0a>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:W5SPrdfvg`Yg{U:=<Qwo=2=7<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=V6R_sggqfcXpfxT=<Q`399V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9R2VSkkubg\|jtX<Ve856[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]7[j7402_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ:_n1:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV?Tc<=j;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7\0TUyii{le^zlvZ06Wqe7<3?<8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6S1WT~hjzcd]{kwY1Wf9n7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3X<XYummhiRv`r^52[}i;87;846[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2_=[Xzln~ohQwos]4[j5b3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?T8\]qaasdmVrd~R6>_ym?4;7402_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>[9_\v`brklUscQ7_n1g?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV3:Sua30?1;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;P4PQ}eew`aZ~hzV3Tc?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q74`9V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYcaolT{Q}dZ;^[BHCW1?TcRokd^1\KPRXkp6:29l4U1-dvc(un&mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU6]^EM@Z>2WfUjhiQ<_NWW[iss4;4?n6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\<0YhWhnoS>Q@UU]oqq:46=h0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ2QRIAD^:6[jYflmU8SB[[_mww8183j2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'DkohRjffg]tvZtcS0WTKCJP84]l[dbcW:UDYYQcuu>6:1d<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)JimnThdhi_vp\va]>UVMEHR6:_n]b`aY4WF__Sa{{<7<7f>S7'nxm"h gm2-va)`z8$l~im=.sf`+HgclVnbjkQxr^pg_<[XOGNT48Q`_`fg[6YH]]Ugyy28>5`8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NeabXl`lmSz|PreY:YZAILV2>SbQnde]0[JSSWe050;6:W3+bta&{l$ka>!re-dv4(`zmi9"jl/Lov|ZbnnoU|~R|k[8_\CKBX0<UdS`{w_4]LQQ76<?1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR3VSJ@K_97\kZkrpV?TCXZ=1548Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV2>SbQbuy]6[JSS;8>=7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A`{w_ekebZquW{nP5PQHNE];1ZiXe|rT9RAZT530<>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7<3=7;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:66:20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=0=7==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>0<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;<7937X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>6:6><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu181399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4>4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww858412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<0<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0?0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4:4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww818412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<4<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0;0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4>4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8=8412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<1<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0<0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4;4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq868412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<5<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey080<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4?4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq828412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<9<76>S7'nxm"h gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY?=VeTaxvP5^MVP969<;1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT48Q`_lw{[0YH]]6:29<4U1-dvc(un&mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_97\kZkrpV?TCXZ32?61?P6(o{l%~k!hl1,q`*au9'myhn<!rea,`l`aW~xT~iU6]^EM@Z>2WfUfyuQ:_NWW8683:2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX=XY@FMU39RaPmtz\1ZIR\5>5895Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP84]l[hsW<UDYY2;>037<>S7'nxm"h gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY?=VeTaxvP5^MVP92998UX[=:<;T2,cw`)zo%l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^:6[jYj}qU>SB[[<5<102=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX0<UdS`{w_4]LQQ:36Vhoh=:=;T2,cw`)zo%l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^:6[jYj}qU>SB[[<4<77>S7'nxm"h gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY?=VeTaxvP5^MVP9399=80Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS5;Po^ov|Z3XG\^7:3:<;T2,cw`)zo%l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^:6[jYj}qU>SB[[<7<207=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX0<UdS`{w_4]LQQ:06=20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS5;Po^ov|Z3XG\^7;3?>_RU30==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX0<UdS`{w_4]LQQ:068;T_Z?;8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE];1ZiXe|rT9RAZT=5=54YT_;>87X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR6:_n]nq}Y2WF__0:0=439V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\<0YhWdsS8Q@UU>;:15<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW1?TcRczx^7\KPR;07;?>6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wb\1TULBIQ75^m\ip~X=VE^X171429V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\<0YhWdsS8Q@UU>::4573\:$kh!rg-dh5(ul&my=#i}db0-vae(l`lmSz|Pre]wiu:76;30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h>3:7?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd2>>3;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`692?74U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[l:46;30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h>7:7?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd2:>3;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`6=2?74U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[l:06;30Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h>;:7?<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSd26>3:8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`U;>55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZ7502_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_30;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcT??64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY3:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^71<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS;<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX?;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h];6==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeR7<0:W3+bta&{l$ka>!re-dv4(un~l#@czx^PBIZTCWLDTJZH[1067?P6(o{l%~k!hl1,q`*au9'xm{kz Mlw{[binfnUna}zv_guepZVPZV9>SbQBUY]61Zi69;30Y=!hrg,qb*ak8'xo#j|>.sdtbq)UIDUYIJAZT^GM565<]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EWHYANm;8:6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km72=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf173=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al;'Bb>94U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe0.Mk7502_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPfc04?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Ub?i5Z0.eqb+ta'nf;"j gs3-vcqa|&mdeciPelrw}Z`pn}U[[_Q<5^m\IP^X=<Ud>85Z0.eqb+ta'nf;"j gs3-vcqa|&xiQyamkg6`=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>3:7c<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$hm|vndv?5;4b3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%ol|}yogw8785m2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"no}rxlfp959:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]4U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5969:=1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7<3?=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>2:72<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6484:>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?32?07?P6(o{l%~k!hl1,q`*auiz$yy} c1-u59499;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:0>0=4:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>0:4443\:$kh!rg-dh5(ul&mym~ }suq,g5)q95>5>95Z0.eqb+ta'nf;"j gscp*wus{&i;#{?34?31a>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=2=6`=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>2:7c<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$hm|vndv?6;4b3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%ol|}yogw8685n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT0\,di4(j9;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ3^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$k`{w.foX6X(`ez$f?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^5Z&ngx"`}=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\<T$la~ bs318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28585<2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~86;2<<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=3=61=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;97;9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2=>368Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28786::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7?3<;;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=1=575<]9%l~k }f.eo4+tc'nxj#||tr-`5*p64=4986[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2;>003?P6(o{l%~k!hl1,q`*auiz$yy} cnos47b<]9%l~k }f.eo4+tc'nxj#||tr-`khv7Wjef|<Q@R^4\k7c<]9%l~k }f.eo4+tc'nxj#||tr-`khv7Wjef|<Q@R^4\k4473\:$kh!rg-dh5(ul&mym~ }suq,gjkw9;30Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\m15<]9%l~k }f.eo4+tc'{zex!Bmtz\cf6)kfexV6R_FLG[25XgVg~tR<POTV25ZOI^V:8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU<?RaPmtz\6ZIR\;;8h6[?/fpe*w`(oe:%~i!}povq+HkrpVmh<#m`uovX<XY@FMU<?RaPmtz\6ZIR\:;9?6[?/fpe*w`(oe:%~i!}povq+be7&je~by2?>318Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw8485;2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}692?=4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{<2<17>S7'nxm"h gm2-va)uxg~y#jm?.bmvjq:36;90Y=!hrg,qb*ak8'xo#~ats-dg5(dg|d080=3:W3+bta&{l$ka>!re-qtkru'ni;"naznu>5:75<]9%l~k }f.eo4+tc'{zex!hc1,`kphs4>49?6[?/fpe*w`(oe:%~i!}povq+be7&je~by27>2;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT;>Q`_lw{[7YH]]6;2>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX?:UdS`{w_3]LQQ:66:30Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\36YhWdsS?Q@UU>1:6?<]9%l~k }f.eo4+tc'{zex!hc1,`kphsS1WTKCJP72]l[hsW;UDYY2<>2`8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT;>Q`_lw{[7YH]]682<?<a:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV=8SbQbuy]1[JSS4:49?h5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczT8\]DJAY0;VeTaxvP2^MVP959Wkno<>74U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX?:UdS`{w_3]LQQ:36:k0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dW5SPGOF\36YhWdsS?Q@UU>7:45>3\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_61\kZkrpV8TCXZ35?1b?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS:=Po^ov|Z4XG\^793?<9:W3+bta&{l$ka>!re-qtkru'ni;"naznuY;YZAILV=8SbQbuy]1[JSS4?48m6[?/fpe*w`(oe:%~i!}povq+be7&je~byU7]^EM@Z14WfUfyuQ=_NWW8386;01^<"i}f/pe+bj7&{n$~}`{r.e`4+eh}g~P4PQHNE]47ZiXe|rT>RAZT=5=7d=R8&myj#|i/fn3*wb(zyd~"il0/alqkr\0TULBIQ83^m\ip~X:VE^X19112;8Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT;>Q`_lw{[7YH]]632>j4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{[9_\CKBX?:UdS`{w_3]LQQ:?6VY\<?<4U1-dvc(un&mg<#|k/srmpw)`k9$hcx`{_101?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZ75:2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}U9>?5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczP3308Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw[1453\:$kh!rg-dh5(ul&x{by| gb2-gjsi|V?9>6[?/fpe*w`(oe:%~i!}povq+be7&je~byQ9239V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\374<]9%l~k }f.eo4+tc'{zex!hc1,`kphsW18=7X> gsd-vc)`d9$yh"|nup,cf6)kfexRj><1<12>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc95;5>;5Z0.eqb+ta'nf;"j rqlwv*ad8'idyczPd0>1:70<]9%l~k }f.eo4+tc'{zex!hc1,`kphsWm;7?3<9;T2,cw`)zo%l`= }d.psjqt(oj:%ob{at^f28185>2_;#j|i.sd,ci6)zm%y|cz}/fa3*firf}Uo=1;1279V4*aun'xm#jb?.sf,vuhsz&mh<#m`uov\`4:16;<0Y=!hrg,qb*ak8'xo#~ats-dg5(dg|dSi?37?05?P6(o{l%~k!hl1,q`*twf}x$kn>!cnwmpZb6414996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^211>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V;996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^011>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V9996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^611>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V?996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^411>S7'nxm"h gm2-va)uxg~y#jm?.bmvjqYc9V=996[?/fpe*w`(oe:%~i!}povq+be7&je~byQk1^:0=>S7'nxm"h gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP88]l[HS_W?3Tc<?=e:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*fguzpdnx1>12d9V4*aun'xm#jb?.vp,crgt&~y"m>/fov|+efz{seiy2>>3g8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(di{xrbhz32?0f?P6(o{l%~k!hl1,tv*apiz$|y} c0-dip~)khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><1<10>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:768887X> gsd-vc)`d9$|~"ixar,twqu(k8%}=1?1259V4*aun'xm#jb?.vp,crgt&~y"m>/w3?5;75;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8692?:4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><3<266=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+s7;;78?7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=1=11318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28185<2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86?2<<j;T2,cw`)zo%l`= xr.etev(p{}y$o?!hmtz-gdtuqgo0=0=e:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*fguzpdnx1?12d9V4*aun'xm#jb?.vp,crgt&~y"m=/fov|+efz{seiy2=>3g8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(di{xrbhz33?0e?P6(o{l%~k!hl1,tv*apiz$|y} c3-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*rt(o~kx"z}{s.a1+bkrp'mfW<S!glq-iv4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?30?07?P6(o{l%~k!hl1,tv*apiz$|y} c3-u59699;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0<0=4:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>2:4443\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q9585>95Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?32?317>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:46;>0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0>0>229V4*aun'xm#jb?.vp,crgt&~y"m=/w3?0;433\:$kh!rg-dh5(pz&m|m~ xsuq,g7)q95>5=?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~>339V4*aun'xm#jb?.vp,crgt&~y"m`mq3\CKBX00UdS@[W_7;\k76<]9%l~k }f.eo4+qu'n}j#y|tr-`khv5:11^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQib^k11>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVc?56[?/fpe*w`(oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]:5ZiXimnT8RAZT^az848312_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ61^m\eabX<VE^XRmv<9<7f>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcWmcmjRy}_sfX=XY@FMU2=RaPaef\0ZIR\Vir050>15c8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW0;TcRokd^6\KPRXd|~7>3:n;T2,cw`)zo%l`= xr.et`f7)minty!Baef\`l`aW~xT~iU6]^EM@Z?6WfUjhiQ;_NWW[iss4:4?m6[?/fpe*w`(oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]:5ZiXimnT8RAZT^nvp929<h1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRjffg]tvZtcS0WTKCJP90]l[dbcW=UDYYQcuu>6:1g<]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^?ZWNDOS4?Po^cg`Z2XG\^T`xz36?6b?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV3:SbQnde]7[JSSWe0:0;a:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY>9VeTmijP4^MVPZjr|5259?5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW4SPGOF\=4YhWhnoS9Q@UU]{kw:668;TECXP05a8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW0;TcRokd^6\KPRXpfx7>3?>4b9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX18UdSljk_5]LQQYg{682<?;d:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY>9VeTmijP4^MVPZ~hz5>5=<?:1:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY>9VeTmijP4^MVPZ~hz5>5=<?PSV27g>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcWmcmjRy}_sfX=XY@FMU2=RaPaef\0ZIR\Vrd~1:1136`?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV3:SbQnde]7[JSSWqey080>15a8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW0;TcRokd^6\KPRXpfx7:3?>4b9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX18UdSljk_5]LQQYg{6<2<?;c:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY>9VeTmijP4^MVPZ~hz525=<=8;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}969;>1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw31?14?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq585?:5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;503\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUhu1:1369V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;=79<7X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qly=4=72=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7;3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:76:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=3=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0?0<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;;7937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>7:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1;1399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss4?4846[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?3;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy27>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5:5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq848402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|32?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{682>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv929;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<4<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7:3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:06:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=:=65=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+K2392_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV3:SbQnde]7[JSS484?=6[?/fpe*w`(oe:%{!hwea2*rbdmq~$hdhi_vp\va]>UVMEHR7>_n]b`aY3WF__0?0;1:W3+bta&{l$ka>!ws-dsae6&~nhiuz dhde[rtXzmQ2QRIAD^;2[jYflmU?SB[[<2<75>S7'nxm"h gm2-sw)`mi:"zjleyv,`l`aW~xT~iU6]^EM@Z?6WfUjhiQ;_NWW818392_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV3:SbQnde]7[JSS4<4?=6[?/fpe*w`(oe:%{!hwea2*rbdmq~$hdhi_vp\va]>UVMEHR7>_n]b`aY3WF__0;0;1:W3+bta&{l$ka>!ws-dsae6&~nhiuz dhde[rtXzmQ2QRIAD^;2[jYflmU?SB[[<6<75>S7'nxm"h gm2-sw)`mi:"zjleyv,`l`aW~xT~iU6]^EM@Z?6WfUjhiQ;_NWW8=85n2_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|Pre]wiu:76::0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5:5?=5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0<0<0:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRg32?13?P6(o{l%~k!hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>0:66<]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\m929;91^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4<48<6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|Vc7:3=?;T2,cw`)zo%l`= xr.et`f7)minty!xr^fbpdYdg|dSd28>228Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=:=75=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8<85n2_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX8;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV;9j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT>?h4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR==f:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP43d8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^71b>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\27`<]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ15n2_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX0;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV3:h6[?/fpe*w`(oe:%{!}al]tvZciW`;?46[?/fpe*w`(oe:%{!xpovq+HkrpVmbo= hc1,`kphsS1WTKCJP86]l[hsW;UDYY?>_HLU[5253\:$kh!rg-dh5(pz&}{by| Mlw{[bod8'mh<#m`uovX<XY@FMU3;RaPmtz\6ZIR\;;?>6[?/fpe*w`(oe:%{!xpovq+HkrpVmbo= hc1,`kphsS1WTKCJP86]l[hsW;UDYY=>299V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphs494946[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov?5;4?3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~by2=>3:8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|595>55Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw818502_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idycz35?0;?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}6=2?64U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmp919:11^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{<9<0a>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~P4PQHNE];3ZiXe|rT>RAZT=2=7`=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dW5SPGOF\<2YhWdsS?Q@UU>2:6c<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[=1XgVg~tR<POTV?6;5b3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byU7]^EM@Z>0WfUfyuQ=_NWW868382_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczT8\]DJAY??VeTaxvP2^MVP959989m7X> gsd-vc)`d9$|~"ynup,cle7∋"naznuY;YZAILV2<SbQbuy]1[JSS4:498>5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw_=[XOGNT4:Q`_lw{[7YH]]682Rlkd11f?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^:4[jYj}qU9SB[[<5<0b>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~P4PQHNE];3ZiXe|rT>RAZT=6=56c<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[=1XgVg~tR<POTV?1;5a3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byU7]^EM@Z>0WfUfyuQ=_NWW8086;l1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{[9_\CKBX0>UdS`{w_3]LQQ:16:l0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW1=TcRczx^0\KPR;>7;8i6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uovX<XY@FMU3;RaPmtz\6ZIR\5=5?k5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw_=[XOGNT4:Q`_lw{[7YH]]6<2<=j;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjq]?UVMEHR68_n]nq}Y5WF__050;2:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkr\0TULBIQ77^m\ip~X:VE^X161_RU362=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dS=<8;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqY6:>1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_304?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}U8>:5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[1403\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQ:269V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsW?8<7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]462=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dS5<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc95:5>o5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a7;978i7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g5949:k1^<"i}f/pe+bj7&~x${}`{r.ejg5(`k9$hcx`{_e3?7;4e3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk1=6=6g=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi?35?0a?P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Uo=1812c9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm;7;3<m;T2,cw`)zo%l`= xr.usjqt(o`i;"jm?.bmvjqYc9525>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a7X8;k0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f2[44f3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk1^01e>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<Q<2`9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm;T8?o4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W<8j7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g5Z05i2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd0]46d=R8&myj#|i/fn3*rt(yd~"ifc1,dg5(dg|dSi?P83`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn90=0=b:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;6:2?l4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb54;49n6[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uov\`7:46;h0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f18185j2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd3>6:7d<]9%l~k }f.eo4+qu'~zex!hib2-cf6)kfexRj=<7<1f>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th?28>3`8Q5)`zo$yj"ic0/uq+rvi|{%len>!gb2-gjsi|Vn9050=a:W3+bta&{l$ka>!ws-ttkru'nch<#il0/alqkrXl;U;>l5Z0.eqb+ta'nf;"z| wqlwv*ank9$lo= lotlw[a4X9;k0Y=!hrg,qb*ak8'}y#z~ats-dmf6)oj:%ob{at^f1[74f3\:$kh!rg-dh5(pz&}{by| gha3*be7&je~byQk2^11e>S7'nxm"h gm2-sw)pxg~y#jgl0/e`4+eh}g~Th?Q;2`9V4*aun'xm#jb?.vp,suhsz&mbo= hc1,`kphsWm8T9?o4U1-dvc(un&mg<#y}/vrmpw)`aj:%kn>!cnwmpZb5W?8j7X> gsd-vc)`d9$|~"ynup,cle7∋"naznu]g6Z15i2_;#j|i.sd,ci6){%||cz}/fk`4+ad8'idyczPd3];52=R8&myj#|i/lgn+air|Vc7<3?7;T2,cw`)zo%fi`!kotv\m9776820Y=!hrg,qb*kbe&ndyyQf<03=5==R8&myj#|i/lgn+air|Vc7=?0>8:W3+bta&{l$ahc dnww[l:6;7;37X> gsd-vc)jmd%ocxzPi=37:4><]9%l~k }f.ofi*bh}}Ub0<;1199V4*aun'xm#`kb/emvpZo;9?4:46[?/fpe*w`(elg$hb{{_h>23;7?3\:$kh!rg-nah)cg|~Te1?7>0:8Q5)`zo$yj"cjm.flqqYn4835=:5Z0.eqb+ta'dof#iazt^k?5;7?3\:$kh!rg-nah)cg|~Te1<?>0:8Q5)`zo$yj"cjm.flqqYn4;;5=55Z0.eqb+ta'dof#iazt^k?678602_;#j|i.sd,i`k(lfSd2=3?3;?P6(o{l%~k!bel-gkprXa58?2<64U1-dvc(un&gna"j`uu]j8739911^<"i}f/pe+hcj'me~xRg327<2<>S7'nxm"h mdo,`jssW`69;3?7;T2,cw`)zo%fi`!kotv\m94?6820Y=!hrg,qb*kbe&ndyyQf<3;=52=R8&myj#|i/lgn+air|Vc7>3?7;T2,cw`)zo%fi`!kotv\m9576820Y=!hrg,qb*kbe&ndyyQf<23=5==R8&myj#|i/lgn+air|Vc7??0>8:W3+bta&{l$ahc dnww[l:4;7;37X> gsd-vc)jmd%ocxzPi=17:4><]9%l~k }f.ofi*bh}}Ub0>;1169V4*aun'xm#`kb/emvpZo;;7;<7X> gsd-vc)jmd%ocxzPi=6=52=R8&myj#|i/lgn+air|Vc793?8;T2,cw`)zo%fi`!kotv\m9099>1^<"i}f/pe+hcj'me~xRg37?34?P6(o{l%~k!bel-gkprXa525=:5Z0.eqb+ta'dof#iazt^k?=;713\:$kh!rg-nah)cg|~TeR>>6:W3+bta&{l$ahc dnww[lY69>1^<"i}f/pe+hcj'me~xRgP1134?P6(o{l%~k!bel-gkprXaV;:=:5Z0.eqb+ta'dof#iazt^k\57703\:$kh!rg-nah)cg|~TeR?<169V4*aun'xm#`kb/emvpZoX9=;<7X> gsd-vc)jmd%ocxzPi^3652=R8&myj#|i/lgn+air|VcT=;?8;T2,cw`)zo%fi`!kotv\mZ709>1^<"i}f/pe+hcj'me~xRgP1934?P6(o{l%~k!bel-gkprXaV;2=;5Z0.eqb+ta'dof#iazt^k\641<]9%l~k }f.ofi*bh}}UbS?>>7:W3+bta&{l$ahc dnww[lY598=0Y=!hrg,qb*kbe&ndyyQf_3023>S7'nxm"h mdo,`jssW`U9?<94U1-dvc(un&gna"j`uu]j[726?2_;#j|i.sd,i`k(lfSdQ=5058Q5)`zo$yj"cjm.flqqYnW;<:;6[?/fpe*w`(elg$hb{{_h]1341<]9%l~k }f.ofi*bh}}UbS?6>7:W3+bta&{l$ahc dnww[lY518<0Y=!hrg,qb*kbe&ndyyQf_234?P6(o{l%~k!bel-gkprXaV9;=:5Z0.eqb+ta'dof#iazt^k\74703\:$kh!rg-nah)cg|~TeR==169V4*aun'xm#`kb/emvpZoX;:;<7X> gsd-vc)jmd%ocxzPi^1752=R8&myj#|i/lgn+air|VcT?8?9;T2,cw`)zo%fi`!kotv\mZ26>2_;#j|i.sd,i`k(lfSdQ:179V4*aun'xm#`kb/emvpZoX>8<0Y=!hrg,qb*kbe&ndyyQf_635?P6(o{l%~k!bel-gkprXaV2::6[?/fpe*w`(elg$hb{{_h]:06=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;87>?7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*bh}}hTe1??>568Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#iaztc]j8479<=1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,`jssjVc7=?0;4:W3+bta&{l$ahc gco-cgk`&nhfkl agda`*gk`'kf`S`kb_fgmawgsg{%ocxzm_h>27;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa5;?29:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<07=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;9?4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2>7?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m97?6=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0<71429V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?5;233\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.flqqdXa58;29:4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'me~xoQf<33=01=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;:;4?86[?/fpe*w`(elg$koc!gcod*bdjoh$ekhml.cod+gjlWdofSjkaescwkw)cg|~iSd2=3?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9436=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0?;1459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?6383<2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`69;3:;;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&ndyylPi=0;:12<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn4;358>5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(lfnRg32?67?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m9576=>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+air|kUb0>?1459V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?7783<2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-gkpreW`68?3:;;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&ndyylPi=17:12<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/emvpgYn4:?58>5Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(lfnRg33?60?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"j`uu`\m929<:1^<"i}f/pe+hcj'nhf"jlbg/eaibg)fnoho#lbg.`ooZkbeVmnbh|ntnp,`jssjVc793:<;T2,cw`)zo%fi`!hbl,dfha)okglm#`heba-fha(jeaTahcPgdlfvdrhz&ndyylPi=4=06=R8&myj#|i/lgn+bdj&nhfk#immfc-jbcdk'hfk"lck^ofiZabflxjxb| dnwwfZo;?7>87X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*bh}}hTe161429V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$hb{{b^k?=;1?3\:$kh!rg-nah)`jd$ln`i!gcode+h`mji%n`i bmi\i`kXoldn~lz`r.tbhlb)kz~y#oblnms_5[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nm0d8Q5)`zo$yj"cjm.eai+rjxVxjaR|k_dl14>S7'nxm"h mdo,cgk)|dzT~lcPre]fj4743\:$kh!rg-qehYulVoe=:5Z0.eqb+ta'{kfSkhotv\ak743\:$kh!rg-qehYpzVoe=i5Z0.eqb+ta'{ynae RRV\BPJKWNOE>55Z0.eqb+ta'{ynae gsqw`4(`zz~Tjxbc.sqw[a7502_;#j|i.sd,vvredb%l~~zk1/eqwqYa}ef%~~zPd30;?P6(o{l%~k!}su`oo*au{}n:"j||t^dvhi(u{}Uo??m4U1-dvc(un&xxxobd/oetvatt|'myy }d^pppZtbo5:5>n5Z0.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4849o6[?/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;:78i7X> gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qabY7:k1^<"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd[44e3\:$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]16f=R8&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<1<1g>S7'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi31?0a?P6(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}UyijQ?2c9V4*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{olS<?k;T2,cw`)zo%yylck.pg[wusWhyyij<?;T2,cw`)zo%yylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUyyQlol`2`>S7'nxm"h rrvahn)ulVxxxRm`mc32`>S7'nxm"h rrvahn)pzVxxxRo|rde14>S7'nxm"h rrvahn)pzVxxxRo|rde\`4473\:$kh!rg-qwqdkc&}yS}{_`qqabYc:;>0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVxxx}a{1b9V4*aun'xm#}{bmi,swYu{}Uhc`l>d:W3+bta&{l$~~zmlj-tvZtt|Vidao?n;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK682o5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3<PFXHU;5WSUNJF2=_[]ULBI94XRV\RFEe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl60>YXWQFEARQP0^]\Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV2>SbQbuy]6[JSS<8>=7RQPXMLN[ZY68VUTY=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io365>YXWQFEARQP10]\[P6(o{l%~k!hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^:4[jYj}qU9SB[[<9<\WR63k2UTSUBAM^]\57YXW\:$kh!rg-dh5(ul&x{by| gb2-gjsi|R2VSJ@K_61\kZkrpV8TCXZ38?]PS5363VUTTA@B_^]27ZYX]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<U7]^pf`pebWqeyS<>>_ym?4;YT_9>27RQPXMLN[ZY6<VUTY=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0Y;YZtbl|inSua}_05\k4323VUTTA@B_^]21ZYX]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]>UVMEHR6:_n]nq}Y2WF__><:7;^]\\IHJWVU::RQPU1-dvc(un&mg<#y}/fubw+qt|z%FaxvPcnos5ZAILV22SbQBUY]5=Zi69:90SRQWLOO\[Z70WVU^<"i}f/pe+wusjea${Q}su]bwwc`W{y|bz;c:]\[]JIEVUT=5QP_T2,cw`)zo%lou lljz,I}iuW[NT\CZ][1_\VAYWF]XP=PQWOS]66ZiXpf6;2<:l;^]\\IHJWVU:5RQPU1-dvc(un&mht#mcky-N|jtXZMU[BY\T6\]Q@ZVI\[Q<QRV@R^65[jYg5:5=9j4_^][HKKXWV;TSR[?/fpe*w`(oe:%{!xpovq+bod8'mh<#m`uovX<XY@FMU3;RaPmtz\6ZIR\5>5=9l4_^][HKKXWV8;SRQZ0.eqb+ta'nis"nbdx.O{kwYPZVZEX_U?]^UQ[UHSZR;VSUA]_9]l[}i;87;?n6QP_YNMIZYX:8UTSX> gsd-vc)`kq$h`fv Mymq[RTXXG^YW;SPWS]SJQT\?TUSC_Q=_n]{k9699=i0SRQWLOO\[Z45WVU^<"i}f/pe+be&jf`t"Cwos]Q@ZVI\[Q9QR\K_QLWV^5ZWQEYS8>Po^zl8586<j1TSRVCNL]\[75XWV_;#j|i.sd,cf~)keas#@v`r^PG[UHSZR>VS_JPPOVQ_0[XPFXT85Q`_ym?4;73j2UTSUBAM^]\61YXW\:$kh!rg-dg}(ddbr$Aua}_VP\TKRUS;WT[_Q_NUPX7XY_G[U=SbQwo=2=51d<WVUS@CCP_^06[ZYR8&myj#|i/fa{*fjlp&GscQXR^RMPW]3UV]YS]@[RZ7^[]IUW=UdSua30?37<>YXWQFEARQP2^]\Q5)`zo$yj"ic0/pg+wvi|{%lo= lotlw_=[XOGNT;>Q`_lw{[7YH]]6?2<:l;^]\\IHJWVU8SRQZ0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5^>ZW{ooynkPxnp\34Yg5:5=9j4_^][HKKXWV>TSR[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2_=[Xzln~ohQwos]2=4Yg5:5=?k4_^][HKKXWV?TSR[?/fpe*w`(elg$koc!tlr\vdkXzmUnb<;n;^]\\IHJWVU=SRQZ0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW4SPGOF\=4YhWhnoS9Q@UU]{kw:3688><6QP_YNMIZYX?VUTY=!hrg,qb*ak8'}y#z~ats-Nip~Xo`i;"jm?.bmvjq]?UVMEHR68_n]nq}Y5WF__?<:m;^]\\IHJWVU3SRQZ0.eqb+ta'nf;"j rqlwv*Kj}qUlo= lotlw_=[XOGNT;>Q`_lw{[7YH]]9:885P_^ZOJHYXW0UTSX> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>-Hl2g>gkefyShctx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5<keao7io{a^alqkr/8 n0hlzn_bmvjq.6!m1omyoPcnwmp-4.l2njxlQlotlw,6/c3mkmRm`uov+0,b<lh~jSnaznu*6-a=ci}kTob{at)4*`>bf|hUhcx`{(6+g?agsiVidycz'8(d8`drfWje~by27:1<4?adn|lxy:6jfn)2*2>bnf!;";6jfn)33-2=cag":=$94dhl+57/03mce$<=&7:fjj-73!>1oec&>5(58`lh/9?#<7iga(05*3>bnf!;3%:5kio*2=,0<l`d#>$94dhl+65/03mce$??&7:fjj-45!>1oec&=3(58`lh/:=#<7iga(37*3>bnf!8=%:5kio*13,1<l`d#>5'8;ekm,7?.>2nbb%=&7:fjj-57!>1oec&<1(58`lh/;;#<7iga(21*3>bnf!9?%:5kio*01,0<l`d#8$84dhl+1,0<l`d#:$84dhl+3,0<l`d#4$84dhl+=,0<l`d7<394dhl?55803mce0<?17:fjj9756>1oec2>3?58`lh;9=4<7iga<07=3>bnf5;=2:5kio>23;1<l`d7=508;ekm84?9>2nbb1?17:fjj9476>1oec2=1?58`lh;:;4<7iga<31=3>bnf58?2:5kio>11;1<l`d7>;08;ekm8719?2nbb1<7>69gmk:517<0hd`32?58`lh;;94<7iga<23=3>bnf5992:5kio>07;1<l`d7?906;ekm863=87=0hd`334<5?aoi4:4=7iga<5<5?aoi4<4=7iga<7<5?aoi4>4=7iga<9<5?aoi404<7iazt)2*3>bh}}":%55kotv+55/?3me~x%?>)99gkpr/9;#37iazt)30-==cg|~#=9'7;emvp-72!11ocxz'17+;?air|!;<%55kotv+5=/?3me~x%?6)69gkpr/: 20hb{{(32*<>bh}}"9=$64dnww,74.02ndyy&=3(:8`jss ;>"46j`uu*11,><lf$?8&8:flqq.5? 20hb{{(3:*<>bh}}"95$94dnww,6/?3me~x%=?)99gkpr/;8#37iazt)11-==cg|~#?>'7;emvp-53!11ocxz'34+4?air|!>";6j`uu*6-2=cg|~#:$94dnww,2/03me~x%6&7:flqq.>!>1ocxz30?:8`jss48:546j`uu>25;><lf0<<18:flqq:6;720hb{{<06=<>bh}}6:9364dnww840902ndyy2>7?:8`jss482546j`uu>2=;1<lf0<07;emvp947611ocxz320<;?air|589255kotv?668?3me~x1<;>99gkpr;:<437iazt=05:==cg|~7>:07;emvp94?611ocxz328<4?air|58546j`uu>04;><lf0>?18:flqq:4:720hb{{<21=<>bh}}6883o4dnww863=8720hb{{<27=3>bh}}682:5kotv?0;1<lf0808;emvp909?2ndyy28>69gkpr;07=0hb{{<8<;?`bnn;dlh85jmqvz6c=aaoeTkh`jr`vlvZp1W8&+Tdbfny"@KWC'Oldn~lz`r!31*4743ocmcR}9_431|60X:jf`?<5iigm\w3Y29;r8:R<llj.emciXoldn~lz`r^t5[4*IGGO'BB@J3dg8bl`hWz<T9<<w37]1gim+n`ldSjkaescwkwYq>V;'wnQgar]jjqYddb7; nQgar]pvvr:8%iT~iQirds>5)eXmgki`hQ}su]p}ke:9%iTdl}Pre]geqgXkfex0?#c^fjjZqnl}b6?;"l_icp[rtXlh~jSnaznu?2(fYa}efTjaohs^pppZpfd4;'oRgbpmgnakrf|`eeSywe<0/gZstmVofnhjkee]qab;7$jU|~Rh}ep?2(fYr{lUocxzPrrv>5)eX}gnn~kb`w^nls86+kVbjRayesdokr;7$jU{~hb`ae]oeqcikp7; nQkotv\slbs`49= nQbsfmm[sgk58&hSjPddrwl836:%iTi|`r^kmn`esafdTxt~j=1.`[mgtW|doihcov?3(fYoizUj``a|t^dvhi;7$jUcm~Q}su?2(fYci}kTob{at^uj`qn:1%iTdl}Pws]bgn;7$jU~hQjcb?3(fYdgdgdbRmcobi>4)eX{UjofQcov?3(fYulVzexQxievk9<*dW|ynSkyit^fbpdYdg|d1<"l_icp[djjgz~Ti`~{y<2/gZtcWmkmRm`uov\slbs`4;; nQ`vdpehjqXdf}6<!mPws]sjqtX`nd07#c^rqkbYbey~rSywe<2/gZquWmkmRm`uov\slbs`4;; nQrdnleaYumny6=!mPdnww[wusWkg1="l_icp[agsiVidycz20-a\twi`Wog`Rzgrdqk[kc`i}oTzlb2502/gZquWmo{xe3:13.`[uthoVof|ywPtipfwmYimnkiRxnl<7/gZvugnUna}zv_ujqavnXizyn~yQyam?7(fYoizU}magk=1.`[uthoVl~`aQ{hsgplZgt{lxS{oc=432(fijxfdnbyQaalg>bl`hWz<T9<<w37]1gim+kV|j`djPlnu>4)eXx{cfSkgio^vzt`;29;r8:!mPpsmd[cskdV~r|h3?,b]nahiuqV~r|h3>,|0g?coagVy=S8?=x24\6fjlWocmcRijndpbpjtX~?U:Su}{_068bpjkl2cefhm{dckwawtc3`dainz|bhvfvw1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pUdk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|ah_dosp|Ys`{oxd%8&159svjaXmdzuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?9;qplcZcjx}sTxe|jsi>;>586j2zycjQjmqvz[qnumzbTm~}jru*3-4d<x{elShctx]wlwct`Vkxh|{(0+2f>vugnUna}zv_ujqavnXizyn~y&=)0`8twi`Wlg{xtQ{hsgplZgt{lx$>'>b:rqkbYbey~rSyf}erj\evubz}"?%<l4psmd[`kw|pUdk|h^cpw`ts <#:n6~}of]fiur~W}byi~fParqfvq.1!8h0|ah_dosp|Ys`{oxdRo|sdpw,2/6j2zycjQjmqvz[qnumzbTm~}jru*;-4b<x{elShctx]wlwct`Vkxh|{<983:4d<x{elShctx]wlwct`Vdnklzj(1+2f>vugnUna}zv_ujqavnXflmjxh&>)0`8twi`Wlg{xtQ{hsgplZhboh~n$?'>b:rqkbYbey~rSyf}erj\j`af|l"8%<l4psmd[`kw|pUdk|h^lfcdrb =#:n6~}of]fiur~W}byi~fPndebp`.2!8h0|ah_dosp|Ys`{oxdR`jg`vf,3/6j2zycjQjmqvz[qnumzbTbhintd*4-4d<x{elShctx]wlwct`Vdnklzj(9+2`>vugnUna}zv_ujqavnXflmjxh27:1<:?uthoVl~`a?<;qplcZ`rdeUdk|h)2*56=wzfmTjxbc_ujqavn/9 ;87}|`g^dvhiYs`{oxd%<&129svjaXn|fgSyf}erj+7,743yxdkRhzlm]wlwct`!>"=>5rne\bpjkW}byi~f'5(30?uthoVl~`aQ{hsgpl-0.9:1{~biPftno[qnumzb#;$?<;qplcZ`rdeUdk|h):*50=wzfmTjxbc_ujqavn;03:5=l5rne\bpjkW}byi~fParqfvq.7!8k0|ah_gwohZrozlycSl}|esv+5,7f3yxdkRhzlm]wlwct`Vkxh|{(3+2e>vugnUmyabPtipfwmYf{zoyx%=&1`9svjaXn|fgSyf}erj\evubz}"?%<o4psmd[cskdV~c~h}g_`qpawr/= ;j7}|`g^dvhiYs`{oxdRo|sdpw,3/6i2zycjQiumn\pmtb{aUj~k}t)5*5d=wzfmTjxbc_ujqavnXizyn~y&7)0a8twi`Wog`Rzgrdqk[dutm{~747>11`9svjaXn|fgSyf}erj\j`af|l";%<o4psmd[cskdV~c~h}g_ogdeqc/9 ;j7}|`g^dvhiYs`{oxdR`jg`vf,7/6i2zycjQiumn\pmtb{aUeijo{e)1*5d=wzfmTjxbc_ujqavnXflmjxh&;)0c8twi`Wog`Rzgrdqk[kc`i}o#9$?n;qplcZ`rdeUdk|h^lfcdrb ?#:m6~}of]eqijX|axneQaefcwa-1.9h1{~biPftno[qnumzbTbhintd*;-4e<x{elSk{cl^vkv`uoWgolmyk38;2=2>tcWjf`==5}d^fbpdYdg|d$='>0:pg[agsiVidycz'1(33?wbXlh~jSnaznu*1-46<zmUomyoPcnwmp-5.991yhRjnt`]`kphs =#:<6|k_ecweZeh}g~#9$??;sf\`drfWje~by&9)028vaYci}kTob{at)5*55=ulVnjxlQlotlw,=/682xoSio{a^alqkr/1 ;;7jPd`vb[firf}6;2<>4re]geqgXkfex1?1119q`Zbf|hUhcx`{<3<24>tcWmkmRm`uov?7;773{nThlzn_bmvjq:368:0~iQkauc\gjsi|5?5==5}d^fbpdYdg|d0;0>0:pg[agsiVidycz37?33?wbXlh~jSnaznu>;:44<zmUomyoPcnwmp9?=87;;7jPd`vb[firf}62285}d^gm2>tcW{y?6||t69pflrbz{>0}{1g9wiu)Xkn%mekaPs7]657~4>V8h`f agn;8qkbbzofd{85yamkg2>quWhi`:6y}_bnh55=pzVnjxlQlotlw,5/682}ySio{a^alqkr/9 ;;7z|Pd`vb[firf}"9%<>4ws]geqgXkfex%=&119tvZbf|hUhcx`{(5+24>quWmkmRm`uov+1,773~xThlzn_bmvjq.1!8:0{Qkauc\gjsi|!="==5xr^fbpdYdg|d$5'>0:uq[agsiVidycz'9(33?rtXlh~jSnaznu>3:46<{UomyoPcnwmp979991|~Rjnt`]`kphs4;4:<6y}_ecweZeh}g~7?3??;vp\`drfWje~by2;>028swYci}kTob{at=7=55=pzVnjxlQlotlw838682}ySio{a^alqkr;?7;;7z|Pd`vb[firf}632<<4ws]geqgXkfex1750?33?rtXlh~jSnaznu>::0=pzVoe:6y}_sqwyEFwlmn0LMv9218E>1<6sZ<n68ln:c827664j108=k8?{o6eg?7<f=lo6;5+4gc90c2<uZ<h68ln:c827664j108=k8?;R346?3d=3:1=>=?3c:974`192Y=o7;l5;295657;k21?<h91:f6fa<7280:w^8j:4`b>g<6;::8n54<1g43?sR6nk0;6<4>:0agV0b2<hj6o4>3220f=<49o<;7):j6;347>P3nk09wx?8b;38q41d291v(<k6:3:8f0dc290=?7=562yK0`2<R?>18v?8:049g?b=u-8;=7;md:&7b<<2jj1b:<l50;9j26g=831d9n?50;9l1gd=831b:?:50;9j24b=831d95k50;&2ag<2j81e=ho50:9l1=b=83.:io4:b09m5`g=921d95m50;&2ag<2j81e=ho52:9l1=d=83.:io4:b09m5`g=;21d95o50;&2ag<2j81e=ho54:9l1=?=83.:io4:b09m5`g==21d95950;&2ag<2j81e=ho56:9l1=0=83.:io4:b09m5`g=?21d95;50;&2ag<2j81e=ho58:9l1=2=83.:io4:b09m5`g=121d95=50;&2ag<2j81e=ho5a:9l1=4=83.:io4:b09m5`g=j21d95?50;&2ag<2j81e=ho5c:9l1=6=83.:io4:b09m5`g=l21d9:h50;&2ag<2j81e=ho5e:9l12c=83.:io4:b09m5`g=n21d9:m50;&2ag<2j81e=ho51198k01e290/=hl55c38j4cf28;07b;8a;29 4ce2<h:7c?ja;31?>i2?00;6)?jb;7a5>h6mh0:?65`56:94?"6mk0>n<5a1dc951=<g<=<6=4+1d`91g7<f8oj6<;4;n742?6=,8oi68l>;o3fe?7132e>;84?:%3ff?3e92d:il4>7:9l122=83.:io4:b09m5`g=9110c89<:18'5`d==k;0b<kn:0;8?j3><3:1(<km:4`2?k7bi3;j76a:9283>!7bj3?i=6`>e`82f>=h=081<7*>ec86f4=i9lk1=n54o4;2>5<#9lh19o?4n0gb>4b<3f?2<7>5$0ga>0d63g;nm7?j;:m6<c<72-;nn7;m1:l2ad<6n21d95650;&2ag<2j81e=ho52198k01c290/=hl55c38j4cf2;;07b;82;29 4ce2<h:7c?ja;01?>i2?80;6)?jb;7a5>h6mh09?65f55794?"6mk0>:55a1dc94>=n==>1<7*>ec862==i9lk1=65f55194?"6mk0>:55a1dc96>=n==81<7*>ec862==i9lk1?65f55394?"6mk0>:55a1dc90>=n==:1<7*>ec862==i9lk1965f52g94?"6mk0>:55a1dc92>=n=:n1<7*>ec862==i9lk1;65f52a94?"6mk0>:55a1dc9<>=n=:h1<7*>ec862==i9lk1565f52c94?"6mk0>:55a1dc9e>=n=:31<7*>ec862==i9lk1n65f52:94?"6mk0>:55a1dc9g>=n=:=1<7*>ec862==i9lk1h65f52494?"6mk0>:55a1dc9a>=n=:?1<7*>ec862==i9lk1j65f52194?"6mk0>:55a1dc955=<a<996=4+1d`913><f8oj6<?4;h705?6=,8oi6887;o3fe?7532c>?=4?:%3ff?3102d:il4>3:9j17`=83.:io4:699m5`g=9=10e8<j:18'5`d==?20b<kn:078?l35l3:1(<km:44;?k7bi3;=76g:2b83>!7bj3?=46`>e`823>=n=;h1<7*>ec862==i9lk1=554i40b>5<#9lh19;64n0gb>4?<3`??n7>5$0ga>00?3g;nm7?n;:k60d<72-;nn7;98:l2ad<6j21b99750;&2ag<2>11e=ho51b98m02?290/=hl557:8j4cf28n07d;;7;29 4ce2<<37c?ja;3f?>o2<?0;6)?jb;75<>h6mh0:j65f52d94?"6mk0>:55a1dc965=<a<9?6=4+1d`913><f8oj6??4;h71=?6=,8oi6887;o3fe?4532c>>54?:%3ff?3102d:il4=3:9l25`=83.:io49189m5`g=821d:=k50;&2ag<1901e=ho51:9l25b=83.:io49189m5`g=:21d:=m50;&2ag<1901e=ho53:9l25d=83.:io49189m5`g=<21d:=o50;&2ag<1901e=ho55:9l25?=83.:io49189m5`g=>21d:=650;&2ag<1901e=ho57:9l251=83.:io49189m5`g=021d:=850;&2ag<1901e=ho59:9l27c=83.:io49399m5`g=821d:?j50;&2ag<1;11e=ho51:9l27e=83.:io49399m5`g=:21d:?l50;&2ag<1;11e=ho53:9l27g=83.:io49399m5`g=<21d:?750;&2ag<1;11e=ho55:9l27>=83.:io49399m5`g=>21d:?950;&2ag<1;11e=ho57:9l270=83.:io49399m5`g=021d:?;50;&2ag<1;11e=ho59:9j253=831i8h;50;394?6|@=o?7)<?1;6f1>i6m10;66sm10a94?7=83:pD9k;;%035?76k2e:=o4?::a26<72k?1=5?51bfxL1c33S<?6<8t39803?5>2:n1?l4<b;1`>41=9?0h6i4>6;14>6>=;008m7=m:2a97a<6?3i1h7s+21391f6<,?=19ok4$7f91g`<,8o86<k8;h7ge?6=,8oi68jm;o3fe?6<3`?o57>5$0ga>0be3g;nm7?4;h7g<?6=,8oi68jm;o3fe?4<3`?o;7>5$0ga>0be3g;nm7=4;h7g2?6=,8oi68jm;o3fe?2<3`?o97>5$0ga>0be3g;nm7;4;h7g0?6=,8oi68jm;o3fe?0<3`?o?7>5$0ga>0be3g;nm794;h7g6?6=,8oi68jm;o3fe?><3`?h?7>5;h42g?6=3`<:j7>5;n416?6=3`?o<7>5$0ga>0b63g;nm7>4;h7`b?6=,8oi68j>;o3fe?7<3`?hi7>5$0ga>0b63g;nm7<4;h7``?6=,8oi68j>;o3fe?5<3`?ho7>5$0ga>0b63g;nm7:4;h7`f?6=,8oi68j>;o3fe?3<3`?hm7>5$0ga>0b63g;nm784;h7`=?6=,8oi68j>;o3fe?1<3`?h47>5$0ga>0b63g;nm764;h42f?6=3f?h:7>5;h7f0?6=,8oi68k:;o3fe?6<3`?n?7>5$0ga>0c23g;nm7?4;h7f6?6=,8oi68k:;o3fe?4<3`?n=7>5$0ga>0c23g;nm7=4;h7f4?6=,8oi68k:;o3fe?2<3`?oj7>5$0ga>0c23g;nm7;4;h7ga?6=,8oi68k:;o3fe?0<3`?oh7>5$0ga>0c23g;nm794;h7gg?6=,8oi68k:;o3fe?><3f?:57>5;n711?6=,8oi68<9;o3fe?6<3f?987>5$0ga>0413g;nm7?4;n717?6=,8oi68<9;o3fe?4<3f?9>7>5$0ga>0413g;nm7=4;n715?6=,8oi68<9;o3fe?2<3f?9<7>5$0ga>0413g;nm7;4;n72b?6=,8oi68<9;o3fe?0<3f?:i7>5$0ga>0413g;nm794;n72`?6=,8oi68<9;o3fe?><3f?n:7>5;h40e?6=3`?nj7>5$0ga>0`73g;nm7>4;h7fa?6=,8oi68h?;o3fe?7<3`?nh7>5$0ga>0`73g;nm7<4;h7fg?6=,8oi68h?;o3fe?5<3`?nn7>5$0ga>0`73g;nm7:4;h7fe?6=,8oi68h?;o3fe?3<3`?n57>5$0ga>0`73g;nm784;h7f<?6=,8oi68h?;o3fe?1<3`?n;7>5$0ga>0`73g;nm764;n7`5?6=3f?in7>5;h410?6=3`?:n7>5;h7e=?6=,8oi68hn;o3fe?6<3`?m47>5$0ga>0`f3g;nm7?4;h7e3?6=,8oi68hn;o3fe?4<3`?m:7>5$0ga>0`f3g;nm7=4;h7e1?6=,8oi68hn;o3fe?2<3`?m87>5$0ga>0`f3g;nm7;4;h7e7?6=,8oi68hn;o3fe?0<3`?m>7>5$0ga>0`f3g;nm794;h7e5?6=,8oi68hn;o3fe?><3`<;?7>5$0ga>3633g;nm7>4;h436?6=,8oi6;>;;o3fe?7<3`<;=7>5$0ga>3633g;nm7<4;h434?6=,8oi6;>;;o3fe?5<3`?mj7>5$0ga>3633g;nm7:4;h7ea?6=,8oi6;>;;o3fe?3<3`?mh7>5$0ga>3633g;nm784;h7eg?6=,8oi6;>;;o3fe?1<3`?mn7>5$0ga>3633g;nm764;n72<?6=3`?h87>5;h72g?6=3`<8n7>5;n42a?6=3f<9?7>5;h42`?6=3f?3i7>5$0ga>0d63g;nm7>4;n7;`?6=,8oi68l>;o3fe?7<3f?3o7>5$0ga>0d63g;nm7<4;n7;f?6=,8oi68l>;o3fe?5<3f?3m7>5$0ga>0d63g;nm7:4;n7;=?6=,8oi68l>;o3fe?3<3f?3;7>5$0ga>0d63g;nm784;n7;2?6=,8oi68l>;o3fe?1<3f?397>5$0ga>0d63g;nm764;n7;0?6=,8oi68l>;o3fe??<3f?3?7>5$0ga>0d63g;nm7o4;n7;6?6=,8oi68l>;o3fe?d<3f?3=7>5$0ga>0d63g;nm7m4;n7;4?6=,8oi68l>;o3fe?b<3f?<j7>5$0ga>0d63g;nm7k4;n74a?6=,8oi68l>;o3fe?`<3f?<o7>5$0ga>0d63g;nm7??;:m63g<72-;nn7;m1:l2ad<6921d9:o50;&2ag<2j81e=ho51398k01>290/=hl55c38j4cf28907b;88;29 4ce2<h:7c?ja;37?>i2?>0;6)?jb;7a5>h6mh0:965`56494?"6mk0>n<5a1dc953=<g<=>6=4+1d`91g7<f8oj6<94;n740?6=,8oi68l>;o3fe?7?32e>;>4?:%3ff?3e92d:il4>9:9l1<2=83.:io4:b09m5`g=9h10c87<:18'5`d==k;0b<kn:0`8?j3>:3:1(<km:4`2?k7bi3;h76a:9083>!7bj3?i=6`>e`82`>=h=0:1<7*>ec86f4=i9lk1=h54o4:e>5<#9lh19o?4n0gb>4`<3f?347>5$0ga>0d63g;nm7<?;:m63a<72-;nn7;m1:l2ad<5921d9:<50;&2ag<2j81e=ho52398k016290/=hl55c38j4cf2;907d;;5;29 4ce2<<37c?ja;28?l33<3:1(<km:44;?k7bi3;07d;;3;29 4ce2<<37c?ja;08?l33:3:1(<km:44;?k7bi3907d;;1;29 4ce2<<37c?ja;68?l3383:1(<km:44;?k7bi3?07d;<e;29 4ce2<<37c?ja;48?l34l3:1(<km:44;?k7bi3=07d;<c;29 4ce2<<37c?ja;:8?l34j3:1(<km:44;?k7bi3307d;<a;29 4ce2<<37c?ja;c8?l3413:1(<km:44;?k7bi3h07d;<8;29 4ce2<<37c?ja;a8?l34?3:1(<km:44;?k7bi3n07d;<6;29 4ce2<<37c?ja;g8?l34=3:1(<km:44;?k7bi3l07d;<3;29 4ce2<<37c?ja;33?>o2;;0;6)?jb;75<>h6mh0:=65f52394?"6mk0>:55a1dc957=<a<9;6=4+1d`913><f8oj6<=4;h71b?6=,8oi6887;o3fe?7332c>>h4?:%3ff?3102d:il4>5:9j17b=83.:io4:699m5`g=9?10e8<l:18'5`d==?20b<kn:058?l35j3:1(<km:44;?k7bi3;376g:2`83>!7bj3?=46`>e`82=>=n==h1<7*>ec862==i9lk1=l54i46b>5<#9lh19;64n0gb>4d<3`??57>5$0ga>00?3g;nm7?l;:k60=<72-;nn7;98:l2ad<6l21b99950;&2ag<2>11e=ho51d98m021290/=hl557:8j4cf28l07d;<f;29 4ce2<<37c?ja;03?>o2;=0;6)?jb;75<>h6mh09=65f53;94?"6mk0>:55a1dc967=<a<836=4+1d`913><f8oj6?=4;h72e?6=3f<;j7>5$0ga>37>3g;nm7>4;n43a?6=,8oi6;?6;o3fe?7<3f<;h7>5$0ga>37>3g;nm7<4;n43g?6=,8oi6;?6;o3fe?5<3f<;n7>5$0ga>37>3g;nm7:4;n43e?6=,8oi6;?6;o3fe?3<3f<;57>5$0ga>37>3g;nm784;n43<?6=,8oi6;?6;o3fe?1<3f<;;7>5$0ga>37>3g;nm764;n432?6=,8oi6;?6;o3fe??<3f<9i7>5$0ga>35?3g;nm7>4;n41`?6=,8oi6;=7;o3fe?7<3f<9o7>5$0ga>35?3g;nm7<4;n41f?6=,8oi6;=7;o3fe?5<3f<9m7>5$0ga>35?3g;nm7:4;n41=?6=,8oi6;=7;o3fe?3<3f<947>5$0ga>35?3g;nm784;n413?6=,8oi6;=7;o3fe?1<3f<9:7>5$0ga>35?3g;nm764;n411?6=,8oi6;=7;o3fe??<3f?h;7>5;h7`6?6=3f?9;7>5;h431?6=3f<9=7>5;c6e3?6=93:1<v*=00825f=O<o<0D9k;;n32f?6=3th?j54?:083>5}#:9;18h;4H5d5?M2b<2e:i54?::a70e=83>1<7>t$322>4713A>m:6F;e59'57e=i2c=97>5;h4e>5<<a8oo6=44o0gf>5<<uk9h<7>54;294~"5880:=;5G4g48L1c33-;9o7o4i7794?=n>o0;66g>ee83>>i6ml0;66sm3cd94?2=83:p(?>>:035?M2a>2B?i95+13a9e>o1=3:17d8i:188m4cc2900c<kj:188yg2di3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th?hl4?:283>5}#:9;1=<=4H5d5?M2b<2B==6*>788145=#9;i1=6g95;29?l0a2900c<kj:188yg2cn3:1?7>50z&144<69:1C8k84H5g7?M063-;<57<?0:&26f<63`<>6=44i7d94?=h9lo1<75rb5ag>5<3290;w)<?1;322>N3n?1C8h:4H738 41>2;:;7)?=c;c8m33=831b:k4?::k2aa<722e:ih4?::a0a?=8391<7>t$322>4743A>m:6F;e59K24=#9>31>=>4$00`>4=n><0;66g9f;29?j7bm3:17pl;dd83>6<729q/>=?51018L1`13A>n86F91:&23<<5891/=?m51:k51?6=3`<m6=44o0gf>5<<uk>ho7>54;294~"5880:=;5G4g48L1c33-;<57<?0:J55>"6:j0j7d8::188m3`=831b=hj50;9l5`c=831vn9j<:187>5<7s-8;=7?>6:J7b3=O<l>0(<<l:`9j20<722c=j7>5;h3f`?6=3f;ni7>5;|`7`7<72=0;6=u+2139540<@=l=7E:j4:&26f<f3`<>6=44i7d94?=n9ln1<75`1dg94?=zj=n:6=4;:183!4793;::6F;f79K0`2<,88h6l5f6483>>o1n3:17d?jd;29?j7bm3:17pl;d983>0<729q/>=?51058L1`13A>n86F91:&23<<5891b:84?::k52?6=3`<m6=44i0gg>5<<g8on6=44}c6g3?6=<3:1<v*=008253=O<o<0D9k;;%31g?g<a??1<75f6g83>>o6mm0;66a>ed83>>{e<m<1<7:50;2x 76628;=7E:i6:J7a1=#9;i1m6g95;29?l0a2900e<kk:188k4cb2900qo:lb;290?6=8r.9<<4>179K0c0<@=o?7E8>;%34=?4782.:>n4n;h46>5<<a?l1<75f1df94?=h9lo1<75rb5;:>5<2290;w)<?1;32<>N3n?1C8h:4$00`>4=n><0;66g96;29?l0a2900e<ki:188k4cb2900qo:68;291?6=8r.9<<4>199K0c0<@=o?7)?=c;38m33=831b:;4?::k5b?6=3`;nj7>5;n3fa?6=3th?5:4?:483>5}#:9;1=<64H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6g83>>o6mo0;66a>ed83>>{e<0<1<7;50;2x 76628;37E:i6:J7a1=#9;i1=6g95;29?l012900e;h50;9j5``=831d=hk50;9~f1?2290>6=4?{%035?7602B?j;5G4d68 44d281b:84?::k52?6=3`<m6=44i0ge>5<<g8on6=44}c6:0?6==3:1<v*=00825==O<o<0D9k;;%31g?7<a??1<75f6783>>o1n3:17d?jf;29?j7bm3:17pl;9383>0<729q/>=?510:8L1`13A>n86*>2b82?l022900e;850;9j2c<722c:ik4?::m2a`<722wi84?50;794?6|,;::6<?7;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7d94?=n9ll1<75`1dg94?=zj=3;6=4::183!4793;:46F;f79K0`2<,88h6<5f6483>>o1>3:17d8i:188m4ca2900c<kj:188yg2?n3:197>50z&144<6911C8k84H5g7?!75k3;0e;;50;9j23<722c=j7>5;h3fb?6=3f;ni7>5;|`7<`<72<0;6=u+213954><@=l=7E:j4:&26f<63`<>6=44i7494?=n>o0;66g>eg83>>i6ml0;66sm49f94?3=83:p(?>>:03;?M2a>2B?i95+13a95>o1=3:17d89:188m3`=831b=hh50;9l5`c=831vn96l:186>5<7s-8;=7?>8:J7b3=O<l>0(<<l:09j20<722c=:7>5;h4e>5<<a8om6=44o0gf>5<<uk>3n7>55;294~"5880:=55G4g48L1c33-;9o7?4i7794?=n>?0;66g9f;29?l7bn3:17b?je;29?xd30h0;684?:1y'657=9820D9h9;I6f0>"6:j0:7d8::188m30=831b:k4?::k2ac<722e:ih4?::a0=?=83?1<7>t$322>47?3A>m:6F;e59'57e=92c=97>5;h45>5<<a?l1<75f1dd94?=h9lo1<75rb5:4>5<2290;w)<?1;32<>N3n?1C8h:4$00`>4=n><0;66g96;29?l0a2900e<ki:188k4cb2900qo:76;291?6=8r.9<<4>199K0c0<@=o?7)?=c;38m33=831b:;4?::k5b?6=3`;nj7>5;n3fa?6=3th?484?:483>5}#:9;1=<64H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6g83>>o6mo0;66a>ed83>>{e<1>1<7;50;2x 76628;37E:i6:J7a1=#9;i1=6g95;29?l012900e;h50;9j5``=831d=hk50;9~f1>4290>6=4?{%035?7602B?j;5G4d68 44d281b:84?::k52?6=3`<m6=44i0ge>5<<g8on6=44}c6;6?6==3:1<v*=00825==O<o<0D9k;;%31g?7<a??1<75f6783>>o1n3:17d?jf;29?j7bm3:17pl;8083>0<729q/>=?510:8L1`13A>n86*>2b82?l022900e;850;9j2c<722c:ik4?::m2a`<722wi85>50;794?6|,;::6<?7;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7d94?=n9ll1<75`1dg94?=zj==m6=4::183!4793;:46F;f79K0`2<,88h6<5f6483>>o1>3:17d8i:188m4ca2900c<kj:188yg20m3:197>50z&144<6911C8k84H5g7?!75k3;0e;;50;9j23<722c=j7>5;h3fb?6=3f;ni7>5;|`7=c<72<0;6=u+213954><@=l=7E:j4:&26f<63`<>6=44i7494?=n>o0;66g>eg83>>i6ml0;66sm48g94?3=83:p(?>>:03;?M2a>2B?i95+13a95>o1=3:17d89:188m3`=831b=hh50;9l5`c=831vn97k:186>5<7s-8;=7?>8:J7b3=O<l>0(<<l:09j20<722c=:7>5;h4e>5<<a8om6=44o0gf>5<<uk>2o7>55;294~"5880:=55G4g48L1c33-;9o7?4i7794?=n>?0;66g9f;29?l7bn3:17b?je;29?xd31k0;684?:1y'657=9820D9h9;I6f0>"6:j0:7d8::188m30=831b:k4?::k2ac<722e:ih4?::a0<g=83?1<7>t$322>47?3A>m:6F;e59'57e=92c=97>5;h45>5<<a?l1<75f1dd94?=h9lo1<75rb5;0>5<2290;w)<?1;32<>N3n?1C8h:4$00`>4=n><0;66g96;29?l0a2900e<ki:188k4cb2900qo:78;291?6=8r.9<<4>199K0c0<@=o?7)?=c;38m33=831b:;4?::k5b?6=3`;nj7>5;n3fa?6=3th?;i4?:483>5}#:9;1=<64H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6g83>>o6mo0;66a>ed83>>{e<>i1<7;50;2x 76628;37E:i6:J7a1=#9;i1=6g95;29?l012900e;h50;9j5``=831d=hk50;9~f6?c290?6=4?{%035?70i2B?j;5G4d68m3>=831b;?4?::k2b=<722e:i;4?::a7<e=83>1<7>t$322>41f3A>m:6F;e59j2=<722c<>7>5;h3e<?6=3f;n:7>5;|`0=g<72=0;6=u+213952g<@=l=7E:j4:k5<?6=3`=96=44i0d;>5<<g8o=6=44}c1:e?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb2;f>5<3290;w)<?1;34e>N3n?1C8h:4i7:94?=n?;0;66g>f983>>i6m?0;66sm3c294?2=83:p(?>>:05b?M2a>2B?i95f6983>>o0:3:17d?i8;29?j7b>3:17pl<ag83>1<729q/>=?516c8L1`13A>n86g98;29?l152900e<h7:188k4c12900qo=ne;290?6=8r.9<<4>7`9K0c0<@=o?7d87:188m24=831b=k650;9l5`0=831vn>ok:187>5<7s-8;=7?8a:J7b3=O<l>0e;650;9j37<722c:j54?::m2a3<722wi?o?50;694?6|,;::6<9n;I6e2>N3m=1b:54?::k46?6=3`;m47>5;n3f2?6=3th8?44?:583>5}#:9;1=:o4H5d5?M2b<2c=47>5;h51>5<<a8l36=44o0g5>5<<uk9847>54;294~"5880:;l5G4g48L1c33`<36=44i6094?=n9o21<75`1d494?=zj:9<6=4;:183!4793;<m6F;f79K0`2<a?21<75f7383>>o6n10;66a>e783>>{e;:<1<7:50;2x 76628=j7E:i6:J7a1=n>10;66g82;29?l7a03:17b?j6;29?xd4;h0;694?:1y'657=9>k0D9h9;I6f0>o103:17d9=:188m4`?2900c<k9:188yg53k3:187>50z&144<6?h1C8k84H5g7?l0?2900e:<50;9j5c>=831d=h850;9~f62e290?6=4?{%035?70i2B?j;5G4d68m3>=831b;?4?::k2b=<722e:i;4?::a71g=83>1<7>t$322>41f3A>m:6F;e59j2=<722c<>7>5;h3e<?6=3f;n:7>5;|`00<<72=0;6=u+213952g<@=l=7E:j4:k5<?6=3`=96=44i0d;>5<<g8o=6=44}c17`?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb3d:>5<4290;w)<?1;6e1>N3n?1C8h:4i7:94?=n9>;1<75`1d494?=zj;l;6=4<:183!4793;<86F;f79K0`2<,88h6><4i03f>5<<a8;m6=44o0g5>5<<uk8m47>53;294~"5880?j85G4g48L1c33`<36=44i052>5<<g8o=6=44}c0f3?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb3ge>5<4290;w)<?1;340>N3n?1C8h:4$00`>64<a8;n6=44i03e>5<<g8o=6=44}c0e3?6=;3:1<v*=0087b0=O<o<0D9k;;h4;>5<<a8=:6=44o0g5>5<<uk8n:7>54;294~"5880:;l5G4g48L1c33`<36=44i6094?=n9o21<75`1d494?=zj;on6=4<:183!4793;<86F;f79K0`2<,88h6><4i03f>5<<a8;m6=44o0g5>5<<uk8m:7>53;294~"5880?j85G4g48L1c33`<36=44i052>5<<g8o=6=44}c0f1?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb3gg>5<4290;w)<?1;340>N3n?1C8h:4$00`>64<a8;n6=44i03e>5<<g8o=6=44}c0e1?6=;3:1<v*=0087b0=O<o<0D9k;;h4;>5<<a8=:6=44o0g5>5<<uk8n87>54;294~"5880:;l5G4g48L1c33`<36=44i6094?=n9o21<75`1d494?=zj;oh6=4<:183!4793;<86F;f79K0`2<,88h6><4i03f>5<<a8;m6=44o0g5>5<<uk8m87>53;294~"5880?j85G4g48L1c33`<36=44i052>5<<g8o=6=44}c0f7?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb3ga>5<4290;w)<?1;340>N3n?1C8h:4$00`>64<a8;n6=44i03e>5<<g8o=6=44}c0e7?6=;3:1<v*=0087b0=O<o<0D9k;;h4;>5<<a8=:6=44o0g5>5<<uk8n>7>54;294~"5880:;l5G4g48L1c33`<36=44i6094?=n9o21<75`1d494?=zj;oj6=4<:183!4793;<86F;f79K0`2<,88h6><4i03f>5<<a8;m6=44o0g5>5<<uk8m>7>53;294~"5880?j85G4g48L1c33`<36=44i052>5<<g8o=6=44}c0f5?6=<3:1<v*=00823d=O<o<0D9k;;h4;>5<<a>81<75f1g:94?=h9l<1<75rb3g:>5<4290;w)<?1;340>N3n?1C8h:4$00`>64<a8;n6=44i03e>5<<g8o=6=44}c0e5?6=;3:1<v*=0087b0=O<o<0D9k;;h4;>5<<a8=:6=44o0g5>5<<uk8n<7>54;294~"5880:;l5G4g48L1c33`<36=44i6094?=n9o21<75`1d494?=zj;o36=4<:183!4793;<86F;f79K0`2<,88h6><4i03f>5<<a8;m6=44o0g5>5<<uk9987>54;294~"5880:=95G4g48L1c33-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl<2283>1<729q/>=?51068L1`13A>n86*>2b82?l022900e;l50;9j2c<722e:ih4?::a774=83>1<7>t$322>4733A>m:6F;e59'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj:8:6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo==0;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`05c<72=0;6=u+2139542<@=l=7E:j4:&26f<63`<>6=44i7`94?=n>o0;66a>ed83>>{e;8o1<7:50;2x 76628;?7E:i6:J7a1=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn>?k:187>5<7s-8;=7?>4:J7b3=O<l>0(<<l:09j20<722c=n7>5;h4e>5<<g8on6=44}c12g?6=<3:1<v*=008251=O<o<0D9k;;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd49k0;694?:1y'657=98>0D9h9;I6f0>"6:j0:7d8::188m3d=831b:k4?::m2a`<722wi?4:50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e;091<7;50;2x 76628;>7E:i6:J7a1=#9;i1=6g95;29?l012900e;l50;9j2c<722e:ih4?::a7<4=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm38394?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi?4>50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e;1l1<7;50;2x 76628;>7E:i6:J7a1=#9;i1=6g95;29?l012900e;l50;9j2c<722e:ih4?::a7=c=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm39f94?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi?5m50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e;181<7;50;2x 76628;>7E:i6:J7a1=#9;i1=6g95;29?l012900e;l50;9j2c<722e:ih4?::a7=7=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm39294?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi?:h50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e;>o1<7;50;2x 76628;>7E:i6:J7a1=#9;i1=6g95;29?l012900e;l50;9j2c<722e:ih4?::a72b=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm36a94?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi?:l50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e;>k1<7;50;2x 76628;<7E:i6:J7a1=#9;i1m6g95;29?l012900e;h50;9j5`b=831d=hk50;9~f64e290?6=4?{%035?76<2B?j;5G4d68 44d281b:84?::k5f?6=3`<m6=44o0gf>5<<uk9947>54;294~"5880:=95G4g48L1c33-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl<3083>1<729q/>=?51068L1`13A>n86*>2b82?l022900e;l50;9j2c<722e:ih4?::a77`=83>1<7>t$322>4713A>m:6F;e59'57e=i2c=97>5;h4e>5<<a8oo6=44o0gf>5<<uk99i7>54;294~"5880:=;5G4g48L1c33-;9o7o4i7794?=n>o0;66g>ee83>>i6ml0;66sm3dg94?5=83:p(?>>:5d6?M2a>2B?i95f6983>>o6?80;66a>e783>>{e;l?1<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj:oo6=4<:183!4793>m96F;f79K0`2<a?21<75f16394?=h9l<1<75rb2f`>5<3290;w)<?1;34e>N3n?1C8h:4i7:94?=n?;0;66g>f983>>i6m?0;66sm3d694?5=83:p(?>>:057?M2a>2B?i95+13a977=n98o1<75f10d94?=h9l<1<75rb2g`>5<4290;w)<?1;6e1>N3n?1C8h:4i7:94?=n9>;1<75`1d494?=zj:ni6=4;:183!4793;<m6F;f79K0`2<a?21<75f7383>>o6n10;66a>e783>>{e;l91<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj:oi6=4<:183!4793>m96F;f79K0`2<a?21<75f16394?=h9l<1<75rb2fb>5<3290;w)<?1;34e>N3n?1C8h:4i7:94?=n?;0;66g>f983>>i6m?0;66sm3d094?5=83:p(?>>:057?M2a>2B?i95+13a977=n98o1<75f10d94?=h9l<1<75rb2gb>5<4290;w)<?1;6e1>N3n?1C8h:4i7:94?=n9>;1<75`1d494?=zj:n26=4;:183!4793;<m6F;f79K0`2<a?21<75f7383>>o6n10;66a>e783>>{e;l;1<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj:o26=4<:183!4793>m96F;f79K0`2<a?21<75f16394?=h9l<1<75rb2f;>5<3290;w)<?1;34e>N3n?1C8h:4i7:94?=n?;0;66g>f983>>i6m?0;66sm3d294?5=83:p(?>>:057?M2a>2B?i95+13a977=n98o1<75f10d94?=h9l<1<75rb2g;>5<4290;w)<?1;6e1>N3n?1C8h:4i7:94?=n9>;1<75`1d494?=zj:n<6=4;:183!4793;<m6F;f79K0`2<a?21<75f7383>>o6n10;66a>e783>>{e;ml1<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj:o<6=4<:183!4793>m96F;f79K0`2<a?21<75f16394?=h9l<1<75rb2f5>5<3290;w)<?1;34e>N3n?1C8h:4i7:94?=n?;0;66g>f983>>i6m?0;66sm3eg94?5=83:p(?>>:057?M2a>2B?i95+13a977=n98o1<75f10d94?=h9l<1<75rb2g5>5<4290;w)<?1;6e1>N3n?1C8h:4i7:94?=n9>;1<75`1d494?=zj:n>6=4;:183!4793;<m6F;f79K0`2<a?21<75f7383>>o6n10;66a>e783>>{e;mn1<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj=:h6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo:?b;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`74d<72=0;6=u+2139542<@=l=7E:j4:&26f<63`<>6=44i7`94?=n>o0;66a>ed83>>{e<931<7:50;2x 76628;?7E:i6:J7a1=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn9>7:187>5<7s-8;=7?>4:J7b3=O<l>0(<<l:09j20<722c=n7>5;h4e>5<<g8on6=44}c633?6=<3:1<v*=008251=O<o<0D9k;;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd38?0;694?:1y'657=98>0D9h9;I6f0>"6:j0:7d8::188m3d=831b:k4?::m2a`<722wi8=;50;694?6|,;::6<?;;I6e2>N3m=1/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb527>5<3290;w)<?1;320>N3n?1C8h:4$00`>4=n><0;66g9b;29?l0a2900c<kj:188yg27;3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th?9:4?:483>5}#:9;1=<;4H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6c83>>o1n3:17b?je;29?xd3=?0;684?:1y'657=98?0D9h9;I6f0>"6:j0:7d8::188m30=831b:o4?::k5b?6=3f;ni7>5;|`710<72<0;6=u+2139543<@=l=7E:j4:&26f<63`<>6=44i7494?=n>k0;66g9f;29?j7bm3:17pl;5583>0<729q/>=?51078L1`13A>n86*>2b82?l022900e;850;9j2g<722c=j7>5;n3fa?6=3th?9>4?:483>5}#:9;1=<;4H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6c83>>o1n3:17b?je;29?xd3=;0;684?:1y'657=98?0D9h9;I6f0>"6:j0:7d8::188m30=831b:o4?::k5b?6=3f;ni7>5;|`714<72<0;6=u+2139543<@=l=7E:j4:&26f<63`<>6=44i7494?=n>k0;66g9f;29?j7bm3:17pl;5183>0<729q/>=?51078L1`13A>n86*>2b82?l022900e;850;9j2g<722c=j7>5;n3fa?6=3th?8k4?:483>5}#:9;1=<;4H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6c83>>o1n3:17b?je;29?xd3<<0;684?:1y'657=98?0D9h9;I6f0>"6:j0:7d8::188m30=831b:o4?::k5b?6=3f;ni7>5;|`701<72<0;6=u+2139543<@=l=7E:j4:&26f<63`<>6=44i7494?=n>k0;66g9f;29?j7bm3:17pl;4283>0<729q/>=?51078L1`13A>n86*>2b82?l022900e;850;9j2g<722c=j7>5;n3fa?6=3th?8?4?:483>5}#:9;1=<;4H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6c83>>o1n3:17b?je;29?xd3<80;684?:1y'657=98?0D9h9;I6f0>"6:j0:7d8::188m30=831b:o4?::k5b?6=3f;ni7>5;|`705<72<0;6=u+2139543<@=l=7E:j4:&26f<63`<>6=44i7494?=n>k0;66g9f;29?j7bm3:17pl;3g83>0<729q/>=?51078L1`13A>n86*>2b82?l022900e;850;9j2g<722c=j7>5;n3fa?6=3th??h4?:483>5}#:9;1=<;4H5d5?M2b<2.:>n4>;h46>5<<a?<1<75f6c83>>o1n3:17b?je;29?xd3;m0;684?:1y'657=98=0D9h9;I6f0>"6:j0j7d8::188m30=831b:k4?::k2aa<722e:ih4?::a065=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm42094?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi8>?50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e<::1<7;50;2x 76628;>7E:i6:J7a1=#9;i1=6g95;29?l012900e;l50;9j2c<722e:ih4?::a07`=83?1<7>t$322>4723A>m:6F;e59'57e=92c=97>5;h45>5<<a?h1<75f6g83>>i6ml0;66sm43g94?3=83:p(?>>:036?M2a>2B?i95+13a95>o1=3:17d89:188m3d=831b:k4?::m2a`<722wi8?j50;794?6|,;::6<?:;I6e2>N3m=1/=?m51:k51?6=3`<=6=44i7`94?=n>o0;66a>ed83>>{e<;i1<7;50;2x 76628;<7E:i6:J7a1=#9;i1m6g95;29?l012900e;h50;9j5`b=831d=hk50;9~f14e290>6=4?{%035?76=2B?j;5G4d68 44d281b:84?::k52?6=3`<i6=44i7d94?=h9lo1<75rb3:`>5<3290;w)<?1;320>N3n?1C8h:4$00`>4=n><0;66g9b;29?l0a2900c<kj:188yg4?j3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th94l4?:583>5}#:9;1=<:4H5d5?M2b<2.:>n4>;h46>5<<a?h1<75f6g83>>i6ml0;66sm29;94?2=83:p(?>>:037?M2a>2B?i95+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f7>?290?6=4?{%035?76<2B?j;5G4d68 44d281b:84?::k5f?6=3`<m6=44o0gf>5<<uk83;7>54;294~"5880:=95G4g48L1c33-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl=8783>1<729q/>=?51068L1`13A>n86*>2b82?l022900e;l50;9j2c<722e:ih4?::a6=3=83>1<7>t$322>4733A>m:6F;e59'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;2?6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo<<3;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`177<72=0;6=u+2139542<@=l=7E:j4:&26f<63`<>6=44i7`94?=n>o0;66a>ed83>>{e::;1<7:50;2x 76628;?7E:i6:J7a1=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn?=?:187>5<7s-8;=7?>4:J7b3=O<l>0(<<l:09j20<722c=n7>5;h4e>5<<g8on6=44}c01b?6=<3:1<v*=008251=O<o<0D9k;;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5:l0;694?:1y'657=98>0D9h9;I6f0>"6:j0:7d8::188m3d=831b:k4?::m2a`<722wi>?j50;694?6|,;::6<?;;I6e2>N3m=1/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb30`>5<3290;w)<?1;320>N3n?1C8h:4$00`>4=n><0;66g9b;29?l0a2900c<kj:188yg45j3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th9h>4?:583>5}#:9;1=<:4H5d5?M2b<2.:>n4>;h46>5<<a?h1<75f6g83>>i6ml0;66sm2e094?2=83:p(?>>:037?M2a>2B?i95+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f7b6290?6=4?{%035?76<2B?j;5G4d68 44d281b:84?::k5f?6=3`<m6=44o0gf>5<<uk8o<7>54;294~"5880:=95G4g48L1c33-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl=cg83>1<729q/>=?51068L1`13A>n86*>2b82?l022900e;l50;9j2c<722e:ih4?::a6fc=83>1<7>t$322>4733A>m:6F;e59'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;io6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo<lc;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`1gg<72=0;6=u+2139542<@=l=7E:j4:&26f<63`<>6=44i7`94?=n>o0;66a>ed83>>{e:>k1<7:50;2x 76628;?7E:i6:J7a1=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn?96:187>5<7s-8;=7?>4:J7b3=O<l>0(<<l:09j20<722c=n7>5;h4e>5<<g8on6=44}c04<?6=<3:1<v*=008251=O<o<0D9k;;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5?>0;694?:1y'657=98>0D9h9;I6f0>"6:j0:7d8::188m3d=831b:k4?::m2a`<722wi>:850;694?6|,;::6<?;;I6e2>N3m=1/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb356>5<3290;w)<?1;320>N3n?1C8h:4$00`>4=n><0;66g9b;29?l0a2900c<kj:188yg40<3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th9;>4?:583>5}#:9;1=<:4H5d5?M2b<2.:>n4>;h46>5<<a?h1<75f6g83>>i6ml0;66sm26094?2=83:p(?>>:037?M2a>2B?i95+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f7d7290?6=4?{%035?76<2B?j;5G4d68L37<,8=26?>?;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5io0;694?:1y'657=98>0D9h9;I6f0>N192.:;44=019'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;kn6=4;:183!4793;:86F;f79K0`2<@?;0(<96:323?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th9mi4?:583>5}#:9;1=<:4H5d5?M2b<2B==6*>788145=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn?ol:187>5<7s-8;=7?>4:J7b3=O<l>0D;?4$05:>7673-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl=ac83>1<729q/>=?51068L1`13A>n86F91:&23<<5891/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb3cb>5<3290;w)<?1;320>N3n?1C8h:4H738 41>2;:;7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`1e<<72=0;6=u+2139542<@=l=7E:j4:J55>"6?009<=5+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f7g?290?6=4?{%035?76<2B?j;5G4d68L37<,8=26?>?;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5=>0;694?:1y'657=98>0D9h9;I6f0>N192.:;44=019'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;?=6=4;:183!4793;:86F;f79K0`2<@?;0(<96:323?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th9984?:583>5}#:9;1=<:4H5d5?M2b<2B==6*>788145=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn?;;:187>5<7s-8;=7?>4:J7b3=O<l>0D;?4$05:>7673-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl=5283>1<729q/>=?51068L1`13A>n86F91:&23<<5891/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb371>5<3290;w)<?1;320>N3n?1C8h:4H738 41>2;:;7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`114<72=0;6=u+2139542<@=l=7E:j4:J55>"6?009<=5+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f737290?6=4?{%035?76<2B?j;5G4d68L37<,8=26?>?;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5<o0;694?:1y'657=98>0D9h9;I6f0>N192.:;44=019'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;>>6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo<;4;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`106<72=0;6=u+2139542<@=l=7E:j4:&26f<63`<>6=44i7`94?=n>o0;66a>ed83>>{e:=81<7:50;2x 76628;?7E:i6:J7a1=#9;i1=6g95;29?l0e2900e;h50;9l5`c=831vn?:>:187>5<7s-8;=7?>4:J7b3=O<l>0(<<l:09j20<722c=n7>5;h4e>5<<g8on6=44}c074?6=<3:1<v*=008251=O<o<0D9k;;%31g?7<a??1<75f6c83>>o1n3:17b?je;29?xd5;o0;694?:1y'657=98>0D9h9;I6f0>"6:j0:7d8::188m3d=831b:k4?::m2a`<722wi>>k50;694?6|,;::6<?;;I6e2>N3m=1/=?m51:k51?6=3`<i6=44i7d94?=h9lo1<75rb31g>5<3290;w)<?1;320>N3n?1C8h:4$00`>4=n><0;66g9b;29?l0a2900c<kj:188yg4>m3:187>50z&144<69=1C8k84H5g7?!75k3;0e;;50;9j2g<722c=j7>5;n3fa?6=3th95i4?:583>5}#:9;1=<:4H5d5?M2b<2.:>n4>;h46>5<<a?h1<75f6g83>>i6ml0;66sm28a94?2=83:p(?>>:037?M2a>2B?i95+13a95>o1=3:17d8m:188m3`=831d=hk50;9~f7?e290?6=4?{%035?76<2B?j;5G4d68 44d281b:84?::k5f?6=3`<m6=44o0gf>5<<uk82m7>54;294~"5880:=95G4g48L1c33-;9o7?4i7794?=n>k0;66g9f;29?j7bm3:17pl=9883>1<729q/>=?51068L1`13A>n86*>2b82?l022900e;l50;9j2c<722e:ih4?::a6<>=83>1<7>t$322>4733A>m:6F;e59'57e=92c=97>5;h4a>5<<a?l1<75`1dg94?=zj;3<6=4;:183!4793;:86F;f79K0`2<,88h6<5f6483>>o1j3:17d8i:188k4cb2900qo<66;290?6=8r.9<<4>159K0c0<@=o?7)?=c;38m33=831b:o4?::k5b?6=3f;ni7>5;|`74a<72:0;6=u+2139522<@=l=7E:j4:&26f<682.:in4:049j54c=831b=<h50;9l5`0=831vn>7::185>5<7s-8;=7?87:J7b3=O<l>0(<<l:0d8 4cd2<:>7d?>e;29?l76n3:17d?=0;29?l7593:17d?=2;29?j7b>3:17pl;db83>6<729q/>=?51668L1`13A>n86*>2b824>o69l0;66g>1g83>>i6m?0;66sm4d094?5=83:p(?>>:057?M2a>2B?i95+13a955=#9li19=84i03f>5<<a8;m6=44o0g5>5<<uk9j:7>55;294~"5880:;;5G4g48L1c33-;9o7=<;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;n3f2?6=3th8m94?:483>5}#:9;1=:84H5d5?M2b<2.:>n4<3:k25`<722c:=k4?::k265<722c:><4?::m2a3<722wi?l<50;794?6|,;::6<99;I6e2>N3m=1/=?m5329j54c=831b=<h50;9j576=831b=??50;9l5`0=831vn>o?:186>5<7s-8;=7?86:J7b3=O<l>0(<<l:218m47b2900e<?i:188m4472900e<<>:188k4c12900qo=n8;297?6=8r.9<<4>759K0c0<@=o?7)?=c;11?l76m3:17d?>f;29?j7b>3:17pl<b883>0<729q/>=?51648L1`13A>n86*>2b807>o69l0;66g>1g83>>o6:90;66g>2083>>i6m?0;66sm3c594?3=83:p(?>>:055?M2a>2B?i95+13a976=n98o1<75f10d94?=n9;:1<75f13394?=h9l<1<75rb2`6>5<2290;w)<?1;342>N3n?1C8h:4$00`>65<a8;n6=44i03e>5<<a88;6=44i002>5<<g8o=6=44}c1a7?6==3:1<v*=008233=O<o<0D9k;;%31g?543`;:i7>5;h32b?6=3`;9<7>5;h315?6=3f;n:7>5;|`0fg<72:0;6=u+2139522<@=l=7E:j4:&26f<4:2c:=h4?::k25c<722e:i;4?::a714=83?1<7>t$322>4113A>m:6F;e59'57e=;:1b=<k50;9j54`=831b=?>50;9j577=831d=h850;9~f627290>6=4?{%035?70>2B?j;5G4d68 44d2:90e<?j:188m47a2900e<<?:188m4462900c<k9:188yg54m3:197>50z&144<6??1C8k84H5g7?!75k3987d?>e;29?l76n3:17d?=0;29?l7593:17b?j6;29?xd4;j0;684?:1y'657=9><0D9h9;I6f0>"6:j08?6g>1d83>>o69o0;66g>2183>>o6:80;66a>e783>>{e;=>1<7=50;2x 76628=?7E:i6:J7a1=#9;i1??5f10g94?=n98l1<75`1d494?=zj:?>6=4::183!4793;<:6F;f79K0`2<,88h6>=4i03f>5<<a8;m6=44i003>5<<a88:6=44o0g5>5<<uk9>?7>55;294~"5880:;;5G4g48L1c33-;9o7=<;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;n3f2?6=3th89<4?:483>5}#:9;1=:84H5d5?M2b<2.:>n4<0:k25`<722c:=k4?::k265<722c:><4?::m2a3<722wi?9h50;794?6|,;::6<99;I6e2>N3m=1/=?m52g9j54c=831b=<h50;9j576=831b=??50;9l5`0=831vn>;8:180>5<7s-8;=7?84:J7b3=O<l>0(<<l:208m47b2900e<?i:188k4c12900qo<if;290?6=8r.9<<4>749K0c0<@=o?7)?=c;0:?l76m3:17d?>f;29?l7583:17b?j6;29?xd48?0;6:4?:1y'657=9>20D9h9;I6f0>"6:j09i6g>1d83>>o69o0;66g>2183>>o6:80;66g>2383>>o6::0;66a>e783>>{e:mi1<7:50;2x 76628=>7E:i6:J7a1=#9;i1?h5+1da9152<a8;n6=44i03e>5<<a88;6=44o0g5>5<<uk8oi7>55;294~"5880:;;5G4g48L1c33-;9o784$0g`>1`b3`;:i7>5;h32b?6=3`;9<7>5;h315?6=3f;n:7>5;|`1`c<72?0;6=u+2139521<@=l=7E:j4:&26f<03-;no7:ie:k25`<722c:=k4?::k265<722c:><4?::k267<722e:i;4?::a6ab=83>1<7>t$322>4123A>m:6F;e59'57e==2.:in4:059j54c=831b=<h50;9j576=831d=h850;9~f60c290?6=4?{%035?70=2B?j;5G4d68 44d2;30(<kl:435?l76m3:17d?>f;29?l7583:17b?j6;29?xd4?>0;6:4?:1y'657=9>20D9h9;I6f0>"6:j0?<6g>1d83>>o69o0;66g>2183>>o6:80;66g>2383>>o6::0;66a>e783>>{e;>?1<7850;2x 76628=<7E:i6:J7a1=#9;i1?k5+1da9157<a8;n6=44i03e>5<<a88;6=44i002>5<<a8896=44o0g5>5<<uk9>i7>53;294~"5880:;95G4g48L1c33-;9o7<?;h32a?6=3`;:j7>5;n3f2?6=3th89k4?:583>5}#:9;1=:;4H5d5?M2b<2.:>n4=4:&2af<29?1b=<k50;9j54`=831b=?>50;9l5`0=831vn><8:187>5<7s-8;=7?85:J7b3=O<l>0(<<l:708 4cd2=lm7d?>e;29?l76n3:17d?=0;29?j7b>3:17pl<2e83>1<729q/>=?51678L1`13A>n86*>2b86b>"6mj0?jk5f10g94?=n98l1<75f13294?=h9l<1<75rb205>5<2290;w)<?1;342>N3n?1C8h:4$00`>67<,8oh68?8;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;n3f2?6=3th8>l4?:483>5}#:9;1=:84H5d5?M2b<2.:>n46;%3fg?36?2c:=h4?::k25c<722c:>=4?::k264<722e:i;4?::a773=83?1<7>t$322>4113A>m:6F;e59'57e=9l1/=hm55018m47b2900e<?i:188m4472900e<<>:188k4c12900qo=k4;293?6=8r.9<<4>799K0c0<@=o?7)?=c;63?l76m3:17d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j7b>3:17pl<c283>2<729q/>=?516:8L1`13A>n86*>2b87?l76m3:17d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j7b>3:17pl<ce83>1<729q/>=?51678L1`13A>n86*>2b810>"6mj0>=95f10g94?=n98l1<75f13294?=h9l<1<75rb2af>5<3290;w)<?1;341>N3n?1C8h:4$00`>7?<a8;n6=44i03e>5<<a88;6=44o0g5>5<<uk9ho7>53;294~"5880:;95G4g48L1c33-;9o7<?;h32a?6=3`;:j7>5;n3f2?6=3th?=h4?:583>5}#:9;1=:;4H5d5?M2b<2.:>n4=9:&2af<29<1b=<k50;9j54`=831b=?>50;9l5`0=831vn9<7:184>5<7s-8;=7?88:J7b3=O<l>0(<<l:528m47b2900e<?i:188m4472900e<<>:188m4452900e<<<:188k4c12900qo:=6;292?6=8r.9<<4>769K0c0<@=o?7)?=c;1e?!7bk3?;<6g>1d83>>o69o0;66g>2183>>o6:80;66g>2383>>i6m?0;66sm40294?2=83:p(?>>:056?M2a>2B?i95+13a961=#9li19<;4i03f>5<<a8;m6=44i003>5<<g8o=6=44}c63b?6=;3:1<v*=008231=O<o<0D9k;;%31g?473`;:i7>5;h32b?6=3f;n:7>5;|`127<72:0;6=u+2139522<@=l=7E:j4:&26f<582.:in4:029j54c=831b=<h50;9l5`0=831vn?8;:187>5<7s-8;=7?85:J7b3=O<l>0(<<l:268 4cd2<:87d?>e;29?l76n3:17d?=0;29?j7b>3:17pl=c183>6<729q/>=?51668L1`13A>n86*>2b814>o69l0;66g>1g83>>i6m?0;66sm2b094?2=83:p(?>>:056?M2a>2B?i95+13a971=#9li19=<4i03f>5<<a8;m6=44i003>5<<g8o=6=44}c025?6=;3:1<v*=008231=O<o<0D9k;;%31g?473-;no7;?b:k25`<722c:=k4?::m2a3<722wi><>50;194?6|,;::6<9;;I6e2>N3m=1/=?m5219'5`e==9h0e<?j:188m47a2900c<k9:188yg47n3:1?7>50z&144<6?=1C8k84H5g7?!75k38;7)?jc;73b>o69l0;66g>1g83>>i6m?0;66sm21g94?5=83:p(?>>:057?M2a>2B?i95+13a965=#9li19=h4i03f>5<<a8;m6=44o0g5>5<<uk8;h7>53;294~"5880:;95G4g48L1c33-;9o7<?;%3fg?37m2c:=h4?::k25c<722e:i;4?::a65e=8391<7>t$322>4133A>m:6F;e59'57e=:91/=hm551g8m47b2900e<?i:188k4c12900qo<?b;297?6=8r.9<<4>759K0c0<@=o?7)?=c;03?!7bk3?;m6g>1d83>>o69o0;66a>e783>>{e:9k1<7=50;2x 76628=?7E:i6:J7a1=#9;i1>=5+1da915g<a8;n6=44i03e>5<<g8o=6=44}c03=?6=;3:1<v*=008231=O<o<0D9k;;%31g?473-;no7;?8:k25`<722c:=k4?::m2a3<722wi>=650;194?6|,;::6<9;;I6e2>N3m=1/=?m5219'5`e==920e<?j:188m47a2900c<k9:188yg47?3:1?7>50z&144<6?=1C8k84H5g7?!75k38;7)?jc;73`>o69l0;66g>1g83>>i6m?0;66sm21494?5=83:p(?>>:057?M2a>2B?i95+13a965=#9li19=j4i03f>5<<a8;m6=44o0g5>5<<uk8;97>53;294~"5880:;95G4g48L1c33-;9o7<?;%3fg?37k2c:=h4?::k25c<722e:i;4?::a652=8391<7>t$322>4133A>m:6F;e59'57e=:91/=hm551a8m47b2900e<?i:188k4c12900qo<?3;297?6=8r.9<<4>759K0c0<@=o?7)?=c;03?!7bk3?;;6g>1d83>>o69o0;66a>e783>>{e:981<7=50;2x 76628=?7E:i6:J7a1=#9;i1>=5+1da9151<a8;n6=44i03e>5<<g8o=6=44}c051?6==3:1<v*=008233=O<o<0D9k;;%31g?453-;no7;>2:k25`<722c:=k4?::k265<722c:><4?::m2a3<722wi>;950;494?6|,;::6<98;I6e2>N3m=1/=?m5349'5`e==880e<?j:188m47a2900e<<?:188m4462900e<<=:188k4c12900qo<99;293?6=8r.9<<4>799K0c0<@=o?7)?=c;00?l76m3:17d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j7b>3:17pl=c283>0<729q/>=?51648L1`13A>n86*>2b816>"6mj0>=<5f10g94?=n98l1<75f13294?=n9;;1<75`1d494?=zj;i>6=49:183!4793;<;6F;f79K0`2<,88h6>;4$0g`>0763`;:i7>5;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;n3f2?6=3th9o:4?:683>5}#:9;1=:64H5d5?M2b<2.:>n4=3:k25`<722c:=k4?::k265<722c:><4?::k267<722c:>>4?::m2a3<722wi?:750;194?6|,;::6<9;;I6e2>N3m=1/=?m52`9'5`e==9;0e<?j:188m47a2900c<k9:188yg5003:1;7>50z&144<6?11C8k84H5g7?!75k3>;7d?>e;29?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17b?j6;29?xd3:h0;6>4?:1y'657=9>>0D9h9;I6f0>"6:j09m6*>eb8645=n98o1<75f10d94?=h9l<1<75rb50:>5<0290;w)<?1;34<>N3n?1C8h:4$00`>16<a8;n6=44i03e>5<<a88;6=44i002>5<<a8896=44i000>5<<g8o=6=44}c044?6=?3:1<v*=00823==O<o<0D9k;;%31g?443`;:i7>5;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3f;n:7>5;|`1fa<72>0;6=u+213952><@=l=7E:j4:&26f<5;2c:=h4?::k25c<722c:>=4?::k264<722c:>?4?::k266<722e:i;4?::a63d=83=1<7>t$322>41?3A>m:6F;e59'57e=::1b=<k50;9j54`=831b=?>50;9j577=831b=?<50;9j575=831d=h850;9~f70b290?6=4?{%035?70=2B?j;5G4d68 44d2;;0e<?j:188m47a2900e<<?:188k4c12900qo<9d;293?6=8r.9<<4>799K0c0<@=o?7)?=c;15?l76m3:17d?>f;29?l7583:17d?=1;29?l75:3:17d?=3;29?j7b>3:17pl=c883>2<729q/>=?516:8L1`13A>n86*>2b817>o69l0;66g>1g83>>o6:90;66g>2083>>o6:;0;66g>2283>>i6m?0;66sm2c`94?2=83:p(?>>:056?M2a>2B?i95+13a964=#9li19=<4i03f>5<<a8;m6=44i003>5<<g8o=6=44}c0ae?6=?3:1<v*=00823==O<o<0D9k;;%31g?513`;:i7>5;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;h317?6=3f;n:7>5;|`7a5<72=0;6=u+2139523<@=l=7E:j4:&26f<68m1/=hm55148m47b2900e<?i:188m4472900c<k9:188yg2cl3:187>50z&144<69?1C8k84H5g7?M063-;<57<?0:k51?6=3`<m6=44i0gg>5<<g8on6=44}c135?6=?3:1<v*=00823==O<o<0D9k;;%31g?><a8;n6=44i03e>5<<a88;6=44i002>5<<a8896=44i000>5<<g8o=6=44}c1g4?6==3:1<v*=008233=O<o<0D9k;;%31g?423-;no7;>4:k25`<722c:=k4?::k265<722c:><4?::m2a3<722wi?i?50;494?6|,;::6<98;I6e2>N3m=1/=?m5279j54c=831b=<h50;9j576=831b=??50;9j574=831d=h850;9~f66729086=4?{%035?70<2B?j;5G4d68 44d2;k0e<?j:188m47a2900c<k9:188yg57<3:1;7>50z&144<6?11C8k84H5g7?!75k3;:7d?>e;29?l76n3:17d?=0;29?l7593:17d?=2;29?l75;3:17b?j6;29?xd4l;0;6:4?:1y'657=9>20D9h9;I6f0>"6:j09;6g>1d83>>o69o0;66g>2183>>o6:80;66g>2383>>o6::0;66a>e783>>{e;jl1<7=50;2x 76628=?7E:i6:J7a1=#9;i1>l5f10g94?=n98l1<75`1d494?=zj:n86=48:183!4793;<46F;f79K0`2<,88h6?94i03f>5<<a8;m6=44i003>5<<a88:6=44i001>5<<a8886=44o0g5>5<<uk9>m7>57;294~"5880:;55G4g48L1c33-;9o7?>9:k25`<722c:=k4?::k265<722c:><4?::k267<722c:>>4?::m2a3<722wi?=650;794?6|,;::6<99;I6e2>N3m=1/=?m52c9j54c=831b=<h50;9j576=831b=??50;9l5`0=831vn>>m:184>5<7s-8;=7?88:J7b3=O<l>0(<<l:99j54c=831b=<h50;9j576=831b=??50;9j574=831b=?=50;9l5`0=831vn>>6:185>5<7s-8;=7?87:J7b3=O<l>0(<<l:3a8m47b2900e<?i:188m4472900e<<>:188m4452900c<k9:188yg57l3:1;7>50z&144<6?11C8k84H5g7?!75k320e<?j:188m47a2900e<<?:188m4462900e<<=:188m4442900c<k9:188yg57i3:1:7>50z&144<6?>1C8k84H5g7?!75k38h7d?>e;29?l76n3:17d?=0;29?l7593:17d?=2;29?j7b>3:17pl<0g83>2<729q/>=?516:8L1`13A>n86*>2b81`>o69l0;66g>1g83>>o6:90;66g>2083>>o6:;0;66g>2283>>i6m?0;66sm37g94?3=83:p(?>>:055?M2a>2B?i95+13a960=#9li19<>4i03f>5<<a8;m6=44i003>5<<a88:6=44o0g5>5<<uk>:j7>55;294~"5880:;;5G4g48L1c33-;9o7<:;%3fg?3712c:=h4?::k25c<722c:>=4?::k264<722e:i;4?::a0f0=83<1<7>t$322>4103A>m:6F;e59'57e=98k0(<kl:430?l76m3:17d?>f;29?l7583:17d?=1;29?l75:3:17b?j6;29?xd4?80;6;4?:1y'657=9>=0D9h9;I6f0>"6:j09:6*>eb8655=n98o1<75f10d94?=n9;:1<75f13394?=n9;81<75`1d494?=zj=896=49:183!4793;<;6F;f79K0`2<,88h6?84$0g`>06>3`;:i7>5;h32b?6=3`;9<7>5;h315?6=3`;9>7>5;n3f2?6=3th8;>4?:683>5}#:9;1=:64H5d5?M2b<2.:>n4=7:k25`<722c:=k4?::k265<722c:><4?::k267<722c:>>4?::m2a3<722wi8?:50;594?6|,;::6<97;I6e2>N3m=1/=?m5269j54c=831b=<h50;9j576=831b=??50;9j574=831b=?=50;9l5`0=831vn>;k:181>5<7s-8;=7?80:J7b3=O<l>0e<?k:188k4c12900qo=lb;296?6=8r.9<<4>719K0c0<@=o?7d?>d;29?j7b>3:17pl;0d83>7<729q/>=?51628L1`13A>n86g>1e83>>i6m?0;66sm4b694?dd290;w)<?1;3e4>N3n?1C8h:4Z769g~7?28k1=94j:g827?7d2831=i4>5;3a>44=ug=;6?:4n63961=im90;7c??2;28 47728;97)?>1;034>"6nm097)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75l390(<<j:29'57`=;2.:?=4<;%305?5<,8996>5+12197>"6;=087)?<5;18 4512:1/=>953:&27=<43-;857=4$01b>6=#9:h1?6*>3b80?!74l390(<=j:29'56`=;2.:8=4<;%375?5<,8>96>5+15197>"6<=087)?;5;18 4212:1/=9953:&20=<43-;?57=4$06b>6=#9=h1?6*>4b80?!73l390(<:j:29'51`=;2.:9=4<;%365?5<,8?96>5+14197>"6==087)?:5;18 4312:1/=8953:&21=<43-;>57=4$07b>6=#9<h1?6*>5b80?!72l390(<;j:29'50`=;2.::=4<;%355?5<,8<96>5+17197>"6>=087)?95;18 4012:1/=;953:&22=<43-;=57=4$04b>6=#9?h1?6*>6b80?!71n3;n96*>f482bc=#9o<1:;5+1g5923=#9o31:=5+1gc95ce<,8<o6?5+17g96>"3n;0?j<5+4g190c7<,8ln6?5f1g394?=n>00;66g>0g83>>o6n=0;66g9a;29?l7a:3:17d?i3;29?l77m3:17dl6:18'5`d=j11e=ho50:9jf2<72-;nn7l7;o3fe?7<3`h=6=4+1d`9f==i9lk1>65fb483>!7bj3h37c?ja;18?l2bj3:1(<km:5gb?k7bi3:07d:j9;29 4ce2=oj7c?ja;38?l2b03:1(<km:5gb?k7bi3807d:j7;29 4ce2=oj7c?ja;18?jb?290/=hl5d69m5`g=821dh;4?:%3ff?b03g;nm7?4;nf7>5<#9lh1h:5a1dc96>=hl:0;6)?jb;f4?k7bi3907bj=:18'5`d=l>1e=ho54:9l`4<72-;nn7j8;o3fe?3<3fn;6=4+1d`9`2=i9lk1:65`cg83>!7bj3n<7c?ja;58?jeb290/=hl5d69m5`g=021doi4?:%3ff?b03g;nm774;na`>5<#9lh1h:5a1dc9e>=hkk0;6)?jb;f4?k7bi3h07bm6:18'5`d=l>1e=ho5c:9lg=<72-;nn7j8;o3fe?b<3fi<6=4+1d`9`2=i9lk1i65`c783>!7bj3n<7c?ja;d8?je2290/=hl5d69m5`g=9910cn:50;&2ag<c?2d:il4>1:9lg6<72-;nn7j8;o3fe?7532eh>7>5$0ga>a1<f8oj6<=4;na2>5<#9lh1h:5a1dc951=<gj:1<7*>ec8g3>h6mh0:965`dg83>!7bj3n<7c?ja;35?>icm3:1(<km:e58j4cf28=07bjk:18'5`d=l>1e=ho51998kae=83.:io4k7:l2ad<6121dho4?:%3ff?b03g;nm7?n;:mge?6=,8oi6i94n0gb>4d<3fn26=4+1d`9`2=i9lk1=n54oe794?"6mk0o;6`>e`82`>=hkh0;6)?jb;f4?k7bi3;n76amf;29 4ce2m=0b<kn:0d8?l21290/=hl5449m5`g=821b894?:%3ff?223g;nm7?4;h60>5<#9lh1885a1dc96>=n<;0;6)?jb;66?k7bi3907d:i:18'5`d=<<1e=ho54:9j0`<72-;nn7::;o3fe?3<3`>o6=4+1d`900=i9lk1:65f4b83>!7bj3>>7c?ja;58?l2e290/=hl5449m5`g=021b8l4?:%3ff?223g;nm774;h6:>5<#9lh1885a1dc9e>=n<10;6)?jb;66?k7bi3h07d:8:18'5`d=<<1e=ho5c:9j04<72-;nn7::;o3fe?b<3`?>6=4+1d`911=i9lk1<65f5283>!7bj3??7c?ja;38?l35290/=hl5559m5`g=:21b9<4?:%3ff?333g;nm7=4;h7f>5<#9lh1995a1dc90>=n=m0;6)?jb;77?k7bi3?07d;l:18'5`d===1e=ho56:9j1g<72-;nn7;;;o3fe?1<3`?j6=4+1d`911=i9lk1465f5883>!7bj3??7c?ja;;8?l3?290/=hl5559m5`g=i21b9:4?:%3ff?333g;nm7l4;h75>5<#9lh1995a1dc9g>=n=90;6)?jb;77?k7bi3n07dok:18'5`d=ij1e=ho50:9jeg<72-;nn7ol;o3fe?7<3`k26=4+1d`9ef=i9lk1>65fa983>!7bj3kh7c?ja;18?lg0290/=hl5ab9m5`g=<21bm;4?:%3ff?gd3g;nm7;4;hc6>5<#9lh1mn5a1dc92>=ni=0;6)?jb;c`?k7bi3=07do<:18'5`d=ij1e=ho58:9je7<72-;nn7ol;o3fe??<3`k:6=4+1d`9ef=i9lk1m65fa183>!7bj3kh7c?ja;`8?l?b290/=hl5ab9m5`g=k21b5i4?:%3ff?gd3g;nm7j4;h;`>5<#9lh1mn5a1dc9a>=n1k0;6)?jb;c`?k7bi3l07d7n:18'5`d=ij1e=ho51198m<?=83.:io4nc:l2ad<6921b554?:%3ff?gd3g;nm7?=;:k:3?6=,8oi6lm4n0gb>45<3`3=6=4+1d`9ef=i9lk1=954i8794?"6mk0jo6`>e`821>=nj=0;6)?jb;c`?k7bi3;=76gm3;29 4ce2hi0b<kn:058?ld5290/=hl5ab9m5`g=9110eo?50;&2ag<fk2d:il4>9:9jf5<72-;nn7ol;o3fe?7f32cjj7>5$0ga>de<f8oj6<l4;hcf>5<#9lh1mn5a1dc95f=<ahk1<7*>ec8bg>h6mh0:h65f9g83>!7bj3kh7c?ja;3f?>o><3:1(<km:`a8j4cf28l07b??7;29 4ce28:=7c?ja;28?j77=3:1(<km:025?k7bi3;07b??4;29 4ce28:=7c?ja;08?j77;3:1(<km:025?k7bi3907dlj:18'5`d=jm1e=ho50:9jff<72-;nn7lk;o3fe?7<3`hi6=4+1d`9fa=i9lk1>65fb`83>!7bj3ho7c?ja;18?j77k3:1(<km:02a?k7bi3:07b??a;29 4ce28:i7c?ja;38?j7713:1(<km:02a?k7bi3807b??8;29 4ce28:i7c?ja;18?j`f290/=hl5f89m5`g=821dj54?:%3ff?`>3g;nm7?4;nd5>5<#9lh1j45a1dc96>=hn<0;6)?jb;d:?k7bi3907bh;:18'5`d=n01e=ho54:9lb6<72-;nn7h6;o3fe?3<3fl96=4+1d`9b<=i9lk1:65`f083>!7bj3l27c?ja;58?j`7290/=hl5f89m5`g=021dik4?:%3ff?`>3g;nm774;ngf>5<#9lh1j45a1dc9e>=hmm0;6)?jb;d:?k7bi3h07bkm:18'5`d=n01e=ho5c:9lad<72-;nn7h6;o3fe?b<3fo26=4+1d`9b<=i9lk1i65`e983>!7bj3l27c?ja;d8?jc0290/=hl5f89m5`g=9910ch850;&2ag<a12d:il4>1:9la0<72-;nn7h6;o3fe?7532en87>5$0ga>c?<f8oj6<=4;ng0>5<#9lh1j45a1dc951=<gl81<7*>ec8e=>h6mh0:965`11394?"6mk0m56`>e`822>=h99:1<7*>ec8e=>h6mh0:;65`fg83>!7bj3l27c?ja;3;?>iam3:1(<km:g;8j4cf28307bhk:18'5`d=n01e=ho51`98kce=83.:io4i9:l2ad<6j21djo4?:%3ff?`>3g;nm7?l;:me3?6=,8oi6k74n0gb>4b<3foh6=4+1d`9b<=i9lk1=h54od394?"6mk0m56`>e`82b>=n<o:1<7*>ec87ac=i9lk1<65f4dg94?"6mk0?ik5a1dc95>=n<ln1<7*>ec87ac=i9lk1>65f4da94?"6mk0?ik5a1dc97>=n0j0;6)?jb;:a?k7bi3:07d6n:18'5`d=0k1e=ho51:9j<=<72-;nn76m;o3fe?4<3`2<6=4+1d`9<g=i9lk1?65f8783>!7bj32i7c?ja;68?l>2290/=hl58c9m5`g==21b494?:%3ff?>e3g;nm784;h:0>5<#9lh14o5a1dc93>=n0;0;6)?jb;:a?k7bi3207d6>:18'5`d=0k1e=ho59:9j<5<72-;nn76m;o3fe?g<3`=m6=4+1d`9<g=i9lk1n65f7e83>!7bj32i7c?ja;a8?l1d290/=hl58c9m5`g=l21b;o4?:%3ff?>e3g;nm7k4;h5b>5<#9lh14o5a1dc9b>=n?00;6)?jb;:a?k7bi3;;76g88;29 4ce21h0b<kn:038?l10290/=hl58c9m5`g=9;10e:850;&2ag<?j2d:il4>3:9j30<72-;nn76m;o3fe?7332c<87>5$0ga>=d<f8oj6<;4;h;0>5<#9lh14o5a1dc953=<a081<7*>ec8;f>h6mh0:;65f9083>!7bj32i7c?ja;3;?>o>83:1(<km:9`8j4cf28307d6i:18'5`d=0k1e=ho51`98m=c=83.:io47b:l2ad<6j21b4i4?:%3ff?>e3g;nm7?l;:k;=?6=,8oi65l4n0gb>4b<3`=n6=4+1d`9<g=i9lk1=h54i6194?"6mk03n6`>e`82b>=z{<<26=4={_771>;3k=0i56s|57594?4|V<>?70:l4;:`?xu2>?0;6?uQ551891e321k0q~;95;296~X2<;168n:5899~w0032909wS;;1:?7g1<??2wx9;=50;0xZ02734>h8769;|q624<72;qU9>k4=5a7>=3<uz?=<7>52z\67a=:<j>1495rs47e>5<5sW?8o63;c58;7>{t=<o1<7<t^41a?82d<3h<7p}:5e83>7}Y=:k019m;:908yv32k3:1>vP:389>0f2=081v8;m:181[34027?o9470:p10g=838pR8=8;<6`0?1a3ty>944?:3y]160<5=i?6:j4}r76<?6=:rT>?8524b693f=z{<?=6=4={_707>;3k=0<n6s|54794?4|V<9970:l4;5b?xu2==0;6?uQ523891e32k<0q~;:3;296~X2;9168n:5789~w0352909wS;=f:?7g1<002wx98?50;0xZ04b34>h8798;|q615<72;qU9?j4=5a7>20<uz??j7>52z\66f=:<j>1;85rs46f>5<5sW?9n63;c5840>{t==n1<7<t^40b?82d<3387p}:7183>7}Y==h019m;:808yv31n3:1>vP:4`9>0f2=j<1v88j:181[33127?o9461:p13b=838pR8:7;<6`0??73ty>:n4?:3y]111<5=i?65h4}r75f?6=:rT>8;524b69<`=z{<<j6=4={_70b>;3k=03h6s|57094?4|V<9?70:l4;::?xu2=>0;6?uQ53;891e32>o0q~;;c;296~X2:1168n:5729~w362290<>vP9049>70e=><168io5649>0a?=><168i=5649>0a4=><168i?5649>0a>=><168475649>0<>=><168495649>0<0=><1684;5649>0<2=><1684<5649>0<7=><1684>5649>0=`=><1685k5649>0=b=><1685m5649>0=d=><1685o5649>0=?=><168595649>0=0=><1685;5649>0=2=><1685=5649>0=4=><1685?5649>0=6=><168:h5649>02c=><1684h5649>0<c=><1684j5649>0<e=><1684l5649>0<g=><1684=5649>0=>=><168:j5649>02e=><16??:5649>775=><16??<5649>777=><16??>5649>74`=><16?<k5649>74b=><16?<m5649>74d=><16?4:5649>7<5=><16?4<5649>7<7=><16?4>5649>7=`=><16?5k5649>7=b=><16?5m5649>7=4=><16?5?5649>7=6=><16?:h5649>72c=><16?:j5649>72e=><16?:l5649>72g=><16??l5649>77>=><16?>?5649>77`=><16??k5649>6=e=><16>5l5649>6=g=><16>575649>6=>=><16>595649>6=0=><16>5;5649>6=2=><16>i=5649>6a4=><16>i?5649>6a6=><16>nh5649>6fc=><16>nj5649>6fe=><16>nl5649>6g6=><16>lh5649>6dc=><16>lj5649>6de=><16>ll5649>6dg=><16>l75649>6d>=><16>9;5649>612=><16>9=5649>614=><16>9?5649>616=><16>>h5649>66c=><16>>j5649>0f2=>h1v;?m:18;[06j278>:4>1d9>77b=9;:01><9:002?855i3;9<63<248264=:;<k1=<k4=5a5>4463ty==i4?:6y]24b<5=ij6;l4=5ag>4cc34>ho7?jd:?7`=<6mm168nl51df891bc28oo7p}92583>00|V?8?70=l0;46?85en3<>70:la;46?82cn3<>70:ld;46?82cm3<>70:lc;46?82c?3<>70:k6;46?82dj3<>70:?c;46?827j3<>70:?a;46?82713<>70:?8;46?827?3<>70:?6;46?827=3<>70:?4;46?827;3<>70::7;46?822>3<>70::5;46?822<3<>70::3;46?822:3<>70::1;46?82283<>70:;f;46?823=3<>70:;4;46?823;3<>70:;2;46?82393<>70:;0;46?824n3<>70:<e;46?824l3<>70:<3;46?824:3<>70:<1;46?82483<>70:=f;46?825m3<>70:=d;46?825k3<>70:=b;46?844;3<>70<<2;46?84493<>70<<0;46?845n3<>70<=e;46?845l3<>70<=c;46?845j3<>70<8a;46?84013<>70<88;46?840?3<>70<86;46?840=3<>70<84;46?840;3<>70<82;46?842?3<>70<:6;46?842=3<>70<:4;46?842;3<>70<:2;46?84293<>70<:0;46?843n3<>70<6e;46?84>l3<>70<6c;46?84>j3<>70<6a;46?84>13<>70<68;46?84>?3<>70<66;46?82cl3<>70:l4;4:?xu1;h0;6>uQ62c8916c28;n70=65;315>{t=;=1<78:{_711>X2:=1U9?=4^401?[3592T>>=5Q50d8Z07b3W?:h6P:199]14?<V<i=7S;l7:\6a3=Y>;80R;<>;_417>X19l1U9?94=5d4>47e34>o?78i;<6g6?0a34>o=78i;<6g<?0a34>o;78i;<6g2?0a34>hn78i;<1:`?153492o79=;<1:f?153492m79=;<1:a?15349i<79=;<1bb?15349ji79=;<1b`?15349i=79=;<10=?153498479=;<103?153498:79=;<10e?15349?o79=;<17f?15349?m79=;<17=?15349?h79=;<6f4?75827?o94>f09>0f2=9o8019m;:0d0?82d<3>j70:l4;6:?82d<3>370:l4;64?82d<3>:70:l4;7:?82d<3?370:l4;74?82d<3?=70:l4;73?82d<3ko70:l4;ca?82d<3k270:l4;c;?82d<3k<70:l4;c5?82d<3k>70:l4;c7?82d<3k870:l4;c1?82d<3k:70:l4;c3?82d<33n70:l4;;g?82d<33h70:l4;;a?82d<33j70:l4;;:?82d<33370:l4;;4?82d<33=70:l4;;6?82d<3h?70:l4;`0?82d<3h970:l4;`2?82d<3h;70:l4;ce?82d<3kn70:l4;cb?82d<33m70:l4;;7?82d<3hn70:l4;``?82d<3hi70:l4;`b?82d<3>m<63;c587a`=:<j>18hj4=5a7>1cd3ty?;94?:3y]1=c<5=326<kj;|q736<72;qU95j4=5;;>4cb3ty?;?4?:3y]1=e<5=3<6<kj;|q734<72;qU95l4=5;5>4cb3ty?;=4?:3y]1=g<5=3>6<kj;|q72c<72;qU9574=5;7>4cb3ty?:i4?:3y]1=1<5=396<kj;|q72f<72;qU9584=5;2>4cb3ty?:o4?:3y]1=3<5=3;6<kj;|q72d<72;qU95:4=5:e>4cb3ty?:44?:3y]1=5<5=2n6<kj;|q72=<72;qU95<4=5:g>4cb3ty?::4?:3y]1=7<5=2h6<kj;|q723<72;qU95>4=5:a>4cb3ty?:84?:3y]12`<5=2j6<kj;|q721<72;qU9:k4=5::>4cb3ty?:?4?:3y]12e<5=2<6<kj;|q724<72;qU9:l4=5:5>4cb3ty?:=4?:3y]12g<5=2>6<kj;|q71c<72;qU9:74=5:7>4cb3ty?9h4?:3y]12><5=286<kj;|q71a<72;qU9:94=5:1>4cb3ty?9n4?:3y]120<5=2:6<kj;|q71g<72;qU9:;4=5:3>4cb3ty?9l4?:3y]122<5==m6<kj;|q71<<72;qU9:=4=55f>4cb3ty?;o4?:3y]1<2<5=3m6<kj;|q73d<72;qU94=4=5;f>4cb3ty?;44?:3y]1<4<5=3o6<kj;|q73=<72;qU94?4=5;`>4cb3ty?;:4?:3y]1<6<5=3i6<kj;|q733<72;qU95h4=5;b>4cb3ty?;84?:3y]1=><5=386<kj;|q72`<72;qU9:j4=5:;>4cb3ty?:>4?:3y]124<5==o6<kj;|q71=<72;qU9:?4=55`>4cb3ty8=l4?:3y]25`<5:8?6<kj;|q05<<72;qU:=k4=200>4cb3ty8=54?:3y]25b<5:896<kj;|q052<72;qU:=m4=202>4cb3ty8=;4?:3y]25d<5:8;6<kj;|q050<72;qU:=o4=23e>4cb3ty8=94?:3y]25?<5:;n6<kj;|q056<72;qU:=64=23g>4cb3ty8=?4?:3y]251<5:;h6<kj;|q054<72;qU:=84=23a>4cb3ty?<?4?:3y]27c<5=:h6<kj;|q744<72;qU:?j4=52a>4cb3ty?<=4?:3y]27e<5=:j6<kj;|q0bc<72;qU:?l4=52:>4cb3ty8jh4?:3y]27g<5=:36<kj;|q0ba<72;qU:?74=524>4cb3ty8jn4?:3y]27><5=:=6<kj;|q0bg<72;qU:?94=526>4cb3ty8jl4?:3y]270<5=:?6<kj;|q0b<<72;qU:?;4=520>4cb3ty8>k4?:3y]1gd<5:8m6<kj;|q0g5<72;qU9n?4=2a3>4cb3ty:;i4?:8y>0c>=9l201>7n:7:896gc2?201>=9:7:8962>2?201?h>:7:897c72?201>k9:7:896b22?20q~=:9;296~;4=j0=j63<5`82a3=z{=n;6=4=3z?01f<6mm168i=51dg896432?h01><<:7`896452?h01><>:7`896472?h01>?i:7`8967b2?h01>?k:7`8967d2?h01>?m:7`896?32?h01>7<:7`896?52?h01>7>:7`896?72?h01>6i:7`896>b2?h01>6k:7`896>d2?h01>6=:7`896>62?h01>6?:7`8961a2?h01>9j:7`8961c2?h01>9l:7`8961e2?h01>9n:0gg?855j3<i70==8;4a?85493<i70==f;3f`>;4:l0:ii5rs27`>5<2s49>o7?je:?06d<6:816??;510g8963f28;m70:l6;32a>{t;kn1<7=t=2a3>3`<5:hm6;h4=2;6>4c13ty?on4?:5y>7f6=9ln01>li:0gg?82dl3<m70:lc;3fa>{t;kl1<7=t=2`e>4cb34>;h7?>f:?0=0<6:91v9mk:18182di3<m70:ld;3fa>{t<jk1<7<t=5ab>4cb349297?>e:p0a?=839p19jn:7d891b>28on70:k8;45?xu3lh0;6?u24ec95`c<5=nh6<?i;|q7``<72:q68ih56g9>0ac=9lo019k?:03f?xu3lo0;6?u24ed95`c<5=o96<?i;|q7`=<72:q68i756g9>0a>=9lo019jl:03f?xu3lm0;69u24eg92c=:<l81=<k4=5g3>47a34>oh7?je:p0fd=838p19ml:7d891ee28on7p};dc83>1}:<m91=hj4=5f1>4cc34>o=7?jd:?7`f<6m?1v9mi:1811~;3l;0:ih5229a92g=::1h1:o5229c92g=::131:o5229:92g=::1=1:o5229492g=::1?1:o5229692g=::m91:o522e092g=::m;1:o522e292g=::jl1:o522bg92g=::jn1:o522ba92g=::jh1:o522c292g=::hl1:o522`g92g=::hn1:o522`a92g=::hh1:o522`c92g=::h31:o522`:92g=::=?1:o5225692g=::=91:o5225092g=::=;1:o5225292g=:::l1:o5222g92g=:::n1:o5rs5af>5<5?r7?h<4>ed9>0<?=9ll01977:0ge?82>?3;nj63;9782ac=:<0?1=hh4=5;7>4ca34>2>7?jf:?7=4<6mo1684>51dd891>a28om70:7e;3fb>;30m0:ik5249a95``<5=2i6<ki;<6;e?7bn27?444>eg9>0=1=9ll01969:0ge?82?=3;nj63;8582ac=:<191=hh4=5:1>4ca34>3=7?jf:?7<5<6mo168:h51dd8911b28om70:6f;3fb>;31l0:ik5248f95``<5=3h6<ki;<6:f?7bn27?5l4>eg9>0<5=9ll01967:0ge?820l3;nj63;7b82ac=:<j<1=?<4=5a7>4`33ty?i<4?:2y>0a1=9ln019j9:0gg?82b:3;n:6s|4e794?41s4>o;7?je:?74f<1j27?<o49b:?74d<1j27?<449b:?74=<1j27?<:49b:?743<1j27?<849b:?741<1j27?<>49b:?712<1j27?9;49b:?710<1j27?9949b:?716<1j27?9?49b:?714<1j27?9=49b:?70c<1j27?8849b:?701<1j27?8>49b:?707<1j27?8<49b:?705<1j27??k49b:?77`<1j27??i4>ee9>065=>k168><56c9>067=>k168>>56c9>07`=>k168?k56c9>07b=>k168?m51df8914e2?h0q~:k4;2960}:<m<1=hk4=310>3d<5;996;l4=312>3d<5;9;6;l4=30e>3d<5;8n6;l4=30g>3d<5;8h6;l4=30a>3d<5;=j6;l4=35:>3d<5;=36;l4=354>3d<5;==6;l4=356>3d<5;=?6;l4=350>3d<5;=96;l4=374>3d<5;?=6;l4=376>3d<5;??6;l4=370>3d<5;?96;l4=372>3d<5;?;6;l4=36e>3d<5;3n6;l4=3;g>3d<5;3h6;l4=3;a>3d<5;3j6;l4=3;:>3d<5;336;l4=3;4>3d<5;3=6;l4}r6`<?6=:<q68475679>0<>=>?168495679>0<0=>?1684;5679>0<2=>?1684<5679>0<7=>?1684>5679>0=`=>?1685k5679>0=b=>?1685m5679>0=d=>?1685o5679>0=?=>?168595679>0=0=>?1685;5679>0=2=>?1685=5679>0=4=>?1685?5679>0=6=>?168:h5679>02c=>?1684h5679>0<c=>?1684j5679>0<e=>?1684l5679>0<g=>?1684=5679>0=>=>?168:j5679>02e=>?16??951d48yv2ek3:1>v3;9885b>;3k=0:<n5rs5`a>5<5s4>2478i;<6`0?`f3ty?nl4?:3y>0<1=>o168n:5f99~w1d>2909w0:66;4e?82d<3l=7p};b983>7}:<0?1:k524b69b0=z{=h<6=4={<6:0?0a34>h87h;;|q7f0<72;q684<56g9>0f2=n:1v9l;:18182>93<m70:l4;d1?xu3j:0;6?u248292c=:<j>1j<5rs5`1>5<5s4>3j78i;<6`0?77i2wx8o?50;0x91>b2?l019m;:g28yv2e83:1>v3;8e85b>;3k=0nj6s|4`d94?4|5=2h6;h4=5a7>`c<uz>ji7>52z?7<g<1n27?o94jd:p0db=838p196n:7d891e32lh0q~:nc;296~;3000=j63;c58fe>{t<hk1<7<t=5:4>3`<5=i?6h74}r6b=?6=:r7?4;49f:?7g1<b02wx8l650;0x91>22?l019m;:02:?xu3i>0;6?u249692c=:<j>1i:5rs5c5>5<5s4>3?78i;<6`0?c13ty?m84?:3y>0=4=>o168n:5e49~w1g32909w0:71;4e?82d<3o?7p};a283>7}:<1:1:k524b69a6=z{=k96=4={<64b?0a34>h87k=;|q7e4<72;q68:k56g9>0f2=99;0q~:l3;296~;31o0=j63;c58245=z{=i96=4={<6:a?0a34>h87??8:p0f7=838p197k:7d891e32ol0q~:l0;296~;31j0=j63;c58ea>{t<kl1<7<t=5;a>3`<5=i?6kj4}r6aa?6=:r7?5l49f:?7g1<ak2wx8oj50;0x91?42?l019m;:g`8yv2e>3:1>v3;8985b>;3k=0m;6s|4``94?4|5==o6;h4=5a7>`e<uz>j<7>52z?73f<1n27?o94j1:p7<>=838p1>7k:7:896?d28o=7p}<a483>7}:;0n1=k64=2c5>4c13ty8544?:3y>7<b=9l<01>7j:7:8yv5>?3:1>v3<9b85<>;41k0:i;5rs2c0>5<5s492o7?i8:?0e1<6m?1v>79:18185>j3<370=6a;3f2>{t;h;1<7<t=2;a>4`?349j>7?j6:p7<`=838p1>7n:0d;?85f83;n:6s|3`594?4|5:3n6<h7;<1b<?7b>2wx?om50;0x96?b28o=70=65;316>{t;hh1<7<t=2`3>3><5:km6<k9;|q0f=<72;q6?o>51g:896d>28o=7p}<ab83>7}:;k:1=h84=2`2>3><uz9jm7>52z?0ec<10278mh4>e79~w6d12909w0=nf;3e<>;4j>0:i;5rs2c:>5<5s49ji787;<1b`?7b>2wx?o:50;0x96gb28l370=m5;3f2>{t;k81<7<t=2cg>4`?349i?7?j6:p7gg=838p1>l>:0d;?85ej3;n:6s|3cg94?4|5:h:6<k9;<1:1?76n2wx?>:50;0x965>2?201>=7:0g5?xu4<80;6?u232;95c><5:>96<k9;|q070<72;q6?>751d48965f2?20q~=<3;296~;4;10=463<3682a3=z{:9m6=4={<10<?7a02788=4>e79~w6552909w0=<7;4;?854>3;n:6s|32f94?4|5:9<6<h7;<10a?7b>2wx?>l50;0x965128l370=<c;3f2>{t;=91<7<t=21b>4`?349?87?j6:p70>=838p1>=n:0g5?852i3;9>6s|35594?4|5:>h6;64=26a>4c13ty8994?:3y>71e=9o201>;::0g5?xu4<10;6?u235a95`0<5:>o6;64}r172?6=:r788o498:?00d<6m?1v>;=:181853j3;m463<5282a3=z{:>>6=4={<17e?0?349?57?j6:p706=838p1>:n:0d;?85293;n:6s|35g94?4|5:>26<h7;<17b?7b>2wx?8850;0x962c28l370=:7;3f2>{t;<h1<7<t=26g>4c1349>m7?=3:p6`1=838p1?h6:7:897c028o=7p}=f183>7}::o31=:?4=3d3>4c13ty9ho4?:2y>6c?=9l<01>>k:003?857n3;:i6s|29194?2|5;l;6<?j;<0;g?7bm278894>1g9>701=98l0q~=7b;292~;5n90:=k5238695`c<5;>>6;h4=267>47b348;57?>f:?7g1<2=2wx>h850;1x97`?2?201?k8:7:897c128o=7p}=eg83>6}::o21=:?4=3g4>4`?348nj7?j6:p6ag=83>p1?h7:0g5?857j3;9<63<0e8264=:;9l1=<h4}r0;6?6==r79i:482:?1ac<69l16>5l51dg8962528;m70=:5;32b>{t;1k1<78t=3ge>47a3492?7?je:?007<69l16>=7510g8976?28;m70:l4;70?xu5m<0;6>u22g592==::l<1:5522d795`0<uz8ni7>53z?1b2<6?816>h851g:897cb28o=7p}=d883>0}::o=1=h84=225>447349;n7?=1:?04a<6:;16?=h51328yv4?93:19v3=e7846>;5ml0:=h5229c95`c<5:>96<<>;<161?7592wx?5750;4x97cb28;m70=62;3fa>;4<;0:>=5221:954c<5;:<6<?i;<6`0?353ty9i94?:2y>6c0=>116>h;5699>6`2=9l<0q~<jd;297~;5n?0:;<522d795c><5;oo6<k9;|q1`=<72?q6>k851d489661288970=?4;32b>;48k0:>?5231;954c<5::m6<<>;|q1<5<72<q6>h;5739>6`b=98o01?66:0gf?85383;:j63<52825c=z{:236=49{<0f`?76n2785<4>ed9>716=98o01?>8:03f?847>3;:j63;c5865>{t:l91<7=t=3d6>3><5;o?6;64=3g0>4c13ty9in4?:2y>6c3=9>;01?k;:0d;?84bk3;n:6s|2e594?1|5;l>6<k9;<132?759278<<4>219>752=9;:01>>7:03f?85713;:j63<0g8267=z{;=m6=4:{<0f0?15348no7?>e:?1<=<6ml16?9>513389634288:7p}<8683>3}::li1=<h4=2;3>4cb349?<7?=0:?143<69l16>=;510d891e32<o0q~<j2;297~;5n=0=463=e285<>;5m;0:i;5rs3ga>5<4s48m87?81:?1a6<6n116>hl51d48yv4c>3:14v3=f582a3=::ol1=?>4=3fe>447349;=7?=3:?041<6:816?=6510d8966>288;70=?a;314>{t:>o1<7;t=3g0>24<5;oi6<?j;<0;3?7bm278?h4>1g9>707=98l0q~=76;292~;5mk0:=k5239d95`c<5:9n6<?j;<031?76m279<94>1g9>0f2==m1v?k>:18084a;3<370<j2;4;?84b93;n:6s|2dc94?5|5;l86<9>;<0f6?7a0279il4>e79~w7b22902w0<i3;3f2>;5no0:=k522eg9577<5;nm6<<=;<135?75:278<94>239>75>=9;:01>>6:002?857i3;9=6s|26f94?3|5;o96:<4=3gb>47b3483:7?je:?07`<6:816?8?510g8yv5?=3:1:v3=e`825c=:;1o1=hk4=21f>447348;87?>e:?146<69o168n:55b9~w7c72908w0<i2;4;?84b93<370<j0;3f2>{t:l31<7=t=3d1>416348n=7?i8:?1a<<6m?1v?j;:18b84a:3;n:63=fg825`=::mo1=?>4=3fe>446348oh7?=0:?044<6:816?=:51318966?288:70=?9;316>;48h0:>?5rs35`>5<2s48n=79=;<0f=?76m279484>ed9>76e=98l01>:i:03e?xu40=0;6;u22d;954`<5:2o6<kj;<10g?76m279<>4>1d9>654=98l019m;:4`8yv4b03:1?v3=f08234=::l:1=k64=3g;>4c13ty8==4?:3y>6c7=9l<01?jl:003?xu5?k0;68u22d2937=::l21=<k4=3:7>4cb3498o7?=1:?00c<69l1v>6<:18684b03;:j63<8b82a`=:;:i1=?>4=321>47b34>h87;n;|q04`<72;q6??:56g9>75`=9l<0q~=?c;296~;4::0=j63<0e82a3=z{::<6=4={<116?0a349;n7?j6:p753=838p1><>:7d8966128o=7p}<0283>7}:;;:1:k5231695`0<uz8mi7>52z?05c<1n278<<4>e79~w7`c2909w0=>e;4e?84cn3;n:6s|2ga94?4|5:;o6;h4=3ff>4c13ty9jo4?:3y>74e=>o16>ij51d48yv4ai3:1>v3<1c85b>;5lj0:i;5rs5a4>5<6;r7859496:?0=6<1>2785?496:?0=4<1>2785=496:?0<c<1>2784h496:?0<a<1>2784n496:?0<7<1>2784<496:?0<5<1>278;k496:?03`<1>278;i496:?03f<1>278;o496:?03d<1>278>84>e79~w60?290?w0=64;4e?85?:3;ni63<56825`=:;>21=<k4}r153?6==r785>49f:?0<4<6ml16?8;510g8961028;n70=88;314>{t;?<1<78t=2;1>3`<5:2;6<kj;<161?758278;:4>1g9>723=98o01>96:03e?xu4><0;6:u238392c=:;>l1=hk4=270>47b349<;7?=0:?030<69o16?:7510g8961428;n7p}<6583>=}:;0:1:k5236g95`c<5:?86<<?;<143?759278;84>219>72>=9;801>9>:03f?850;3;:j6s|37194??|5:2m6;h4=25g>4cb349>=7?=1:?032<6:;16?:;51338961?288:70=9e;32a>;4?80:=k523619576<uz9=>7>58z?0<`<1n278;n4>ed9>707=9;:01>8k:003?852n3;:i63<6d8264=:;>;1=?<4=250>4443ty8:<4?:8y>7=b=>o16?:l51dg8962a288;70=9d;32b>;4=l0:=k5234d9576<5:<n6<<?;<145?759278;>4>239~w607290jw0=7c;4e?850i3;ni63<4g8264=:;?n1=<k4=27f>47b349>j7?>f:?02`<69o16?:?513289614288:70=:d;32`>{t;>21<7<t=2:1>3`<5:=36<k9;|q033<72;q6?5?56g9>721=9l<0q~=84;296~;4090=j63<7482a3=z{:=96=4={<14b?0a349<?7?j6:p726=838p1>9j:7d8961628o=7p}<6b83>7}:;>n1:k5237g95`0<uz9=n7>52z?03f<1n2789k4>e79~w60f2909w0=8b;4e?852m3;n:6s|37;94?4|5:=j6;h4=27g>4c13ty8>n4?:2y>77d=>o16?>?56g9>77b=9l<0q~==b;29<~;4:k0:ih52335954`<5:8o6<?i;<112?76n278>l4>1g9>773=98l01>;n:003?82d>3;:j6s|33;94?4|5:836;h4=20b>4c13ty8>54?:00x964?28on70=?6;32b>;5lj0:=k522eg954c<5;nm6<?j;<0g`?76n278>:4>219>77b=98o01><9:003?855i3;:i63<248265=:;9;1=<h4=223>47b349>m7?=1:?04g<69o16?=j510d8966f28;m70:l6;314>{t;:;1<7lt=212>4cb349;:7?>e:?1`f<69l16>ik510d897ba28;m70<kd;32a>;4880:=h52312954`<5::i6<?j;<13`?76m278<l4>1d9~w6572908w0==f;4e?855m3<m70==6;3f2>{t;;o1<7<t=20f>4cb3499:7?>e:p7ae=838p1>kj:7:896bd28o=7p}<e483>7}:;lo1=:?4=2g6>4c13ty8ol4?:2y>7`c=9l<01>j;:03f?85d;3;:i6s|45g94?3|5:o>6<?j;<663?7bm2795h49f:?154<69o168n:5479~w74f290?w0=j5;32b>;5;:0:ih523`:954c<5:hi6<?j;|q0`g<72:q6?hj5699>7ae=>116?il51d48yv5b<3:1?v3<ee8234=:;mi1=k64=2g7>4c13ty8o44?:5y>7`b=9l<01>j;:001?85d;3;:j63<d2825`=z{=>o6=49{<1gg?15349n87?>e:?713<6ml16><?510g8977728;m70:l4;67?xu5:00;69u23d6954`<5;996<kj;<1b2?76m278n44>1d9~w6bf2908w0=jc;4;?85cj3<370=ka;3f2>{t;l91<7=t=2g`>416349on7?i8:?0a6<6m?1v>m7:18685bk3;n:63<d58264=:;j91=?>4=2f1>47b349o?7?>f:p01e=83<p1>jm:60896c428;n70::5;3fa>;5990:=h5221d954`<5=i?69=4}r01<?6=<r78i>4>1g9>667=9lo01>o9:003?85e13;9<6s|3e;94?5|5:oi6;64=2fb>3><5:n26<k9;|q0a7<72:q6?hl5163896bf28l370=j2;3f2>{t;j=1<78t=2ga>4c1349o87?=0:?0g6<6:816?i?510g896b5288;70=k3;314>{t<=h1<78t=2fb>24<5:o96<?j;<660?7bm279<k4>1d9>65c=98l019m;:508yv45?3:18v3<e3825c=::::1=hk4=2c7>47b349i;7?>e:p7a>=839p1>kn:7:896b>2?201>j7:0g5?xu4m80;6>u23dc9527<5:n26<h7;<1f5?7b>2wx?n850;5x96cf28o=70=k4;32b>;4k:0:>?523e2954c<5:n:6<?i;<1g6?76n278h>4>209~w12f290=w0=k9;51?85b93;:i63;5282a`=::9o1=<k4=32g>47a34>h87:i;|q163<72=q6?h?510d8974a28on70=n4;314>;4j>0:>=5rs2f4>5<4s49n5787;<1g<?0?349o;7?j6:p7`6=839p1>k6:052?85c03;m463<e182a3=z{:i>6=48{<1f=?7b>278oi4>1d9>7fc=98o01>j?:03e?85c93;9<63<d38264=:;m91=?<4}r67=?6=>r78h5482:?0a5<69o1688<51dg8976c28;n70<?c;32b>;3k=0?i6s|23794?2|5:o;6<?j;<01a?7bm278m?4>1d9>7g3=98o0q~=k6;297~;4m10=463<d685<>;4l?0:i;5rs2fe>5<4s49n47?81:?0`2<6n116?ih51d48yv5d<3:14v3<e982a3=:;jn1=?>4=2af>447349ho7?>e:?0`5<6:816?i?5130896b5288870=lf;32a>{t<=21<78t=2f4>24<5:nm6<?i;<665?7bm279<n4>1d9>65d=98l019m;:5f8yv45<3:18v3<dg825`=::;n1=hk4=2c1>447349i97?=0:p7a3=839p1>k8:7:896b12?201>j::0g5?xu4ll0;6>u23d59527<5:n=6<h7;<1ga?7b>2wx?n?50;;x96c028o=70=ld;32b>;4kl0:=k523ba954`<5:n;6<<?;<1g5?759278h?4>239>7f`=98l01>mm:03g?xu3<>0;6;u23e4937=:;mo1=<h4=573>4cb348;n7?>e:?14d<69o168n:54b9~w744290?w0=ke;32a>;5:j0:ih523`2954c<5:h86<?j;|q0`a<72:q6?h85163896b228l370=kd;3f2>{t;o21<7<t=2g5>4c134>;?78i;|q703<72<q6?i;5739>7ab=98o019:i:0gf?847i3;:i63;c587f>{t:;81<7:t=2fg>47a3489n7?je:?0e5<6:916?o=51328yv5d:3:1>v3;0b85b>;4k:0:i;5rs2d4>5<5s4>;n78i;<1g0?7b>2wx?k850;0x916f2?l01>j<:0g5?xu4n<0;6?u241;92c=:;m81=h84}r1e0?6=:r7?<549f:?0`4<6m?1v>h<:181827?3<m70=k0;3f2>{t;o81<7<t=525>3`<5:io6<k9;|q0b4<72;q68=;56g9>7fe=9l<0q~=i0;296~;38=0=j63<cc82a3=z{=i26=4=1z?712<1>27?9;496:?710<1>27?99496:?716<1>27?9?496:?714<1>27?9=496:?70c<1>27?88496:?701<1>27?8>496:?707<1>27?8<496:?705<1>27??k496:?77`<1>27??i496:?776<1>27???496:?774<1>27??=496:?76c<1>27?>h496:?76a<1>27?>n496:?76g<1>27?<i4>e79>0f2=99o019m;:5ga?82d<3>n563;c587a==:<j>18h94}r60g?6=;r7?9:49f:?700<6ml16?l6510d8yv24j3:1?v3;5785b>;3<=0:ih523`4954`<uz>8m7>53z?710<1n27?8>4>ed9>7d0=9;;0q~:<9;297~;3==0=j63;4382a`=:;h>1=<h4}r60<?6=;r7?9>49f:?704<6ml16?l:51338yv24?3:1?v3;5385b>;3<90:ih523`0954`<uz>8:7>53z?714<1n27??k4>ed9>7d4=9;;0q~:<5;297~;3=90=j63;3d82a`=:;h:1=<h4}r600?6=;r7?8k49f:?77a<6ml16?l>51338yv2613:18v3;4485b>;3;:0:ih523c`954`<5=826<?j;|q75=<72<q689:56g9>064=9lo01>l6:03e?82503;:i63;288265=z{=;<6=49{<677?0a34>8=7?je:?0f<<6:8168?6510d8914128;n70:=a;32b>{t<8<1<79t=561>3`<5=9;6<kj;<1a3?76n27?>54>219>070=98l019<n:03f?825<3;:i6s|40794?>|5=>:6;h4=50e>4cb349i;7?=1:?76=<6:8168?851328914>288970:=2;32a>;3:=0:=k5rs537>5<>s4>?<78i;<61a?7bm278n84>1g9>07>=9;8019<9:002?82513;9=63;1g825`=:<;81=<h4=507>4473ty?=>4?:9y>06`=>o168?j51dg896d2288:70:>e;314>;3990:=h5240d9577<5=896<<=;<610?75;2wx8<<50;;x915b2?l019<l:0gf?85e;3;:j63;1d825c=:<8:1=?>4=52e>47b34>:j7?=0:?767<6:8168?:51308yv2693:1mv3;3e85b>;3:k0:ih523c19577<5=;n6<?j;<624?76n27?<k4>1g9>04`=98l019<=:003?825<3;9=63;0d825a=z{=826=4={<607?0a34>957?j6:p071=838p19==:7d8914?28o=7p};2483>7}:<:;1:k5243495`0<uz>9?7>52z?775<1n27?>94>e79~w1462909w0:=f;4e?825:3;n:6s|40f94?4|5=8n6;h4=53e>4c13ty?=n4?:3y>07b=>o168<>51d48yv26j3:1>v3;2b85b>;38o0:i;5rs53b>5<5s4>9n78i;<63a?7b>2wx>o750;:x97>d2?l01?j<:0gf?84d83;:j63=c3825c=::j91=??4=3a6>445348h;7?=3:?1fg<69o1v?li:18084?j3<m70<l0;3f2>;5k00:>>5rs3a2>5<5s483m78i;<0`6?7b>2wx>n:50;1x97>>2?l01?m<:0g5?84el3;9?6s|2b494?4|5;236;h4=3a6>4c13ty9o54?:3y>6=1=>o16>n951d48yv4di3:1>v3=8785b>;5k00:i;5rs3``>5<5s483978i;<0ae?7b>2wx>ok50;0x97>32?l01?lk:0g5?xu5>90;65u222192c=::>k1=hk4=341>47a348=87?>f:?120<6:816>;951308970>288870<9e;32b>{t:?;1<7=t=311>3`<5;<96<k9;<05f?75;2wx>;=50;0x97562?l01?8;:0g5?xu5>?0;6>u222292c=::??1=h84=353>4443ty9:54?:3y>67`=>o16>;951d48yv41i3:1>v3=2d85b>;5>00:i;5rs34`>5<5s489h78i;<05f?7b>2wx>;h50;0x974d2?l01?8k:0g5?xu5?80;6?u223`92c=::>:1=h84}r0b3?6=:r79h>49f:?1f5<6ml1v?o9:18184c:3<m70<nf;3fa>{t:k21<79t=3f1>4cb348h<7?>e:?1g7<69l16>n=5132897e2288:70<l7;316>;5jk0:>=5rs3c6>5<5s48o=78i;<0ba?7bm2wx>o950;5x97b628on70<l2;314>;5k:0:=k522b79576<5;i<6<<>;<0`=?759279nl4>239~w7g32909w0<k0;4e?84fl3;ni6s|2c494?0|5;n;6<kj;<0`7?76m279o84>1g9>6f1=9;:01?m6:001?84ej3;:i6s|2`194?4|5;im6;h4=3c`>4cb3ty9n84?:7y>6f`=9lo01?m::03f?84d?3;:j63=be8265=::j31=<h4=3`b>4473ty9m?4?:3y>6fc=>o16>ll51dg8yv4e<3:19v3=cd82a`=::j=1=<k4=3`g>446348h57?=0:?1fd<6:81v?o>:18184dl3<m70<na;3fa>{t:k91<7:t=3ag>4cb348ih7?>e:?1g<<69l16>oo510g8yv4f83:1>v3=cb85b>;5i00:ih5rs3`1>5<4s48ho7?je:?1fa<69o16>oo510d8yv4>n3:1>v3=cc85b>;5i10:ih5rs3`2>5<5s48hn7?je:?1fa<6:;1v?:j:181840i3<m70<:7;3fa>{t:=n1<7<t=35:>3`<5;?=6<kj;|q11c<72>q6>:751dg8970528;n70<94;32a>;5><0:>=522759577<5;<26<<=;<05a?7582wx>9m50;0x971?2?l01?;::0gf?xu5=l0;6:u226:95`c<5;<?6<<?;<051?76n279::4>219>63?=9;;01?8m:002?841l3;9>6s|25`94?4|5;=<6;h4=377>4cb3ty99i4?:7y>621=9lo01?8::03f?841?3;:j63=688265=::?h1=?<4=34f>47b3ty98l4?:3y>620=>o16>8=51dg8yv42k3:1:v3=7782a`=::?=1=<k4=34:>47a348<<7?=0:?12g<69o16>;j51328yv4313:1>v3=7485b>;5=;0:ih5rs37a>5<2s48<97?je:?12<<69l16>:>51338970e288;70<9d;315>{t:=21<7<t=357>3`<5;?:6<kj;|q11d<72=q6>::51dg8971728;n70<9b;32a>;5>m0:=h5rs364>5<5s48<?78i;<064?7bm2wx>8750;1x971428on70<80;32b>;5>m0:=k5rs365>5<5s48<>78i;<07b?7bm2wx>8650;0x971528on70<80;316>{t:0?1<7<t=3`3>3`<5;3n6<kj;|q1=1<72;q6>lh56g9>6<b=9lo0q~<63;296~;5il0=j63=9b82a`=z{;396=4={<0b`?0a3482n7?je:p6<7=838p1?ol:7d897?f28on7p}=9183>7}::hh1:k5228;95`c<uz83j7>52z?1ed<1n279554>ed9~w7>b2909w0<n9;4e?84>?3;ni6s|29f94?4|5;k36;h4=3;5>4cb3ty9?n4?:3y>601=>o16>9;51dg8yv44j3:1>v3=5785b>;5<=0:ih5rs31b>5<5s48>978i;<077?7bm2wx>>750;0x97332?l01?:=:0gf?xu5;10;6?u224192c=::=;1=hk4}r003?6=:r799?49f:?105<6ml1v?=9:18184293<m70<<f;3fa>{t::?1<7<t=373>3`<5;9n6<kj;|q171<72;q6>9h56g9>66b=9lo0q~<>9;296~;5<=0=j63=0882a3=z{;;36=4={<077?0a348;47?j6:p641=838p1?:=:7d8976028o=7p}=1783>7}::=;1:k5221495`0<uz8:97>52z?105<1n279<84>e79~w7732909w0<<f;4e?847<3;n:6s|20194?4|5;9n6;h4=320>4c13ty9=?4?:3y>66b=>o16>=<51d48yv4593:1>v3=9e85b>;5980:i;5rs303>5<5s482o78i;<024?7b>2wx><h50;0x97?e2?l01?>i:0g5?xu59l0;6?u228c92c=::9o1=h84}r02`?6=:r795449f:?14a<6m?1v??l:18184>03<m70<?c;3f2>{t:8h1<7<t=3;4>3`<5;:i6<k9;|q15d<72;q6>4856g9>65g=9l<0q~=?2;296~;5no0:i;523149575<uz9=j7>54z?02a<6m?16?:9513189612288970=88;317>{t;ll1<7=t=2f7>444349h?7?=3:?0g`<6m?1v9<?:187826m3;n:63;298266=:<;<1=?<4=50:>4443ty:o<4?:3y>72?=9l<01>97:03e?xu6l?0;6?u243c95`0<5=826<?i;|q2<a<72;q6>;k51d48970c28887p}>a`83>7}::kh1=h84=3`b>4443ty?i=4?:3y>0`6=9l<019jk:7d8yv7en3:1>v3<0182a3=:;9>1=<k4}r3`6?6=:r78ok4>e79>7a5=9;90q~?l4;296~;4810:i;5231`9575<uz;h:7>52z?04<<6m?16?=j51318yv7d03:1>v3<0`82a3=:;9l1=?=4}r6`1?6=:r7?o;4>e79>0f2=99l0qp}91e83>7}Y>8n01;=560f8 1c428o;7p}92583>7}Y>;>01;=56368 1c428o:7p}90483>7}Y>9?01;=56178 1c428o97p}:6883>7}Y==?01;=55578 1c428297p}:6683>7}Y==>01;=55568 1c4282o7p}:6783>7}Y==901;=55518 1c428337p}:6483>7}Y==801;=55508 1c428k87p}:6583>7}Y==;01;=55538 1c428k37p}:6283>7}Y==:01;=55528 1c428kj7p}:6083>7}Y=:o01;=552g8 1c428h>7p}:6183>7}Y=:n01;=552f8 1c428hm7p}:5g83>7}Y=:i01;=552a8 1c428i;7p}:5d83>7}Y=:h01;=552`8 1c428i97p}:5e83>7}Y=:k01;=552c8 1c428i87p}:5b83>7}Y=:301;=552;8 1c428i?7p}:5c83>7}Y=:201;=552:8 1c428i>7p}:5`83>7}Y=:=01;=55258 1c428i=7p}:5883>7}Y=:<01;=55248 1c428i<7p}:5983>7}Y=:?01;=55278 1c428i37p}:5783>7}Y=:901;=55218 1c428i27p}:5483>7}Y=:801;=55208 1c428ij7p}:5583>7}Y=:;01;=55238 1c428ii7p}:5283>7}Y=::01;=55228 1c428ih7p}:5383>7}Y=;l01;=553d8 1c428io7p}:5083>7}Y=;o01;=553g8 1c428in7p}:5183>7}Y=;n01;=553f8 1c428im7p}:4g83>7}Y=;i01;=553a8 1c428n;7p}:4d83>7}Y=;h01;=553`8 1c428n:7p}:4e83>7}Y=;k01;=553c8 1c428n97p}:7183>7}Y==h01;=555`8 1c428n87p}:6g83>7}Y==k01;=555c8 1c428n?7p}:6d83>7}Y==301;=555;8 1c428n>7p}:6e83>7}Y==201;=555:8 1c428n<7p}:6b83>7}Y===01;=55558 1c428n37p}:6c83>7}Y==<01;=55548 1c428n27p}:6`83>7}Y=:l01;=552d8 1c428nj7p}:6383>7}Y=:>01;=55268 1c428ni7p}:5683>7}Y=;301;=553;8 1c428nh7p}:4b83>7}Y=;201;=553:8 1c428no7p}93`83>7}Y>:k01;=562c8 1c428nn7p}91c83>7}Y>8h01;=560`8 1c428nm7p}:b383>7}Y=1o01;=559g8 1c428=n7p}:b183>7}Y=1n01;=559f8 1c428=m7p}:ag83>7}Y=1i01;=559a8 1c4282;7p}:ad83>7}Y=1h01;=559`8 1c4282:7p}:ae83>7}Y=1k01;=559c8 1c428287p}:ab83>7}Y=1301;=559;8 1c4282?7p}:a`83>7}Y=1=01;=55958 1c4282>7p}:a883>7}Y=1<01;=55948 1c4282=7p}:a983>7}Y=1?01;=55978 1c4282<7p}:a683>7}Y=1>01;=55968 1c428237p}:a783>7}Y=1901;=55918 1c428227p}:a483>7}Y=1801;=55908 1c4282j7p}:a583>7}Y=1;01;=55938 1c4282i7p}:a283>7}Y=1:01;=55928 1c4282h7p}:a383>7}Y=>l01;=556d8 1c4282n7p}:a083>7}Y=>o01;=556g8 1c4282m7p}:9g83>7}Y=>i01;=556a8 1c4283;7p}:9d83>7}Y=>h01;=556`8 1c4283:7p}:9e83>7}Y=>k01;=556c8 1c428397p}:9b83>7}Y=>301;=556;8 1c428387p}:9c83>7}Y=>201;=556:8 1c4283?7p}:9`83>7}Y=>=01;=55658 1c4283>7p}:9883>7}Y=><01;=55648 1c4283=7p}:9983>7}Y=>?01;=55678 1c4283<7p}:9683>7}Y=>>01;=55668 1c428327p}:9783>7}Y=>901;=55618 1c4283j7p}:b883>7}Y=0>01;=55868 1c4283i7p}:b983>7}Y=0901;=55818 1c4283h7p}:b683>7}Y=0801;=55808 1c4283o7p}:b783>7}Y=0;01;=55838 1c4283n7p}:b483>7}Y=0:01;=55828 1c4283m7p}:b583>7}Y=1l01;=559d8 1c428k;7p}:b283>7}Y=1201;=559:8 1c428k:7p}:ac83>7}Y=>n01;=556f8 1c428k97p}:a183>7}Y=>801;=55608 1c428k?7p}:9483>7}Y=>;01;=55638 1c428k>7p}:c083>7}Y=j;01;=55b38 1c428k=7p}:bc83>7}Y=kh01;=55c`8 1c428k<7p}91`83>7}Y>9l01;=561d8 1c428k27p}91983>7}Y>9o01;=561g8 1c428ki7p}91683>7}Y>9n01;=561f8 1c428kh7p}91783>7}Y>9i01;=561a8 1c428ko7p}91483>7}Y>9h01;=561`8 1c428kn7p}91583>7}Y>9k01;=561c8 1c428km7p}91283>7}Y>9301;=561;8 1c428h;7p}91383>7}Y>9201;=561:8 1c428h:7p}91083>7}Y>9=01;=56158 1c428h97p}91183>7}Y>9<01;=56148 1c428h87p}93883>7}Y>;o01;=563g8 1c428h?7p}93683>7}Y>;n01;=563f8 1c428h=7p}93783>7}Y>;i01;=563a8 1c428h<7p}93483>7}Y>;h01;=563`8 1c428h37p}93583>7}Y>;k01;=563c8 1c428h27p}93283>7}Y>;301;=563;8 1c428hj7p}93383>7}Y>;201;=563:8 1c428hi7p}93083>7}Y>;=01;=56358 1c428hh7p}93183>7}Y>;<01;=56348 1c428ho7p}92g83>7}Y>;?01;=56378 1c428hn7psab`f94?4|@=o?7p`mad83>7}O<l>0qclnf;296~N3m=1vbol?:181M2b<2weno?50;0xL1c33tdin?4?:3yK0`2<ughi?7>52zJ7a1=zfkh?6=4={I6f0>{ijk?1<7<tH5g7?xhej?0;6?uG4d68ykde?3:1>vF;e59~jgd?2909wE:j4:mfg?=838pD9k;;|lafd<72;qC8h:4}o`af?6=:rB?i95rnc``>5<5sA>n86sabcf94?4|@=o?7p`mbd83>7}O<l>0qclmf;296~N3m=1vbom?:181M2b<2wenn?50;0xL1c33tdio?4?:3yK0`2<ughh?7>52zJ7a1=zfki?6=4={I6f0>{ijj?1<7<tH5g7?xhek?0;6?uG4d68ykdd?3:1>vF;e59~jge?2909wE:j4:mff?=838pD9k;;|lagd<72;qC8h:4}o``f?6=:rB?i95rnca`>5<5sA>n86sabbf94?4|@=o?7p`mcd83>7}O<l>0qcllf;296~N3m=1vboj?:181M2b<2weni?50;0xL1c33tdih?4?:3yK0`2<ugho?7>52zJ7a1=zfkn?6=4={I6f0>{ijm?1<7<tH5g7?xhel?0;6?uG4d68ykdc?3:1>vF;e59~jgb?2909wE:j4:mfa?=838pD9k;;|la`d<72;qC8h:4}o`gf?6=:rB?i95rncf`>5<5sA>n86sabef94?4|@=o?7p`mdd83>7}O<l>0qclkf;296~N3m=1vbok?:181M2b<2wenh?50;0xL1c33tdii?4?:3yK0`2<ughn?7>52zJ7a1=zfko?6=4={I6f0>{ijl?1<7<tH5g7?xhem?0;6?uG4d68ykdb?3:1>vF;e59~jgc?2909wE:j4:mf`?=838pD9k;;|laad<72;qC8h:4}o`ff?6=:rB?i95rncg`>5<5sA>n86sabdf94?4|@=o?7p`med83>7}O<l>0qcljf;296~N3m=1vboh?:181M2b<2wenk?50;0xL1c33tdij?4?:3yK0`2<ughm?7>52zJ7a1=zfkl?6=4={I6f0>{ijo?1<7<tH5g7?xhen?0;6?uG4d68ykda?3:1>vF;e59~jg`?2909wE:j4:mfc?=838pD9k;;|labd<72;qC8h:4}o`ef?6=:rB?i95rncd`>5<5sA>n86sabgf94?4|@=o?7p`mfd83>7}O<l>0qclif;296~N3m=1vbn>?:181M2b<2weo=?50;0xL1c33tdh<?4?:3yK0`2<ugi;?7>52zJ7a1=zfj:?6=4={I6f0>{ik9?1<7<tH5g7?xhd8?0;6?uG4d68yke7?3:1>vF;e59~jf6?2909wE:j4:mg5?=838pD9k;;|l`4d<72;qC8h:4}oa3f?6=:rB?i95rnb2`>5<5sA>n86sac1f94?4|@=o?7p`l0d83>7}O<l>0qcm?f;296~N3m=1vbl>6:182M2b<2wem9:50;3xL1c33tdj884?:0yK0`2<ugk?:7>51zJ7a1=zfh><6=4>{I6f0>{ii=21<7?tH5g7?xhf<00;6<uG4d68ykg3i3:1=vF;e59~jd2e290:wE:j4:me1e=83;pD9k;;|lb0a<728qC8h:4}oc7a?6=9rB?i95rn`6e>5<6sA>n86saa4294?7|@=o?7p`n5083>4}O<l>0qco:2;295~N3m=1vbl;<:182M2b<2wem8:50;3xL1c33tdj984?:0yK0`2<ugk>:7>51zJ7a1=zfh?<6=4>{I6f0>{ii<21<7?tH5g7?xhf=00;6<uG4d68ykg2i3:1=vF;e59~jd3e290:wE:j4:me0e=83;pD9k;;|lb1a<728qC8h:4}oc6a?6=9rB?i95rn`7e>5<6sA>n86saa7294?7|@=o?7p`n6083>4}O<l>0qco92;295~N3m=1vbl8<:182M2b<2wem;:50;3xL1c33tdj:84?:0yK0`2<ugk=:7>51zJ7a1=zfh<<6=4>{I6f0>{ii?21<7?tH5g7?xhf>00;6<uG4d68ykg1i3:1=vF;e59~jd0e290:wE:j4:me3e=83;pD9k;;|lb2a<728qC8h:4}oc5a?6=9rB?i95rn`4e>5<6sA>n86saa6294?7|@=o?7p`n7083>4}O<l>0qco82;295~N3m=1vbl9<:182M2b<2wem::50;3xL1c33tdj;84?:0yK0`2<ugk<:7>51zJ7a1=zfh=<6=4>{I6f0>{ii>21<7?tH5g7?xhf?00;6<uG4d68ykg0i3:1=vF;e59~jd1e290:wE:j4:me2e=83;pD9k;;|lb3a<728qC8h:4}oc4a?6=9rB?i95rn`5e>5<6sA>n86saa9294?7|@=o?7p`n8083>4}O<l>0qco72;295~N3m=1vbl6<:182M2b<2wem5:50;3xL1c33tdj484?:0yK0`2<ugk3:7>51zJ7a1=zfh2<6=4>{I6f0>{ii121<7?tH5g7?xhf000;6<uG4d68ykg?i3:1=vF;e59~jd>e290:wE:j4:me=e=83;pD9k;;|lb<a<728qC8h:4}oc;a?6=9rB?i95rn`:e>5<6sA>n86saa8294?7|@=o?7p`n9083>4}O<l>0qco62;295~N3m=1vbl7<:182M2b<2wem4:50;3xL1c33tdj584?:0yK0`2<ugk2:7>51zJ7a1=zfh3<6=4>{I6f0>{ii021<7?tH5g7?xhf100;6<uG4d68ykg>i3:1=vF;e59~jd?e290:wE:j4:me<e=83;pD9k;;|lb=a<728qC8h:4}oc:a?6=9rB?i95rn`;e>5<6sA>n86saa`294?7|@=o?7p`na083>4}O<l>0qcon2;295~N3m=1vblo<:182M2b<2weml:50;3xL1c33tdjm84?:0yK0`2<ugkj:7>51zJ7a1=zfhk<6=4>{I6f0>{iih21<7?tH5g7?xhfi00;6<uG4d68ykgfi3:1=vF;e59~jdge290:wE:j4:mede=83;pD9k;;|lbea<728qC8h:4}ocba?6=9rB?i95rn`ce>5<6sA>n86saac294?7|@=o?7p`nb083>4}O<l>0qcom2;295~N3m=1vbll<:182M2b<2wemo:50;3xL1c33tdjn84?:0yK0`2<ugki:7>51zJ7a1=zfhh<6=4>{I6f0>{iik21<7?tH5g7?xhfj00;6<uG4d68ykgei3:1=vF;e59~jdde290:wE:j4:mege=83;pD9k;;|lbfa<728qC8h:4}ocaa?6=9rB?i95rn``e>5<6sA>n86saab294?7|@=o?7p`nc083>4}O<l>0qcol2;295~N3m=1vblm<:182M2b<2wemn:50;3xL1c33tdjo84?:0yK0`2<ugkh:7>51zJ7a1=zfhi<6=4>{I6f0>{iij21<7?tH5g7?xhfk00;6<uG4d68ykgdi3:1=vF;e59~jdee290:wE:j4:mefe=83;pD9k;;|lbga<728qC8h:4}oc`a?6=9rB?i95rn`ae>5<6sA>n86saae294?7|@=o?7p`nd083>4}O<l>0qcok2;295~N3m=1vblj<:182M2b<2wemi:50;3xL1c33tdjh84?:0yK0`2<ugko:7>51zJ7a1=zfhn<6=4>{I6f0>{iim21<7?tH5g7?xhfl00;6<uG4d68ykgci3:1=vF;e59~jdbe290:wE:j4:meae=83;pD9k;;|lb`a<728qC8h:4}ocga?6=9rB?i95rn`fe>5<6sA>n86saad294?7|@=o?7p`ne083>4}O<l>0qcoj2;295~N3m=1vblk<:182M2b<2wemh:50;3xL1c33tdji84?:0yK0`2<ugkn:7>51zJ7a1=zfho<6=4>{I6f0>{iil21<7?tH5g7?xhfm00;6<uG4d68ykgbi3:1=vF;e59~jdce290:wE:j4:me`e=83;pD9k;;|lbaa<728qC8h:4}ocfa?6=9rB?i95rn`ge>5<6sA>n86saag294?7|@=o?7p`nf083>4}O<l>0qcoi2;295~N3m=1vblh<:182M2b<2wemk:50;3xL1c33tdjj84?:0yK0`2<ugkm:7>51zJ7a1=zfhl<6=4>{I6f0>{iio21<7?tH5g7?xhfn00;6<uG4d68ykgai3:1=vF;e59~jd`e290:wE:j4:mece=83;pD9k;;|lbba<728qC8h:4}ocea?6=9rB?i95rn`de>5<6sA>n86sab1294?7|@=o?7p`m0083>4}O<l>0qcl?2;295~N3m=1vbo><:182M2b<2wen=:50;3xL1c33tdi<84?:0yK0`2<ugh;:7>51zJ7a1=zfk:<6=4>{I6f0>{ij921<7?tH5g7?xhe800;6<uG4d68ykd7i3:1=vF;e59~jg6e290:wE:j4:mf5e=83;pD9k;;|la4a<728qC8h:4}o`3a?6=9rB?i95rnc2e>5<6sA>n86sab0294?7|@=o?7p`m1083>4}O<l>0qcl>2;295~N3m=1vbo?<:182M2b<2wen<:50;3xL1c33tdi=84?:0yK0`2<ugh::7>51zJ7a1=zfk;<6=4>{I6f0>{ij821<7?tH5g7?xhe900;6<uG4d68ykd6i3:1=vF;e59~jg7e290:wE:j4:mf4e=83;pD9k;;|la5a<728qC8h:4}o`2a?6=9rB?i95rnc3e>5<6sA>n86sab3294?7|@=o?7p`m2083>4}O<l>0qcl=2;295~N3m=1vbo<<:182M2b<2wen?:50;3xL1c33tdi>84?:0yK0`2<ugh9:7>51zJ7a1=zfk8<6=4>{I6f0>{ij;21<7?tH5g7?xhe:00;6<uG4d68ykd5i3:1=vF;e59~jg4e290:wE:j4:mf7e=83;pD9k;;|la6a<728qC8h:4}o`1a?6=9rB?i95rnc0e>5<6sA>n86sab2294?7|@=o?7p`m3083>4}O<l>0qcl<2;295~N3m=1vbo=<:182M2b<2wen>:50;3xL1c33tdi?84?:0yK0`2<ugh8:7>51zJ7a1=zfk9<6=4>{I6f0>{ij:21<7?tH5g7?xhe;00;6<uG4d68ykd4i3:1=vF;e59~jg5e290:wE:j4:mf6e=83;pD9k;;|la7a<728qC8h:4}o`0a?6=9rB?i95rnc1e>5<6sA>n86sab5294?7|@=o?7p`m4083>4}O<l>0qcl;2;295~N3m=1vbo:<:182M2b<2wen9:50;3xL1c33tdi884?:0yK0`2<ugh?:7>51zJ7a1=zfk><6=4>{I6f0>{ij=21<7?tH5g7?xhe<00;6<uG4d68ykd3i3:1=vF;e59~jg2e290:wE:j4:mf1e=83;pD9k;;|la0a<728qC8h:4}o`7a?6=9rB?i95rnc6e>5<6sA>n86sab4294?7|@=o?7p`m5083>4}O<l>0qcl:2;295~N3m=1vbo;<:182M2b<2wen8:50;3xL1c33tdi984?:0yK0`2<ugh>:7>51zJ7a1=zfk?<6=4>{I6f0>{ij<21<7?tH5g7?xhe=00;6<uG4d68ykd2i3:1=vF;e59~jg3e290:wE:j4:mf0e=83;pD9k;;|la1a<728qC8h:4}o`6a?6=9rB?i95rnc7e>5<6sA>n86sab7294?7|@=o?7p`m6083>4}O<l>0qcl92;295~N3m=1vbo8<:182M2b<2wen;:50;3xL1c33tdi:84?:0yK0`2<ugh=:7>51zJ7a1=zfk<<6=4>{I6f0>{ij?21<7?tH5g7?xhe>00;6<uG4d68ykd1i3:1=vF;e59~jg0e290:wE:j4:mf3e=83;pD9k;;|la2a<728qC8h:4}o`5a?6=9rB?i95rnc4e>5<6sA>n86sab6294?7|@=o?7p`m7083>4}O<l>0qcl82;295~N3m=1vbo9<:182M2b<2wen::50;3xL1c33tdi;84?:0yK0`2<ugh<:7>51zJ7a1=zfk=<6=4>{I6f0>{ij>21<7?tH5g7?xhe?00;6<uG4d68ykd0i3:1=vF;e59~jg1e290:wE:j4:mf2e=83;pD9k;;|la3a<728qC8h:4}o`4a?6=9rB?i95rnc5e>5<6sA>n86sab9294?7|@=o?7p`m8083>4}O<l>0qcl72;295~N3m=1vbo6<:182M2b<2wen5:50;3xL1c33tdi484?:0yK0`2<ugh3:7>51zJ7a1=zfk2<6=4>{I6f0>{ij121<7?tH5g7?xhe000;6<uG4d68ykd?i3:1=vF;e59~jg>e290:wE:j4:mf=e=83;pD9k;;|la<a<728qC8h:4}o`;a?6=9rB?i95rnc:e>5<6sA>n86sab8294?7|@=o?7p`m9083>4}O<l>0qcl62;295~N3m=1vbo7<:182M2b<2wen4:50;3xL1c33tdi584?:0yK0`2<ugh2:7>51zJ7a1=zfk3<6=4>{I6f0>{ij021<7?tH5g7?xhe100;6<uG4d68ykd>i3:1=vF;e59~jg?e290:wE:j4:mf<e=83;pD9k;;|la=a<728qC8h:4}o`:a?6=9rB?i95rnc;e>5<6sA>n86sab`294?7|@=o?7p`ma083>4}O<l>0qcln2;295~N3m=1vboo<:182M2b<2wenl:50;3xL1c33tdim84?:0yK0`2<ughj:7>51zJ7a1=zfkk<6=4>{I6f0>{ijh21<7?tH5g7?xhei00;6<uG4d68ykdfi3:1=vF;e59~jgge290:wE:j4:mfde=83;pD9k;;|~yEFDsmno6>=jd7:6`xFGJr:vLM^t}AB
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v deleted file mode 100644 index 4b7a31173..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_s6_512x36_2clk.v when simulating -// the core, fifo_s6_512x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_s6_512x36_2clk( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - empty, - rd_data_count, - wr_data_count); - - -input rst; -input wr_clk; -input rd_clk; -input [35 : 0] din; -input wr_en; -input rd_en; -output [35 : 0] dout; -output full; -output empty; -output [9 : 0] rd_data_count; -output [9 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(9), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan6"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(511), - .C_PROG_FULL_THRESH_NEGATE_VAL(510), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(10), - .C_RD_DEPTH(512), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(9), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(10), - .C_WR_DEPTH(512), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(9), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .EMPTY(empty), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .ALMOST_FULL(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .PROG_FULL(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.veo b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.veo deleted file mode 100644 index 766965d02..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_s6_512x36_2clk YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .empty(empty), - .rd_data_count(rd_data_count), // Bus [9 : 0] - .wr_data_count(wr_data_count)); // Bus [9 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_s6_512x36_2clk.v when simulating -// the core, fifo_s6_512x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xco b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xco deleted file mode 100644 index 4f40b8702..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.1 -# Date: Fri May 4 20:46:48 2012 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc6slx75 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = csg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_s6_512x36_2clk -CSET data_count=false -CSET data_count_width=9 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=511 -CSET full_threshold_negate_value=510 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=512 -CSET output_data_width=36 -CSET output_depth=512 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=10 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=10 -# END Parameters -GENERATE -# CRC: a4cd75c3 diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xise b/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xise deleted file mode 100644 index 9f43a161e..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk.xise +++ /dev/null @@ -1,392 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_s6_512x36_2clk.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_s6_512x36_2clk.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Autosignature Generation" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/> - <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/> - <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Clock Enable" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Input Limit (4-40)" xil_pn:value="32" xil_pn:valueState="default"/> - <property xil_pn:name="Collapsing Pterm Limit (3-56)" xil_pn:value="28" xil_pn:valueState="default"/> - <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Compile CPLD Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Compile uni9000 (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Default Powerup Value of Registers" xil_pn:value="Low" xil_pn:valueState="default"/> - <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/> - <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Multi-Threading par" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/> - <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Exhaustive Fit Mode" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/> - <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Function Block Input Limit (4-40)" xil_pn:value="38" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/> - <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Detailed Package Parasitics" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Power Data" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Fit Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/> - <property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/> - <property xil_pn:name="HDL Equations Style" xil_pn:value="Source" xil_pn:valueState="default"/> - <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/> - <property xil_pn:name="I/O Voltage Standard" xil_pn:value="LVCMOS18" xil_pn:valueState="default"/> - <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Template" xil_pn:value="Optimize Density" xil_pn:valueState="default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_s6_512x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_s6_512x36_2clk.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_s6_512x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Input and tristate I/O Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Keep Hierarchy CPLD" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/> - <property xil_pn:name="Logic Optimization" xil_pn:value="Density" xil_pn:valueState="default"/> - <property xil_pn:name="Macro Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/> - <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/> - <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/> - <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/> - <property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/> - <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/> - <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/> - <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other CPLD Fitter Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Programming Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Fit" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other Timing Report Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Output File Name" xil_pn:value="fifo_s6_512x36_2clk" xil_pn:valueState="default"/> - <property xil_pn:name="Output Slew Rate" xil_pn:value="Fast" xil_pn:valueState="default"/> - <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/> - <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/> - <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/> - <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="fifo_s6_512x36_2clk_map.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="fifo_s6_512x36_2clk_timesim.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="fifo_s6_512x36_2clk_synthesis.v" xil_pn:valueState="default"/> - <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="fifo_s6_512x36_2clk_translate.v" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Preserve Unused Inputs" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Advanced Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/> - <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/> - <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Retiming Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> - <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/> - <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/> - <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> - <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Fit" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> - <property xil_pn:name="Timing Report Format" xil_pn:value="Summary" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/> - <property xil_pn:name="Unused I/O Pad Termination Mode" xil_pn:value="Keeper" xil_pn:valueState="default"/> - <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/> - <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Fit" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Data Gate" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Direct Input for Input Registers" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Clocks" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Output Enables" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Global Set/Reset" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Location Constraints" xil_pn:value="Always" xil_pn:valueState="default"/> - <property xil_pn:name="Use Multi-level Logic Optimization" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/> - <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> - <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Use Timing Constraints" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/> - <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> - <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/> - <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="WYSIWYG" xil_pn:value="None" xil_pn:valueState="default"/> - <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/> - <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/> - <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/> - <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/> - <property xil_pn:name="XOR Preserve" xil_pn:value="true" xil_pn:valueState="default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_s6_512x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-05-04T13:46:49" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="F64CBD650BAE027D4131AE4B4B6DCBBE" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_s6_512x36_2clk_flist.txt deleted file mode 100644 index e72108931..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_flist.txt +++ /dev/null @@ -1,13 +0,0 @@ -# Output products list for <fifo_s6_512x36_2clk> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_s6_512x36_2clk.asy -fifo_s6_512x36_2clk.gise -fifo_s6_512x36_2clk.ngc -fifo_s6_512x36_2clk.v -fifo_s6_512x36_2clk.veo -fifo_s6_512x36_2clk.xco -fifo_s6_512x36_2clk.xise -fifo_s6_512x36_2clk_flist.txt -fifo_s6_512x36_2clk_readme.txt -fifo_s6_512x36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_s6_512x36_2clk_readme.txt deleted file mode 100644 index 21f058c0b..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_readme.txt +++ /dev/null @@ -1,51 +0,0 @@ -The following files were generated for 'fifo_s6_512x36_2clk' in directory -/home/matt/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_s6_512x36_2clk.asy: - Graphical symbol information file. Used by the ISE tools and some - third party tools to create a symbol representing the core. - -fifo_s6_512x36_2clk.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_512x36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_s6_512x36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_s6_512x36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_s6_512x36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_s6_512x36_2clk.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_s6_512x36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_s6_512x36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_s6_512x36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_s6_512x36_2clk_xmdf.tcl deleted file mode 100644 index 150807984..000000000 --- a/fpga/usrp2/coregen/fifo_s6_512x36_2clk_xmdf.tcl +++ /dev/null @@ -1,72 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_s6_512x36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_s6_512x36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_s6_512x36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_s6_512x36_2clk -} -# ::fifo_s6_512x36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_s6_512x36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk.asy -utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_s6_512x36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_s6_512x36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.ngc deleted file mode 100644 index b12d34d7c..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.4e -$3f24g<,[o}e~g`n;"2*413&;$>"9 > %10?*nhel%fmyz cnpfc`h(|dz$Sni fhdl[}jipV;=t<7P2bnh*kah92:?7=>=0593477339:=<95?8122?45<9'::>6?6:HLSQQ<cag6:47>11592=?IR\Y__6iazt=3;>5863;80>=:432006>5?l29x>=>?ff662(363=;n794FNQWW>uthoVof|ywPtipfwm:4294996:5IORVP?vugnUna}zv_ujqavnXizyn~y2<:1<11>2=AGZ^X7~}of]fiur~W}byi~fPndebp`:4294:h6:5IORVP?vugnUmyabPtipfwm:4294986:5IORVP?vugnUmyabPtipfwmYf{zoyx1=50?07?1<NFY__6}|`g^dvhiYs`{oxdR`jg`vf86<76=1?NI<>8:79KPRW]]0omyoPcnwmp92=87;i784@UURVP?tcWmkmRm`uov?0?699k1>6B[[PTV9swYci}kTob{at=694;2<=H3==68;;72:41=11<K?7;766595=D753?K?7;ONA395A7=0L=13>9?;;9CFB1=?MJL:74:491230>?780805;:497230>?1>0>054864:;EB<4<I980M<<4A308E62<I<<256O\YOA\V@A33K;9;>5MU3:8FPUXAGLD=6M;;BC;E7=DM880OEKLK^NJG@HTMV^R\H=4CMP:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II?4D59GF3@33MHI>>5KPN78@UTF8<1O\_O>5:FSVD423MZYM>:4F9:;6>@C;2LOO95IDBG7?CBDX=1MHIH<;GFS0>@CXL>0JK6?5:Dbhvc63N90KCJ>;H08M54<A880E?<4I2;8MKOS[]K_I>5FNW18MJD53EE=7AANDDF4?II@AJKG86BZT348HPR5WE>0@XZ<4:NVP10<D\^?SI84LTV7[I3<EZMDBn5BakmqR`ttafdh7@gaosTfvvohf:1E==:4N0237>H69:1E=?=4N010?K73;2D:9>5A1718J4143G;3?6@>929M655<F;;87C<=3:L176=I:=90B?8<;O0;7>H4::1E?>=4N270?K50;2D85>5A4018J1243G>>?6@;629M0<5<F<:87C;=3:L606=I=<80B;=4N760?K02;2D=:>5A6618J3>43G<2>6@83:L446=I?890B:<<;O507>H0<:1E;8=4N640?K10;2D<4>5A7808J=5<F1:87C6>3:L;66=I0:90B5:<;O::6>H>;2D2<>5A9018J<443G38?6@6629M=25<F0287C76f:LA[GSTX@DT\_A_S69MAQQHZB;0C?5@K09S0>VFZ]k0\D@PBTQJ@]d<X@DTNX]AALG0?UTB92[37_OB17Z2@3=TG\XHI>5\PN68P\VB;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2345YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89::S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?03]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?2^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp3456XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;=R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?010\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4567W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;=R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?03]Qavsk|88:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7<3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?6;463\:$kh!rg-dg}(ddbr$~iQnup\flh;;78;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT<?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ>219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^014>S7'nxm"h gbz-gim'{nT|cz}_ckm[6413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4566:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?2328Q5)`zo$yj"ilx/aoo})ulVzexQhc=2=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`848582_;#j|i.sd,cf~)keas#jPpovq[be;:78;7X> gsd-vc)`kq$h`fv re]sjqtXoj682<h4U1-dvc(un&mht#mcky-q`Zvi|{UloR>>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^02b>S7'nxm"h gbz-gim'{nT|cz}_fa\770<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7<3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8485>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1<1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:46;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX9;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX;;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>3:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2>>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8692?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:46;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ~hz9:;<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRv`r123573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ~hz9:;>??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2?>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>2:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:56;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag682?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ?219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^314>S7'nxm"h gbz-gim'~xT|cz}_ckm[7473\:$kh!rg-dg}(ddbr${Qnup\flhX;;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0105?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789;9:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012165=R8&myj#|i/fa{*fjlp&}yS}`{r^e`858582_;#j|i.sd,cf~)keas#z|Ppovq[be;978;7X> gsd-vc)`kq$h`fv ws]sjqtXoj692?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1=11g9V4*aun'xm#jmw.bnh|*quWyd~Ril_13e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]25c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[77a3\:$kh!rg-dg}(ddbr${Qnup\cfY4:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo595>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]360=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU:>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]160=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU8>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z6502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_00;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T>?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y4:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>?249V4*aun'xm#jmw.bnh|*quWyd~Ril_ymq4566:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWqey<=>=369V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYulVnhSdQndeqvf5678=9h7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$Aljk_sf\`fYnWhnoxl?012\g|:66:90Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}:<4:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos04543\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dz=?95Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp630<>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7=3=n;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:668;8n6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq84869:h0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>0:47502_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_10;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcT=?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY5:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^11<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS9=>;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoXe|r;<=<30?16?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?4;YT_89:7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\ip~78987=3=<;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoXe|r;<=<31?3277=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236979::;0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;692><4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?2=>012?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?7;553\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;;7;8:6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:90>0>_RU374=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236929;;1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5>5=>84U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?2;>0]PS54f3\:$kh!rg-dh5(ul&my=#|iwgv,VDKXZMUNBRHXFU31=>S7'nxm"h gm2-va)`z8$yjzh{/SCN[WC@G\^TIC?=b:W3+bta&{l$ka>!re-dv4(un~l#_OB_WCOMAYA_O^:=>=4U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe302>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce?55Z0.eqb+ta'nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId0/Jj474;2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo>>84U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe0.Mk5?3\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn9!D`>13:8Q5)`zo$yj"ic0/pg+bt6&{l|jy!jmqvz[cqa|Vli>:5Z0.eqb+ta'nf;"j gs3-vcqa|&of|ywPfvdw[l4b3\:$kh!rg-dh5(ul&my=#|iwgv,ahvsqVl|jyQf_np34565n2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^mq45679;?0Y=!hrg,qb*ak8'xo#j|>.sdtbq)r{lxTzlbfd3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTe>>4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?369V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUbSb|?012240YT_9987X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<<?:369V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUbSb|?012250YT_9997X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<?9<2:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVcTc>?01:;5c=R8&myj#|i/fn3*wb(zhgTzlbfd^dtbq443\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu110>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{9208Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7<3?>_HLU[54d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<0<257e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|32?326f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2<>032b>S7'nxm"h gm2-va)uxg~y#naznu>3:4`<]9%l~k }f.eo4+tc'{zex!lotlw8486n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:568l0Y=!hrg,qb*ak8'xo#~ats-`kphs4:4:i6[?/fpe*w`(oe:%~i!}povq+firf}U;=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T=<k4U1-dvc(un&mg<#|k/srmpw)dg|dS??j;T2,cw`)zo%l`= }d.psjqt(kfexR==2:W3+bta&{l$ka>!re-qtkru'je~byQk1=2=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:66;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7>3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><2<15>S7'nxm"h gm2-va)uxg~y#naznu]g5Z65;2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V:T=??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P1318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\5Z7592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V89?6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R<P1338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\775<]9%l~k }f.eo4+tc'{zex!lotlw[a7X;V;986[?/fpe*w`(oe:%~i!}povq+firf}Uecy>?000;?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkWohTe?;4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\m7e<]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTeRa}01236c=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUbSb|?01225=543\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQ`r123447?WZ];>k5Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j[jt789::8<<j;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[lYhz9:;<?8=e:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXg{:;<=;<2d9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWfx;<=>63528Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=Qly=3=05=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclV}ySio{a^alqkrXaVkoh=>?0^az8683:2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSz|Pd`vb[firf}UbSljk0123[f;;7;:8;5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vir0>0>1^QT415<]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmU|~Rjnt`]`kphsW`Ujhi>?01]{kw:668;??6[?/fpe*w`(oe:%{!hwea2*rbdmq~$Aljk_vp\`drfWje~byQf_`fg4567Wqey0?0>1518Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=Qwos>0:473?2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSz|Pd`vb[firf}UbSljk0123[}iu4:4:=R]X1558Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=Qwos>0:47X[^88>6[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~9329V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos34503\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUhu1?1389V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;97;:?l5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq85869:k0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=3=544a3\:$kh!rg-dh5(pz&m|hn?!weaf|q)caolT{Q}d^vnt969:o1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW98m7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U:>k5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS?<i;T2,cw`)zo%l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ<2g9V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_51;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]b`a67896:2>64U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeRokd1234949;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnWhno<=>?<2<2`>S7'nxm"h gm2-sw)uidU|~Rka_h317>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex4==;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu494:=RGAV^21g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?1100`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0?0>13a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3?>1g9V4*aun'xm#jb?.vp,suhsz&idycz30?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=3=5c=R8&myj#|i/fn3*rt(yd~"m`uov?6;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9599l1^<"i}f/pe+bj7&~x${}`{r.alqkrX88o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW8;n7X> gsd-vc)`d9$|~"ynup,gjsi|V8:i6[?/fpe*w`(oe:%{!xpovq+firf}U8>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2?>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?5;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64;49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1=1209V4*aun'xm#jb?.vp,suhsz&idyczPd0]364=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q<239V4*aun'xm#jb?.vp,suhsz&idyczPd3>3:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;97897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=1=64=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y7::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U;S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_000?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q<229V4*aun'xm#jb?.vp,suhsz&idyczPd3]0[4433\:$kh!rg-dh5(pz&}{by| cnwmpZhh|9:;=<84U1-dvc(un&gna"j`uu]j[5713\:$kh!rg-nah)cg|~TeR?>7:W3+bta&{l$ahc dnww[lY688=0Y=!hrg,qb*kbe&ndyyQf_0323>S7'nxm"h mdo,`jssW`U:><94U1-dvc(un&gna"j`uu]j[456?2_;#j|i.sd,i`k(lfSdQ>4058Q5)`zo$yj"cjm.flqqYnW8?:;6[?/fpe*w`(elg$hb{{_h]2241<]9%l~k }f.ofi*bh}}UbS<9>7:W3+bta&{l$ahc dnww[lY608<0Y=!hrg,qb*kbe&ndyyQf_335?P6(o{l%~k!bel-gkprXaV9::6[?/fpe*w`(elg$hb{{_h]753=R8&myj#|i/lgn+air|VcT9<84U1-dvc(un&gna"j`uu]j[3713\:$kh!rg-nah)cg|~TeR9>6:W3+bta&{l$ahc dnww[lY?9?1^<"i}f/pe+hcj'me~xRgP90g8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM03e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL335c=R8&myj#|i/lgn+bbj&mg$Aob_SCN547a3\:$kh!rg-nah)`ld$oa"C}al]QEH759o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ9:;m7X> gsd-vc)jmd%lh` km.OqehYUID;?=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=8?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@?91g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB163e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL3;5c=R8&myj#|i/lgn+bbj&mg$Aob_SCN5<7b3\:$kh!rg-nah)`ld$oa"C}al]QEH46m2_;#j|i.sd,i`k(omg%h`!Br`o\VDK49l1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ<8o0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE<;n7X> gsd-vc)jmd%lh` km.OqehYUID<:i6[?/fpe*w`(elg$kic!dl-NvdkXZHG<=h5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF4<k4U1-dvc(un&gna"ikm/fn+HtfeVXJA4<:;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?4;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7==0=6:W3+bta&{l$ahc geo-`h)X~hxbbikw01238479:?1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1?=>348Q5)`zo$yj"cjm.egi+bj'V|j~d`key2345:6;78=7X> gsd-vc)jmd%lh` km.]uewoillr;<=>315<12>S7'nxm"h mdo,cak)ld%Tzl|fneg{456748?5>;5Z0.eqb+ta'dof#jjb.eo,[sguagnnt=>?0=35:70<]9%l~k }f.ofi*ace'nf#Rxnrhlga}67896:;3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?5=85=2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0<0=5:W3+bta&{l$ahc geo-`h)X~hxbbikw01238785=2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0>0=5:W3+bta&{l$ahc geo-`h)X~hxbbikw01238185=2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;080=5:W3+bta&{l$ahc geo-`h)X~hxbbikw01238385=2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0:0=5:W3+bta&{l$ahc geo-`h)X~hxbbikw01238=85=2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;040>e:W3+bta&{l$ahc geo-`h)cg|~Te1>11g9V4*aun'xm#`kb/ffn*ak(lfSd2>0?3e?P6(o{l%~k!bel-d`h(ce&ndyyQf<03=5c=R8&myj#|i/lgn+bbj&mg$hb{{_h>26;7a3\:$kh!rg-nah)`ld$oa"j`uu]j84599o1^<"i}f/pe+hcj'nnf"ic dnww[l:6<7;m7X> gsd-vc)jmd%lh` km.flqqYn48?5=k5Z0.eqb+ta'dof#jjb.eo,`jssW`6::3?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0<911g9V4*aun'xm#`kb/ffn*ak(lfSd2>8?3f?P6(o{l%~k!bel-d`h(ce&ndyyQf<0<2a>S7'nxm"h mdo,cak)ld%ocxzPi=0=5`=R8&myj#|i/lgn+bbj&mg$hb{{_h>0:4c<]9%l~k }f.ofi*ace'nf#iazt^k?0;7b3\:$kh!rg-nah)`ld$oa"j`uu]j8086m2_;#j|i.sd,i`k(omg%h`!kotv\m9099l1^<"i}f/pe+hcj'nnf"ic dnww[l:068o0Y=!hrg,qb*kbe&moa#jb/emvpZo;07;n7X> gsd-vc)jmd%lh` km.flqqYn404:h6[?/fpe*w`(elg$kic!dl-gkprXaV::h6[?/fpe*w`(elg$kic!dl-gkprXaV;:i6[?/fpe*w`(elg$kic!dl-gkprXaV;;=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U:=<k4U1-dvc(un&gna"ikm/fn+air|VcT=??j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS<=>e:W3+bta&{l$ahc geo-`h)cg|~TeR?;1d9V4*aun'xm#`kb/ffn*ak(lfSdQ>50g8Q5)`zo$yj"cjm.egi+bj'me~xRgP173f?P6(o{l%~k!bel-d`h(ce&ndyyQf_052a>S7'nxm"h mdo,cak)ld%ocxzPi^3;5a=R8&myj#|i/lgn+bbj&mg$hb{{_h]15a=R8&myj#|i/lgn+bbj&mg$hb{{_h]05a=R8&myj#|i/lgn+bbj&mg$hb{{_h]75a=R8&myj#|i/lgn+bbj&mg$hb{{_h]65a=R8&myj#|i/lgn+bbj&mg$hb{{_h]55a=R8&myj#|i/lgn+bbj&mg$hb{{_h]45a=R8&myj#|i/lgn+bbj&mg$hb{{_h];5a=R8&myj#|i/lgn+bbj&mg$hb{{_h]:52=R8&myj#|i/scn[wc`g|~Tic?k;T2,cw`)zo%yylck.pg[wusWhyyij<?;T2,cw`)zo%yylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUyyQlol`2`>S7'nxm"h rrvahn)ulVxxxRm`mc32a>S7'nxm"h rrvahn)ulVxxxR|jg=2=5`=R8&myj#|i/sqwfim(zmUyyQ}ef>2:4c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$kh!rg-qwqdkc&xoS}{_sgd[57c3\:$kh!rg-qwqdkc&xoS}{_sgd[47c3\:$kh!rg-qwqdkc&xoS}{_sgd[77c3\:$kh!rg-qwqdkc&}yS}{_`qqab473\:$kh!rg-qwqdkc&}yS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5:5=h5Z0.eqb+ta'{ynae ws]qwqYumn6:2<j4U1-dvc(un&xxxobd/vp\vvrXzlmT<<j4U1-dvc(un&xxxobd/vp\vvrXzlmT=l5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33^IGG?5XE0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybccm4amolwqYbey~rn6ocmnqw[cskd?1imnezpe9aefmrxVgj~fk}3:aooa=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)e9geqgXkfex%:&d:fbpdYdg|d0=0i;ecweZeh}g~787>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)69gmk.60 <0hd`'2(48`lh/; <0hd`'4(48`lh/= <0hd`'6(48`lh/? <0hd`'8(48`lh/1 <0hd`30?58`lh;994<7iga<03=3>bnf5;92:5kio>27;1<l`d7=908;ekm8439?2nbb1?9>69gmk:6?730hd`31983:2=cag6:4384dhl?5;0<l`d7>384dhl?7;0<l`d78384dhl?1;0<l`d7:384dhl?3;0<l`d74384dhl?=;1<lf$='8;emvp-7.02ndyy&>0(:8`jss 8;"46j`uu*26,><lf$<=&8:flqq.6< 20hb{{(07*<>bh}}"::$64dnww,41.02ndyy&>8(58`jss ;#<7iazt)1*3>bh}}"?%:5kotv+1,1<lf$;'8;emvp-1.?2ndyy&7)69gkpr/1 =0hb{{<1<;?air|5;;255kotv?548?3me~x1?=>99gkpr;9:437iazt=37:==cg|~7=807;emvp971611ocxz316<b?air|5;36=07;emvp97?6>1ocxz31?58`jss4;4<7iazt=1=3>bh}}6?2:5kotv?1;1<lf0;08;emvp919?2ndyy27>69gkpr;1720iigi2oeg1>cjx}s8>6hffn]dakcui}eyS{:P3-"[mioip)ID^H.Heogqeqiu(8:%=#><159emciXpedsS<8w18]1gim4:2lbjbQwloz\53~61V8h`f"iigm\c`hbzh~d~Rx;_2.MKKC+FFDN?oj4fhdl[}jipV;=t<7P2bnh(coagVmnbh|ntnp\r1Y4$riTdl}Piov\gim:8%iTdl}Pssqw95*dW{nTjk~=0.`[mgtW{nThlzn_bmvjq;6$jUoecQxievk94?+kVbjRy}_ecweZeh}g~6=!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>4)eXlfSzgkti?2=)eXezmdbRxnl<3/gZtcWmo{xe3>6-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbjR||t<3/gZbf|hUhcx`{_vkgpm;2$jUcm~Qxr^c`o86+kVxiRklc<2/gZehedeeSnb`cj?3(fYpzVkhgRb`w<2/gZtcWyd~Ryfduj>0)eX}zoTjzh{_ecweZeh}g~6=!mPh`q\eikh{}Una}zv=1.`[wbXlh~jSnaznu]tmaro5<&hSbxjrgnlsZjh4:'oRy}_qlwvZqnl}b68!mPpsmd[`kw|pUu}k20-a\swYci}kTob{at^uj`qn:=%iT|kco`f\v`at58&hSiazt^pppZpfd4:'oRfns^fbpdYdg|d1="l_qplcZ`rdeUdk|h^lfcdrbWkg1<:#c^uq[acw|a7::!mPpsmd[`kw|pUdk|h^lfcdrbWkg18"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`0:#c^jbwZpfd`n6<!mPpsmd[cskdV~c~h}g_`qpawrX~hf6=8"lolrlj`hsWgkfi0hffn]{hk~X9?r:5R<llj.`[sgkamUgcz3?,b]svlkXn`ldSywe<726}51$jU{~biPftno[qwm4:'oRcjmnpz[qwm48'q?k4fhdl[}jipV;=t<7P2bnh[coagVmnbh|ntnp\r1Y4WqyS<:4ftno3>oi|Vigg55agb`vmib?3f|n~kb`w`9svjaXmdzu<:4psmd[`kw|pUdk|h)2*51=wzfmTi`~{y^vkv`uo 8#:86~}of]fiur~W}byi~f'2(37?uthoVof|ywPtipfwm.4!8<0|ah_dosp|Ys`{oxd1=50?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdzuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5rne\ahvsqV~c~h}g_`qpawr/; ;o7}|`g^gntqX|axneQnsrgqp95=87;i7}|`g^gntqX|axneQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3g?uthoVof|ywPtipfwmYimnki1=50?;8twi`Wog`<=4psmd[cskdV~c~h}g(1+27>vugnUmyabPtipfwm.6!890|ah_gwohZrozlyc$?'>3:rqkbYa}efTxe|jsi*0-43<x{elSk{cl^vkv`uo4:0;2<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0a8twi`Wog`Rzgrdqk[dutm{~7?7>11`9svjaXn|fgSyf}erj\j`af|l";%<o4psmd[cskdV~c~h}g_ogdeqc/9 ;j7}|`g^dvhiYs`{oxdR`jg`vf,7/6i2zycjQiumn\pmtb{aUeijo{e)1*5f=wzfmTjxbc_ujqavnXflmjxh2<:1<5?wbXkea:<6|k_ecweZeh}g~#<$??;sf\`drfWje~by&>)028vaYci}kTob{at)0*55=ulVnjxlQlotlw,6/682xoSio{a^alqkr/< ;;7jPd`vb[firf}6;2<>4re]geqgXkfex1?1119q`Zbf|hUhcx`{<3<24>tcWmkmRm`uov?7;753{nThlzn_bmvjq:3294:<6|k_ecweZeh}g~783;4re]fj3=ulVxxx>5}su58wgosm{x?7~||t59wvpc>3|doihcov78rdjnl?1|~Rolk79tvZekc8:0{Qkauc\gjsi|!:"==5xr^fbpdYdg|d$<'>0:uq[agsiVidycz'2(33?rtXlh~jSnaznu*0-46<{UomyoPcnwmp-2.991|~Rjnt`]`kphs494:<6y}_ecweZeh}g~7=3??;vp\`drfWje~by2=>028swYci}kTob{at=1=57=pzVnjxlQlotlw81<768:0{Qkauc\gjsi|5>596y}_dl5?rtXzz~vLM~8d`9CD}7=N3>1=v];0;0;7?>=9:82<<h5a81gk45>3;0b?<8:59'672=:;:0q^=j:3:0>=<6;;3;=k4n92f8W0c=:1i1<7?<2822b?g>;l1X?h4=8b83>45519;m6l7<f:f1<3<7280:w^:?:3:0>=<6;;3;=k4n92f8rQd1290:6<4jezQ74?4?;321=><600d9e<5c3-8:h7;i;W011?4|}?=1=6{98;28y!d72o1i>5850;14>6<4?rB9=o5U39874g=900j644r$c:96=0<,;886?6:;h0ae?6=3f8h47>5$c096fb<fk;1<65`2b594?"e:38hh6`m1;38?j4d>3:1(o<52bf8jg7=:21d>n;50;&a6?4dl2di=7=4;n0`0?6=,k81>nj4nc390>=h:k91<7*m2;0a<>he93:07b<m2;29 g4=:k20bo?51:9l6g7=83.i>7<m8:la5?4<3f8i<7>5$c096g><fk;1?65`2`d94?"e:38i46`m1;68?l4dn3:17b<7a;29?j4?<3:17d<l3;29?l4ek3:17b<96;29 g4=:>20bo?50:9l633=83.i>7<88:la5?7<3f8=87>5$c0962><fk;1>65`27194?"e:38<46`m1;18?j41:3:1(o<526:8jg7=<21d>;?50;&a6?4002di=7;4;n054?6=,k81>:64nc392>=h:<l1<7*m2;04<>he93=07b<:e;29 g4=:>20bo?58:9l63c=83.i>7<88:la5??<3f8=h7>5$c0962><fk;1m65`27a94?"e:38<46`m1;`8?j41j3:1(o<526:8jg7=k21d>;o50;&a6?4002di=7j4;n05=?6=,k81>:64nc39a>=h:?21<7*m2;04<>he93l07b<97;29 g4=:>20bo?51198k73c290/n?4=799mf4<6921d>8m50;&a6?4002di=7?=;:k17c<72-h96?;>;o`2>5=<a;9n6=4+b38114=ij80:76g=3e83>!d52;?:7cl>:398m75d290/n?4=509mf4<432c9?o4?:%`1>7363gh:6954i31b>5<#j;099<5ab086?>o5;00;6)l=:372?kd62?10e?=7:18'f7<5=81en<48;:k172<72-h96?;>;o`2>==<a;><6=4+b38114=ij80276g=4783>!d52;?:7cl>:`98m722290/n?4=509mf4<e32c9894?:%`1>7363gh:6n54i360>5<#j;099<5ab08g?>o5<;0;6)l=:372?kd62l10e?:>:18'f7<5=81en<4i;:k105<72-h96?;>;o`2>46<3`88:7>5$c09607<fk;1=<54i316>5<#j;099<5ab0826>=n:ho1<75m20a94?7=83:pD??m;%`;>77d3fkm6=44}c77>5<6290;wE<>b:&a<?333f?86=44}c14>5<1=3n86hktH33a?_5?28<p=?4>a;3:>40=910:87o5108:>43=9:0:47?n:`82=?75200::7?::06956<693w/n54=889'1f<2:2.8o7<77:&0b?4?02.jn7oj;h0af?6=3f82i7>5;h0aa?6=3f8947>5;n0`5?6=3`89n7>5;h0:3?6=,k81>464nc394>=n:0<1<7*m2;0:<>he93;07d<65;29 g4=:020bo?52:9j6<2=83.i>7<68:la5?5<3`8im7>5;n0;`?6=3f8h47>5$c096fb<fk;1<65`2b594?"e:38hh6`m1;38?j4d>3:1(o<52bf8jg7=:21d>n;50;&a6?4dl2di=7=4;n0`0?6=,k81>nj4nc390>=h:k91<7*m2;0a<>he93:07b<m2;29 g4=:k20bo?51:9l6g7=83.i>7<m8:la5?4<3f8i<7>5$c096g><fk;1?65`2`d94?"e:38i46`m1;68?l4fk3:1(o<52`f8jg7=821b>ll50;&a6?4fl2di=7?4;h0be?6=,k81>lj4nc396>=n:h31<7*m2;0b`>he93907d<lf;29?j4493:1(o<52218jg7=821d>>>50;&a6?44;2di=7?4;n01b?6=,k81>>=4nc396>=h:;o1<7*m2;007>he93907b<=d;29 g4=::90bo?54:9l6=g=831d>5:50;9j6d4=83.i>7<n3:la5?6<3`8j=7>5$c096d5<fk;1=65f2`294?"e:38j?6`m1;08?l4>n3:1(o<52`18jg7=;21b>n=50;9j6=d=831b>?m50;9j6a6=831d>oj50;9l6f4=831d>?750;9l6f6=831b>om50;9l630=83.i>7<88:la5?6<3f8=97>5$c0962><fk;1=65`27694?"e:38<46`m1;08?j41;3:1(o<526:8jg7=;21d>;<50;&a6?4002di=7:4;n055?6=,k81>:64nc391>=h:?:1<7*m2;04<>he93<07b<:f;29 g4=:>20bo?57:9l60c=83.i>7<88:la5?><3f8=i7>5$c0962><fk;1565`27f94?"e:38<46`m1;c8?j41k3:1(o<526:8jg7=j21d>;l50;&a6?4002di=7m4;n05e?6=,k81>:64nc39`>=h:?31<7*m2;04<>he93o07b<98;29 g4=:>20bo?5f:9l631=83.i>7<88:la5?7732e99i4?:%`1>71?3gh:6<?4;n06g?6=,k81>:64nc3957=<a;396=4+b381=6=ij80;76g=9083>!d52;387cl>:098m7?7290/n?4=929mf4<532c94k4?:%`1>7?43gh:6>54i31e>5<#j;099<5ab083?>o5;l0;6)l=:372?kd62810e?=k:18'f7<5=81en<4=;:k17f<72-h96?;>;o`2>6=<a;9i6=4+b38114=ij80?76g=3`83>!d52;?:7cl>:498m75>290/n?4=509mf4<132c9?54?:%`1>7363gh:6:54i314>5<#j;099<5ab08;?>o5<>0;6)l=:372?kd62010e?:9:18'f7<5=81en<4n;:k100<72-h96?;>;o`2>g=<a;>?6=4+b38114=ij80h76g=4283>!d52;?:7cl>:e98m725290/n?4=509mf4<b32c98<4?:%`1>7363gh:6k54i363>5<#j;099<5ab0824>=n::<1<7*m2;065>he93;:76g=3483>!d52;?:7cl>:008?l45i3:17d<n7;29 g4=:h20bo?50:9j6d0=83.i>7<n8:la5?7<3`8j97>5$c096d><fk;1>65f2`694?"e:38j46`m1;18?l4>k3:1(o<528f8jg7=821b>4l50;&a6?4>l2di=7?4;h0:e?6=,k81>4j4nc396>=n:031<7*m2;0:`>he93907b<7e;29?j44<3:17d<ne;29?g45:3:1=7>50z&a<?333A89=6F=1c9l16<722wi>=650;194?6|,k218l5G2338L77e3A9>7)89:3`e?!3f2;1b?44?::k75?6=3fh?6=44}c027?6=;3:1<v*m8;6b?M4592B9=o5G349'23<5jo1/9l4=;h1:>5<<a=;1<75`b583>>{e:9=1<7=50;2x g>=<h1C>??4H33a?M523-<=6?li;%7b>7=n;00;66g;1;29?jd32900qo<>1;291?6=8r.i47;?;I015>N59k1C?85+6781fc=#=h097d=6:188m6d=831b8<4?::ka7?6=3fh?6=44}c026?6=;3:1<v*m8;6b?M4592B9=o5G349'23<5jo1/9l4=;h1:>5<<a=;1<75`b583>>{e:9<1<7;50;2x g>==91C>??4H33a?M523-<=6?li;%7b>7=n;00;66g<b;29?l262900eo=50;9lf1<722wi><>50;694?6|,k218k5G2338L77e3-?j6?5f3883>>o393:17dl<:188kg2=831vn?>i:187>5<7s-h369h4H302?M46j2.>m7<4i2;94?=n<80;66gm3;29?jd32900qo<?e;290?6=8r.i47:i;I015>N59k1/9l4=;h1:>5<<a=;1<75fb283>>ie<3:17pl=1883>1<729q/n54;f:J164=O:8h0(8o52:k0=?6=3`>:6=44ic194?=hj=0;66sm20:94?2=83:p(o654g9K677<@;;i7);n:39j7<<722c?=7>5;h`0>5<<gk>1<75rb01a>5<3290;w)l7:5d8L7463A8:n6*:a;58m6?=831b8<4?::ka7?6=3fh?6=44}c32f?6==3:1<v*m8;6g?M4592B9=o5+5`81?l5>2900e>j50;9j04<722ci?7>5;n`7>5<<uk;:o7>55;294~"e03>o7E<=1:J15g=#=h097d=6:188m6b=831b8<4?::ka7?6=3fh?6=44}c32`?6==3:1<v*m8;6g?M4592B9=o5+5`81?l5>2900e>j50;9j04<722ci?7>5;n`7>5<<uk;:i7>55;294~"e03>o7E<=1:J15g=#=h097d=6:188m6b=831b8<4?::ka7?6=3fh?6=44}c32b?6==3:1<v*m8;6g?M4592B9=o5+5`81?l5>2900e>j50;9j04<722ci?7>5;n`7>5<<uk;>;7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c36=?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;>n7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c36`?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;==7>54;294~"e03>m7E<=1:J15g=#=h097d=6:188m17=831bn>4?::ma0?6=3th::=4?:583>5}#j10?j6F=209K64d<,<k1>6g<9;29?l262900eo=50;9lf1<722wi=4k50;794?6|,k218n5G2338L77e3-?j6?5f3883>>o4j3:17d=k:188m17=831dn94?::a5d6=83?1<7>t$c:90f=O:;;0D??m;%7b>7=n;00;66g<b;29?l5c2900e9?50;9lf1<722wi=l<50;794?6|,k218n5G2338L77e3-?j6?5f3883>>o4j3:17d=k:188m17=831dn94?::a5d5=83?1<7>t$c:90f=O:;;0D??m;%7b>7=n;00;66g<b;29?l5c2900e9?50;9lf1<722wi=4750;794?6|,k218n5G2338L77e3-?j6?5f3883>>o4j3:17d=k:188m17=831dn94?::a5<>=83?1<7>t$c:90f=O:;;0D??m;%7b>7=n;00;66g<b;29?l5c2900e9?50;9lf1<722wi=4950;794?6|,k218n5G2338L77e3-?j6?5f3883>>o4j3:17d=k:188m17=831dn94?::a5<0=83?1<7>t$c:915=O:;;0D??m;%7b>2=n;00;66g<b;29?l262900eo=50;9lf1<722wi=:750;694?6|,k218o5G2338L77e3-?j6?5f3883>>o4l3:17d:>:188kg2=831vn<9n:187>5<7s-h369l4H302?M46j2.>m7<4i2;94?=n;m0;66g;1;29?jd32900qo?8b;290?6=8r.i47:m;I015>N59k1/9l4=;h1:>5<<a:n1<75f4083>>ie<3:17pl>7b83>1<729q/n54;b:J164=O:8h0(8o52:k0=?6=3`9o6=44i5394?=hj=0;66sm16f94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rb0:f>5<2290;w)l7:5a8L7463A8:n6*:a;08m6?=831b?o4?::k0`?6=3`>:6=44oc694?=zj82m6=4::183!d?2<:0D?<>;I02f>"2i3=0e>750;9j7g<722c?=7>5;h`0>5<<gk>1<75rb0;3>5<2290;w)l7:5a8L7463A8:n6*:a;08m6?=831b?o4?::k0`?6=3`>:6=44oc694?=zj83:6=4::183!d?2=i0D?<>;I02f>"2i380e>750;9j7g<722c8h7>5;h62>5<<gk>1<75rb06e>5<2290;w)l7:428L7463A8:n6*:a;58m6?=831b?o4?::k75?6=3`h86=44oc694?=zj8?96=4::183!d?2=i0D?<>;I02f>"2i380e>750;9j7g<722c8h7>5;h62>5<<gk>1<75rb073>5<2290;w)l7:5a8L7463A8:n6*:a;08m6?=831b?o4?::k0`?6=3`>:6=44oc694?=zj8?:6=4::183!d?2=i0D?<>;I02f>"2i380e>750;9j7g<722c8h7>5;h62>5<<gk>1<75rbba94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbb`94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbbc94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbb;94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbg094?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbg394?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbg294?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbdd94?2=83:p(o654c9K677<@;;i7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rb02g>5<3290;w)l7:5`8L7463A8:n6*:a;08m6?=831b?i4?::k75?6=3fh?6=44}c33g?6=<3:1<v*m8;6a?M4592B9=o5+5`81?l5>2900e>j50;9j04<722ei87>5;|`24g<72=0;6=u+b987f>N5:81C><l4$4c96>o413:17d=k:188m17=831dn94?::a55g=83>1<7>t$c:90g=O:;;0D??m;%7b>7=n;00;66g<d;29?l262900co:50;9~f`1=83>1<7>t$c:90g=O:;;0D??m;%7b>7=n;00;66g<d;29?l262900co:50;9~f`0=83>1<7>t$c:90g=O:;;0D??m;%7b>7=n;00;66g<d;29?l262900co:50;9~f`3=83>1<7>t$c:90g=O:;;0D??m;%7b>7=n;00;66g<d;29?l262900co:50;9~f`2=83>1<7>t$c:90g=O:;;0D??m;%7b>7=n;00;66g<d;29?l262900co:50;9~f462290?6=4?{%`;>1d<@;8:7E<>b:J01>"1>38ij6*:a;08m6?=831b?i4?::k75?6=3fh?6=44}c330?6=<3:1<v*m8;6a?M4592B9=o5G349'23<5jo1/9l4=;h1:>5<<a:n1<75f4083>>ie<3:17pl>0283>1<729q/n54;b:J164=O:8h0D>;4$7496g`<,<k1>6g<9;29?l5c2900e9?50;9lf1<722wi==<50;694?6|,k218o5G2338L77e3A9>7)89:3`e?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjml1<7:50;2x g>=<k1C>??4H33a?M523-<=6?li;%7b>7=n;00;66g<d;29?l262900co:50;9~fac=83>1<7>t$c:90g=O:;;0D??m;I16?!012;hm7);n:39j7<<722c8h7>5;h62>5<<gk>1<75rbef94?2=83:p(o654c9K677<@;;i7E=:;%45>7da3-?j6?5f3883>>o4l3:17d:>:188kg2=831vnim50;694?6|,k218o5G2338L77e3A9>7)89:3`e?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjm=1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjm<1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjm?1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjm>1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjon1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjoi1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjoh1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zjok1<7:50;2x g>=<k1C>??4H33a?!3f2;1b?44?::k0`?6=3`>:6=44oc694?=zj8986=4;:183!d?2=h0D?<>;I02f>"2i380e>750;9j7a<722c?=7>5;n`7>5<<uk;8<7>54;294~"e03>i7E<=1:J15g=#=h097d=6:188m6b=831b8<4?::ma0?6=3th:?l4?:583>5}#j10?n6F=209K64d<,<k1>6g<9;29?l5c2900e9?50;9lf1<722wi=>950;694?6|,k218k5G2338L77e3-?j6:5f3883>>o393:17dl<:188kg2=831vn<=9:187>5<7s-h369h4H302?M46j2.>m794i2;94?=n<80;66gm3;29?jd32900qo?i2;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7a;3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?id;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7am3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?if;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg4783:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo<?1;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg47:3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo<?3;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg47<3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?i4;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7a=3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?i6;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7a?3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?i8;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7a13:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?ia;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7aj3:197>50z&a<?2d3A89=6F=1c9'1d<53`926=44i2`94?=n;m0;66g;1;29?jd32900qo?ic;291?6=8r.i47:l;I015>N59k1/9l4=;h1:>5<<a:h1<75f3e83>>o393:17bl;:188yg7d=3:1h7>50z&a<?d23A89=6F=1c9j75<722c8=7>5;h11>5<<a:91<75f4083>>o3=3:17d:9:188m11=831b854?::k15`<722c9=k4?::ma3?6=3f>?6=44}c3a=?6=l3:1<v*m8;`6?M4592B9=o5f3183>>o493:17d==:188m65=831b8<4?::k71?6=3`>=6=44i5594?=n<10;66g=1d83>>o59o0;66am7;29?j232900qo?l4;29`?6=8r.i47l:;I015>N59k1b?=4?::k05?6=3`996=44i2194?=n<80;66g;5;29?l212900e9950;9j0=<722c9=h4?::k15c<722ei;7>5;n67>5<<uk;h:7>5d;294~"e03h>7E<=1:J15g=n;90;66g<1;29?l552900e>=50;9j04<722c?97>5;h65>5<<a==1<75f4983>>o59l0;66g=1g83>>ie?3:17b:;:188yg7d?3:1h7>50z&a<?d23A89=6F=1c9j75<722c8=7>5;h11>5<<a:91<75f4083>>o3=3:17d:9:188m11=831b854?::k15`<722c9=k4?::ma3?6=3f>?6=44}c3`e?6=l3:1<v*m8;`6?M4592B9=o5f3183>>o493:17d==:188m65=831b8<4?::k71?6=3`>=6=44i5594?=n<10;66g=1d83>>o59o0;66am7;29?j232900qo?l8;29`?6=8r.i47l:;I015>N59k1b?=4?::k05?6=3`996=44i2194?=n<80;66g;5;29?l212900e9950;9j0=<722c9=h4?::k15c<722ei;7>5;n67>5<<uk;h57>5d;294~"e03h>7E<=1:J15g=n;90;66g<1;29?l552900e>=50;9j04<722c?97>5;h65>5<<a==1<75f4983>>o59l0;66g=1g83>>ie?3:17b:;:188yg7dj3:1h7>50z&a<?d23A89=6F=1c9j75<722c8=7>5;h11>5<<a:91<75f4083>>o3=3:17d:9:188m11=831b854?::k15`<722c9=k4?::ma3?6=3f>?6=44}c3ae?6=l3:1<v*m8;`6?M4592B9=o5f3183>>o493:17d==:188m65=831b8<4?::k71?6=3`>=6=44i5594?=n<10;66g=1d83>>o59o0;66am7;29?j232900qo?md;29`?6=8r.i47l:;I015>N59k1b?=4?::k05?6=3`996=44i2194?=n<80;66g;5;29?l212900e9950;9j0=<722c9=h4?::k15c<722ei;7>5;n67>5<<uk;in7>5d;294~"e03h>7E<=1:J15g=n;90;66g<1;29?l552900e>=50;9j04<722c?97>5;h65>5<<a==1<75f4983>>o59l0;66g=1g83>>ie?3:17b:;:188yg7ek3:1h7>50z&a<?d23A89=6F=1c9j75<722c8=7>5;h11>5<<a:91<75f4083>>o3=3:17d:9:188m11=831b854?::k15`<722c9=k4?::ma3?6=3f>?6=44}c3aa?6=l3:1<v*m8;`6?M4592B9=o5f3183>>o493:17d==:188m65=831b8<4?::k71?6=3`>=6=44i5594?=n<10;66g=1d83>>o59o0;66am7;29?j232900qo?mf;29`?6=8r.i47l:;I015>N59k1b?=4?::k05?6=3`996=44i2194?=n<80;66g;5;29?l212900e9950;9j0=<722c9=h4?::k15c<722ei;7>5;n67>5<<uk;h<7>5d;294~"e03h>7E<=1:J15g=n;90;66g<1;29?l552900e>=50;9j04<722c?97>5;h65>5<<a==1<75f4983>>o59l0;66g=1g83>>ie?3:17b:;:188yg7d93:1h7>50z&a<?d23A89=6F=1c9j75<722c8=7>5;h11>5<<a:91<75f4083>>o3=3:17d:9:188m11=831b854?::k15`<722c9=k4?::ma3?6=3f>?6=44}c3`6?6=l3:1<v*m8;`6?M4592B9=o5f3183>>o493:17d==:188m65=831b8<4?::k71?6=3`>=6=44i5594?=n<10;66g=1d83>>o59o0;66am7;29?j232900qo?l3;29`?6=8r.i47l:;I015>N59k1b?=4?::k05?6=3`996=44i2194?=n<80;66g;5;29?l212900e9950;9j0=<722c9=h4?::k15c<722ei;7>5;n67>5<<uk;i<7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3bb?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;ji7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3b`?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;jo7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3bf?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;jm7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3b=?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;j47>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3a<?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;i;7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3a2?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;i97>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3a0?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;i?7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3a6?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;i=7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3b3?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;j:7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c021?6=;3:1<v*m8;43?M4592B9=o5+5`824>o2>3:17d;8:188kdb=831vn?>n:180>5<7s-h36;>4H302?M46j2.>m7??;h75>5<<a<=1<75`ae83>>{e91=1<7=50;2x g>=>91C>??4H33a?!3f28i0e8850;9j12<722ejh7>5;|`233<72:0;6=u+b9854>N5:81C><l4$4c95f=n=?0;66g:7;29?jgc2900qo?;8;297?6=8r.i478?;I015>N59k1/9l4>c:k62?6=3`?<6=44o`f94?=zjj>1<7=50;2x g>=>91C>??4H33a?!3f28i0e8850;9j12<722ejh7>5;|``7?6=;3:1<v*m8;43?M4592B9=o5+5`82g>o2>3:17d;8:188kdb=831vnn<50;194?6|,k21:=5G2338L77e3-?j6<m4i4494?=n=>0;66and;29?xdem3:1?7>50z&a<?073A89=6F=1c9'1d<6k2c>:7>5;h74>5<<ghn1<75rbcf94?5=83:p(o65619K677<@;;i7);n:0a8m00=831b9:4?::mb`?6=3thio7>53;294~"e03<;7E<=1:J15g=#=h0:o6g:6;29?l302900clj50;9~f455290?6=4?{%`;>34<@;8:7E<>b:&6e?443`?=6=44i4594?=n=10;66and;29?xd6010;694?:1y'f=<1:2B9><5G20`8 0g=:81b9;4?::k63?6=3`?36=44o`f94?=zj8>26=4;:183!d?2?80D?<>;I02f>"2i38:7d;9:188m01=831b954?::mb`?6=3th:?44?:483>5}#j10=?6F=209K64d<,<k1?l5f5783>>o2?3:17d;7:188m0?=831dmi4?::a5=?=83?1<7>t$c:926=O:;;0D??m;%7b>74<a<<1<75f5683>>o203:17d;6:188kdb=831vn<:n:186>5<7s-h36;=4H302?M46j2.>m7<=;h75>5<<a<=1<75f5983>>o213:17bok:188yg74=3:197>50z&a<?043A89=6F=1c9'1d<582c>:7>5;h74>5<<a<21<75f5883>>ifl3:17pl>2d83>1<729q/n5492:J164=O:8h0(8o5e:k62?6=3`?<6=44i4:94?=him0;66sm16594?3=83:p(o65629K677<@;;i7);n:0`8m00=831b9:4?::k6<?6=3`?26=44o`f94?=zj82:6=4<:183!d?2?:0D?<>;I02f>"2i3h0e8850;9j12<722ejh7>5;|`26a<72<0;6=u+b9857>N5:81C><l4$4c9`>o2>3:17d;8:188m0>=831b944?::mb`?6=3th:>k4?:283>5}#j10=<6F=209K64d<,<k1=n5f5783>>o2?3:17bok:188yg70;3:1?7>50z&a<?073A89=6F=1c9'1d<502c>:7>5;h74>5<<ghn1<75rb037>5<4290;w)l7:728L7463A8:n6*:a;0;?l312900e8950;9lea<722wink4?:283>5}#j10=<6F=209K64d<,<k1=n5f5783>>o2?3:17bok:188ygd>29086=4?{%`;>36<@;8:7E<>b:&6e?7d3`?=6=44i4594?=him0;66sm10c94?3=83:p(o65629K677<@;;i7);n:058m00=831b9:4?::k6<?6=3`?26=44o`f94?=zj8>;6=4::183!d?2?90D?<>;I02f>"2i38>7d;9:188m01=831b954?::k6=?6=3fko6=44}c375?6==3:1<v*m8;40?M4592B9=o5+5`81e>o2>3:17d;8:188m0>=831b944?::mb`?6=3th::;4?:483>5}#j10=?6F=209K64d<,<k1>l5f5783>>o2?3:17d;7:188m0?=831dmi4?::a531=83?1<7>t$c:926=O:;;0D??m;%7b>7g<a<<1<75f5683>>o203:17d;6:188kdb=831vn<87:186>5<7s-h36;=4H302?M46j2.>m7<n;h75>5<<a<=1<75f5983>>o213:17bok:188yg71=3:197>50z&a<?043A89=6F=1c9'1d<312c>:7>5;h74>5<<a<21<75f5883>>ifl3:17pl>3e83>0<729q/n5493:J164=O:8h0(8o52`9j13<722c>;7>5;h7;>5<<a<31<75`ae83>>{e9?o1<7;50;2x g>=>:1C>??4H33a?!3f2;n0e8850;9j12<722c>47>5;h7:>5<<ghn1<75rb007>5<2290;w)l7:718L7463A8:n6*:a;18m00=831b9:4?::k6<?6=3`?26=44o`f94?=zjj:1<7:50;2x g>=>;1C>??4H33a?!3f2;h0e8850;9j12<722c>47>5;ncg>5<<ukhj6=4;:183!d?2?80D?<>;I02f>"2i38i7d;9:188m01=831b954?::mb`?6=3th:>;4?:483>5}#j10=?6F=209K64d<,<k1>n5f5783>>o2?3:17d;7:188m0?=831dmi4?::a57e=83?1<7>t$c:926=O:;;0D??m;%7b>1c<a<<1<75f5683>>o203:17d;6:188kdb=831vn<<m:186>5<7s-h36;=4H302?M46j2.>m784i4494?=n=>0;66g:8;29?l3>2900clj50;9~f40d290>6=4?{%`;>35<@;8:7E<>b:&6e?253`?=6=44i4594?=n=10;66g:9;29?jgc2900qo?9b;297?6=8r.i478?;I015>N59k1/9l4m;h75>5<<a<=1<75`ae83>>{e9;91<7;50;2x g>=>:1C>??4H33a?!3f2<1b9;4?::k63?6=3`?36=44i4;94?=him0;66sm16294?3=83:p(o65629K677<@;;i7);n:0g8m00=831b9:4?::k6<?6=3`?26=44o`f94?=zj8=:6=4::183!d?2?90D?<>;I02f>"2i39?7d;9:188m01=831b954?::k6=?6=3fko6=44}c35b?6==3:1<v*m8;40?M4592B9=o5+5`802>o2>3:17d;8:188m0>=831b944?::mb`?6=3th::94?:583>5}#j10=>6F=209K64d<,<k1>95f5783>>o2?3:17d;7:188kdb=831vn<=j:186>5<7s-h36;=4H302?M46j2.>m7;>;h75>5<<a<=1<75f5983>>o213:17bok:188yg7513:197>50z&a<?043A89=6F=1c9'1d<3;2c>:7>5;h74>5<<a<21<75f5883>>ifl3:17pl>2983>0<729q/n5493:J164=O:8h0(8o51e9j13<722c>;7>5;h7;>5<<a<31<75`ae83>>{e9?n1<7;50;2x g>=>:1C>??4H33a?!3f2;o0e8850;9j12<722c>47>5;h7:>5<<ghn1<75rbb394?3=83:p(o65629K677<@;;i7);n:0d8m00=831b9:4?::k6<?6=3`?26=44o`f94?=zjkh1<7;50;2x g>=>:1C>??4H33a?!3f28l0e8850;9j12<722c>47>5;h7:>5<<ghn1<75rb003>5<3290;w)l7:708L7463A8:n6*:a;a8m00=831b9:4?::k6<?6=3fko6=44}c3b0?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;>o7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c3:b?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;j=7>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c36a?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;>47>55;294~"e03>h7E<=1:J15g=#=h097d=6:188m6d=831b?i4?::k75?6=3fh?6=44}c36e?6==3:1<v*m8;6`?M4592B9=o5+5`81?l5>2900e>l50;9j7a<722c?=7>5;n`7>5<<uk;3:7>52;294~"e03?i7E<=1:J15g=n=<0;66and;29?xd6<>0;6?4?:1y'f=<2j2B9><5G20`8m03=831dmi4?::a54?=83<1<7>t$c:921=O:;;0D??m;%7b>4d<a<<1<75f5683>>o203:17d;6:188k0b=831dmi4?::a540=8391<7>t$c:924=O:;;0D??m;%7b>7><a<<1<75f5683>>i2l3:17pl>7483>3<729q/n5494:J164=O:8h0(8o5269j13<722c>;7>5;h7;>5<<a<31<75`5e83>>ifl3:17pl>1983>3<729q/n5494:J164=O:8h0(8o5279j13<722c>;7>5;h7;>5<<a<31<75`5e83>>ifl3:17pl>6283>0<729q/n5495:J164=O:8h0(8o54:k62?6=3`?<6=44i4:94?=n=00;66a:d;29?xd6;o0;684?:1y'f=<1=2B9><5G20`8 0g=:01b9;4?::k63?6=3`?36=44i4;94?=h=m0;66sm13394?3=83:p(o65649K677<@;;i7);n:3d8m00=831b9:4?::k6<?6=3`?26=44o4f94?=z{;hj6=49{_0ae>;6;;0>463>3886=>;6;<0>563>2d862>;6:m0>:6s|22094?7dsW8886P=289]6f4<V;i;7S<=8:\1fa=Y:1n0R?m>;_0;a>X51l1U>>?4^313?[45n2T9>h5Q23f897452<901??>:53897612=;01???:538976a2=;01?>j:538977>2=;01??7:538947e2k901<?l:c18947c2k901<?j:c18947a2k90q~<mc;297~X5jj16><?5b29>650=j:1v?6;:181[4?<27:?:4m4:p6f`=839pR?mi;<3;5?3134;=87;9;|q1e`<72<>pR?oj;<03<?5>348;;7=6;<032?5>348:<7=6;<03b?5>348;i7=6;<30f?5>34;:n7=6;<32g?5>34;:h7=6;<32a?5>34;:j7=6;<363?5>34;>57=6;<36f?5>34;>h7=6;<37b?5>34;>>7=6;<364?5>34;>=7=6;<d1>6?<5o;1?452f180=>;bn39270??d;1:?877k39270??b;1:?877i39270??5;1:?877<39270??3;1:?877:39270j8:2;89a0=;016h84<9:?g0?5>34;8?7=6;<304?5>34;8m7=6;<303?5>34;8:7=6;<3e6?5>34;m?7=6;<3e`?5>34;mi7=6;<3eb?5>348;<7=6;<035?5>348;>7=6;<037?5>348;87=6;<3e0?5>34;m97=6;<3e2?5>34;m;7=6;<3e<?5>34;m57=6;<3ee?5>34;mn7=6;<3eg?5>34;i<7=6;<3bb?5>34;ji7=6;<3b`?5>34;jo7=6;<3bf?5>34;jm7=6;<3b=?5>34;j47=6;<3a<?5>34;i;7=6;<3a2?5>34;i97=6;<3a0?5>34;i?7=6;<3a6?5>34;i=7=6;<3b3?5>34;j:7=6;<36g?5>34;>i7=6;<36<?5>34;>m7=6;|q1<d<72;qU>5o4=042>g2<uz8h?7>53gy]6f5<5;;86>74=332>6?<5;;96>74=33:>6?<5;;36>74=042>6?<58<;6>74=0;f>6?<58k;6>74=0c1>6?<58k86>74=0;:>6?<58336>74=0;4>6?<583=6>74=05:>6?<58=j6>74=05a>6?<58=h6>74=05g>6?<582n6>74=0:e>6?<583;6>74=0;2>6?<5ji1?452cc80=>;di39270m6:2;89`1=;016i;4<9:?f1?5>34o?6>74=ed97<=:ll08563kd;1:?8bd2:301kj5389>bf<4127mn7=6;<db>6?<58i>6??j;<3a=?46m27:o94=1d9>5f0=:8o01<m8:33f?87di38:i63>c9815`=:9j31><k4=0aa>77b34;im7<>e:?2fa<59l16=ol520g894dd2;;n70?me;02a>;6jo09=h521b2964c<58i:6??j;<3`6?46m27:o>4=1d9>5d2=;016=4h5389>5d7=;01v?mj:181[4d027:;i4m4:p6fe=838pR?m8;<34g?d33ty9oo4?:3y]6f0<58=i6o:4}r0`e?6=:rT9o85216c9f1=z{;i26=4={_0`0>;6?00i86s|26;94?4|V;<=70?m0;`7?xu5?>0;6?uQ277894ga2k>0q~<86;296~X5>=16=lk5b59~w7122909wS<93:?2ea<e<2wx>::50;0xZ70534;jo7l;;|q136<72;qU>;?4=0ca>g2<uz8<>7>52z\125=:9hk1n95rs352>5<5sW8>j63>a88a0>{t:>:1<7<t^37f?87f03h?7p}=8383>7}Y:?o01<l7:c68yv4?93:1>vP=6e9>5g1=j=1v?6?:181[41k27:n;4m4:p62`=838pR?8m;<3a1?d33ty9;h4?:3y]63g<58h?6o:4}r04`?6=:rT9:4521c19f1=z{;=h6=4={_05<>;6j;0i86s|26`94?4|V;<<70?m1;`7?xu5?h0;6?uQ24f894g02k>0q~<9f;296~X5=j16=l85b59~w7d>2909wS<m3:?25c<e<2wx>o950;0xZ7d534;:i7l;;|q1f3<72;qU>o?4=03g>g2<uz8i97>52z\1f5=:98i1n95rs3`7>5<5sW8jj63>1c8a0>{t:<81<7<t^31e?87d;3>:7p}=5183>7}Y::o01<m=:538yv43n3:1>vP=3e9>5f7=<81v?:j:181[44k27:o=4;1:p61b=838pR?=m;<3ab?263ty98n4?:3y]66g<58hn69?4}r07f?6=:rT9?4521cf904=z{;>j6=4={_00<>;6jj0?=6s|25;94?4|V;9<70?mb;62?xu5=k0;6?uQ255894df2=;0q~<:a;296~X5<?16=nl5409~w73>2909wS<;5:?2gd<392wx>8650;0xZ72334;h57:>;|q112<72;qU>9=4=0a;>17<uz8>:7>52z\107=:9j=18<5rs376>5<5sW8?=63>c7875>{t:<>1<7<t^363?87d=3>:7p}=5283>7}Y::<01<m;:538yv4303:1>vP=349>5g?=<81v?>8:18084703>:70<?7;`7?847>39i7p}=0983>7}::921n95221c913=z{;;96=4<{<027?26348:=7=m;<026?d33ty9=>4?:3y>645=j=16><;5579~w7612908w0<?7;62?847>3h?70<?a;74?xu5980;6>u22039f1=::8818<52207912=z{;:26=4;{<024?d4348;j7l<;<03a?d4348;m7ok;|q14a<728ip1???:c68945e2k901<?m:2f8947d2:n01<?k:2f8947b2:n01<?i:2f894302:n01<;6:2f8943e2:n01<;k:2f8942a2k901<;=:2f894372:n01<;>:2f894542:n01<=?:2f8945f2:n01<=8:c1894512k901<<;:458944d2<=01<<<:4;894472<=01<;l:2f8943b2:n01<;7:2f8943f2:n0q~<?c;2954}::9l1n952f380`>;a939o70h?:2f89``=;m16==j53e9>55e=;m16==l53e9>55g=;m16==;53e9>552=;m16===53e9>554=;m16h:4<d:?g2?5c34n>6>j4=e697a=z{;:i6=4=7z?14`<e<27:j?4<d:?2b6<4l27:ji4<d:?2b`<4l27:jk4<d:?145<4l279<<4<d:?147<4l279<>4<d:?141<4l27:j94<d:?2b0<4l27:j;4<d:?2b2<4l27:j54<d:?2b<<4l27:jl4<d:?2bg<4l27:jn4<d:?2f5<4l27:mk4<d:?2e`<4l27:mi4<d:?2ef<4l27:mo4<d:?2ed<4l27:m44<d:?2e=<4l27:n54<d:?2f2<4l27:n;4<d:?2f0<4l27:n94<d:?2f6<4l27:n?4<d:?2f4<4l27:m:4<d:?2e3<4l2wx><:50;1x977>2k901??7:c1897722hn0q~<>7;2952}::831n9521739f6=:9?:1n>5218g97a=:9h:1?i521`097a=:9h91?i5218;97a=:9021?i5218597a=:90<1n>5216;97a=:9>k1?i5216`97a=:9>i1?i5216f97a=:91o1?i5219d9f6=:90:1?i5218397a=:9h>1?i5218d97a=:9h;1?i5rs335>5<69r79=54m4:?`g?5c34ii6>j4=bc97a=:k008h63j7;1g?8c12:n01h;53e9>a1<4l27oj7=k;<ff>6b<5mn1?i52db80`>;al39o70hl:2f89cd=;m16jl4<d:p56e=838p1<=m:538945b2hn0q~?<b;297~;6;k0i863>34862>;6:m0>;6s|13294?4|58;i69?4=003>db<uz;9>7>52z?25f<3927:>94nd:p573=838p1<?k:53894412hn0q~?=7;296~;69l0?=63>298b`>{t9;k1<7<t=03e>17<588i6lj4}r36b?6=:8q6=8953c9>50?=;k16=8l53c9>50b=;k16=9h53c9>504=;k16=8>53c9>507=;k16=k<53c9>5c5=;k16=kj53c9>5cc=;k16=kh53c9>656=;k16>=?53c9>654=;k16>==53c9>652=;k16=k:53c9>5c3=;k16=k853c9>5c1=;k16=k653c9>5c?=;k16=ko53c9>5cd=;k16=km53c9>57b=im16=>k5599>50e=;k16=8k53c9>50>=;k16=8o53c9~w42e2903w0?:7;62?873n3h?70?;8;74?87313?370?;a;7;?87393?=70?:8;62?873?3?>7p}>5283>40|58?<6o:4=0a6>13<58h269;4=0a7>13<58i=69;4=0a4>13<58ij69;4=0a;>13<58i269;4=0aa>13<58hj69;4=0`g>13<58hi69;4=0``>13<58hn69;4=0`e>13<58i;69;4=0a2>13<58i969;4=0a0>13<5ko19:5213391<=z{8>h6=48{<36=?2634;><7l;;<37<?3134;?57;8;<37e?3034;8h7;7;<36e?263ty:994?:04x943>2k>01<m::54894d>2=<01<m;:54894e12=<01<m8:54894ef2=<01<m7:54894e>2=<01<mm:54894df2=<01<lk:54894de2=<01<ll:54894db2=<01<li:54894e72=<01<m>:54894e52=<01<m<:5489gc==?16ni4:7:p51b=83<p1<;m:53894362k>01<:6:448942f2<301<=k:448943d2=;0q~?:5;295=}:9<h1n9521b7902=:9k318:521b6902=:9j<18:521b5902=:9jk18:521b:902=:9j318:521b`902=:9kk18:521cf902=:9kh18:521ca902=:9ko18:521cd902=:9j:18:521b3902=:9j818:521b1902=:jm0>:63mc;74?876i3?<70?=9;74?xu6<l0;68u214f904=:9<81n95215c913=:9=;1955214g904=z{8?=6=4>8z?21a<e<27o;7:>;<3`1?2?34;i57:7;<3`0?2?34;h:7:7;<3`3?2?34;hm7:7;<3`<?2?34;h57:7;<3`f?2?34;im7:7;<3a`?2?34;in7:7;<3ag?2?34;ii7:7;<3ab?2?34;h<7:7;<3`5?2?34;h>7:7;<3`7?2?34hh6884=00;>01<589m6894}r356?6=;r7::<4;1:?225<3927::84nd:p536=839p1<8?:c6894>62<=01<8<:458yv7f=3:1>>u218g97g=:9h:1?o521`097g=:9h91?o5218;97g=:9021?o5218597g=:90<1?o5219g97g=:91l1?o5218297g=:90;1?o521b7964`<58h26??i;<3`0?46n27:o;4=1g9>5f1=:8l01<mn:33e?87d038:j63>c8815c=:9jh1><h4=0`b>77a34;ih7<>f:?2fg<59o16=om520d894db2;;m70?mf;02b>;6k909=k521b3964`<58i96??i;<3`7?46n27:4<4nd:?2e1<4j27:5k4<b:?2e4<4j2wx=4<50;6x94?b2=;01<79:c6894012<201<7i:538yv7>i3:1=5u218g9f1=:9j?1?=521c;975=:9j>1?=521b4975=:9j=1?=521bc975=:9j21?=521b;975=:9jh1?=521cc975=:9kn1?=521c`975=:9ki1?=521cg975=:9kl1?=521b2975=:9j;1?=521b0975=:9j91?=52164913=:k=0>;63>7686=>;6>m0>56s|18194?2|58k;69?4=0;4>g2<58<=6884=0c2>17<uz;2n7>519y>5d6=j=16=n;5309>5g?=;816=n:5309>5f0=;816=n95309>5fg=;816=n65309>5f?=;816=nl5309>5gg=;816=oj5309>5gd=;816=om5309>5gc=;816=oh5309>5f6=;816=n?5309>5f4=;816=n=5309>g1<2>27h?7;8;<343?3?34;=h7;8;|q2=1<72:q6=l<5409>5<>=j=16=;95599~w4?d290:mv3>a38a0>;6k<08>63>b8806>;6k=08>63>c7806>;6k>08>63>c`806>;6k108>63>c8806>;6kk08>63>b`806>;6jm08>63>bc806>;6jj08>63>bd806>;6jo08>63>c1806>;6k808>63>c3806>;6k:08>63l3;75?8e52<=01<8j:458940d2<=01<9?:4;894162<30q~?65;290~;6i:0?=63>988a0>;6>>0>:63>a5875>{t90n1<7?7{<3b7?d334lo69?4=0a6>65<58h26>=4=0a7>65<58i=6>=4=0a4>65<58ij6>=4=0a;>65<58i26>=4=0aa>65<58hj6>=4=0`g>65<58hi6>=4=0``>65<58hn6>=4=0`e>65<58i;6>=4=0a2>65<58i96>=4=0a0>65<5j819;5216291==:9>;1955rs0:g>5<3s4;257:>;<3:5?d334;357;9;<35<?313ty:4n4?:4y>5<>=<816=4>5b59>5=>==?16=575599>535==?1v<6m:18587>?3>:70?7f;`7?87??3?=70?78;74?87?13?270?98;7;?xu60h0;6:u2184904=:91o1n952195912=:9121955219;912=:9?>19:52194910=z{8=36=4={<34=?2634;<:7ok;|q23`<72;q6=:o5409>53b=im1v<9i:181870j3>:70?9e;cg?xu6090;6?u216a904=:9?l1mi5rs04b>5<5s4;<h7:>;<35f?gc3ty:4?4?:3y>5=c=<816=585ae9~w4>42909w0?7f;62?87??3ko7p}>8583>7}:90:18<5219:9ea=z{82>6=4={<3:5?2634;357ok;|q206<72;q6=9h5409>511=im1v<:9:181872:3>:70?;a;cg?xu6<=0;6?u2142904=:9=21mi5rs066>5<5s4;>=7:>;<37=?gc3tyn?7>55z?`g?2634o<6o:4=c;912=:jh0>;63mb;74?xud03:1:v3lc;`7?870;3?<70?97;74?87103?<70?80;75?87093?=7p}ld;296~;dj3>:70l6:`f8yve0290<w0mm:c6894002<301<8j:448940d2<<01<9?:45894162<=01<8<:4:8yveb2909w0mn:5389gg=im1vn850;4x9fg=j=16=:95579>530==>16=;65589>53b==?16=:;5599~wf`=838p1n75409>fg<fl2wxo84?:6y>g<<e<27:;;4:7:?232<2?27::;4:9:?221<2027::i4:8:?230<212wx==750;7x9c4=<816==j5b59>fc<2?27h<7;8;<a2>01<uzon6=4:{<d1>g2<58>:6874=00;>00<58;=6894=01e>00<uzl86=4={<d2>17<5kl1mi5rsdf94?3|5o;1n952106912=:98k19;5212f912=:9;319;5rsg694?4|5o:18<52c18b`>{tmj0;68u2f18a0>;6<90>463>3e86=>;6900>463>19862>{tn<0;6?u2eg875>;d93ko7p}jb;292~;bn3h?70?;0;7:?87393?<70?>9;7:?87603?<70?=1;7;?xu6880;6?u211f904=:99?1n95rs023>5<5s4;;o7:>;<330?d33ty:<54?:5y>55e=j=16nk4:6:?`4?3134i:6884}rde>5<5s4;;n7:>;<337?d33ty:<:4?:2y>55d=j=16o=4:8:?`5?3?3tymi7>52z?24d<3927:<?4m4:p550=838p1<>n:c689f7==01vil50;0x9`1=<816hk4m4:p`d<72;q6i;4;1:?ga?d33tyn>7>54z?f2?d334h26884=cc913=:jk0>:6s|d883>7}:m<0?=63kd;`7?xub93:1?v3j5;`7?8df2<201ol5599~wa>=838p1h:5409>`f<e<2wxi=4?:3y>a1<e<27in7;6;|qe=?6=:r7:<84;1:?e`?d33tym47>52z?241<3927mo7l;;|qe3?6=:r7:<>4;1:?ef?d33tym:7>52z?247<3927mm7l;;|qg7?6=:r7oj7:>;<f4>g2<uzn96=4={<ff>17<5m<1n95rse394?4|5mn18<52d48a0>{tl90;6?u2db875>;c<3h?7p}j8;296~;c>3>:70ll:`f8yvc>2909w0j::5389gb=im1vho50;0x9a2=<816nh4nd:p55c=838p1km5409>g7<fl2wx==h50;0x9cd=<816o>4nd:p546=838p1ko5409>g1<fl2wx=>:50;0x94542=;01<=::`f8yv74;3:15v3>328a0>;6;;0>;63>3886<>;6;<0>463>2d86<>;6:m0>563>2g863>;6::0>;63>20863>{t9:;1<7=t=013>17<589j69?4=011>db<uz;8<7>59z?275<e<27:??4:6:?27<<2?27:?84:7:?26`<2?27:>i4:8:?26c<2>27:>>4:6:?264<2>2wx=>o50;7x945f2k>01<<;:448944d2<<01<<<:4:894472<<0q~?<8;297~;6;>0?=63>37875>;6;00jh6s|12494?4|589=6o:4=01:>00<uz;ho7>52z?2b7<3927:n44;4:p5a`=838p1<h=:c6894g12=;0q~?k6;296~;6n:0?=63>c5870>{t9l31<7<t=0d0>g2<58k<69?4}r3g3?6=:r7:ji4;1:?2g0<3<2wx=ho50;0x94`c2k>01<l>:538yv7c03:1>v3>fd875>;6k?0?86s|1d`94?4|58ln6o:4=0`1>17<uz;o57>52z?2bc<3927:o:4;4:p5`e=838p1<hi:c6894d42=;0q~?ka;296~;5890?=63>c9870>{t9ln1<7<t=323>g2<58h?69?4}r3gf?6=:r79<<4;1:?2g<<3<2wx=hk50;0x97662k>01<l::538yv7ck3:1>v3=03875>;6kh0?86s|1dd94?4|5;:96o:4=0`5>17<uz;oh7>52z?146<3927:oo4;4:p5c6=838p1?><:c6894d02=;0q~?ke;296~;58=0?=63>b`870>{t9o;1<7<t=327>g2<58h369?4}r3``?6=:r7:j94;1:?2fg<3<2wx=h>50;0x94`32k>01<o7:538yv7dm3:1>v3>f4875>;6jj0?86s|1d394?4|58l>6o:4=0c:>17<uz;hj7>52z?2b3<3927:ni4;4:p5`4=838p1<h9:c6894gf2=;0q~?k0;296~;6n>0?=63>bd870>{t9l91<7<t=0d4>g2<58ki69?4}r3g5?6=:r7:j54;1:?2fc<3<2wx=h:50;0x94`?2k>01<ol:538yv7c:3:1>v3>f8875>;6k90?86s|1d794?4|58l26o:4=0cg>17<uz;o?7>52z?2bd<3927:o<4;4:p5`0=838p1<hn:c6894gb2=;0q~?k4;296~;6nk0?=63>c3870>{t9l=1<7<t=0da>g2<58km69?4}r3g1?6=:r7:jn4;1:?2g6<3<2wx=h650;0x94`d2k>01<l?:538yv47=3:1=9u21c297g=:9hl1?o521`g97g=:9hn1?o521`a97g=:9hh1?o521`c97g=:9h31?o521`:97g=:9k21?o521c597g=:9k<1?o521c797g=:9k>1?o521c197g=:9k81?o521c397g=:9h=1?o521`497g=:9;o1mi5rs04:>5<3s4;<;7ok;<35a?3>34;=n7;9;<35b?3>3ty:8?4?:2y>57`=im16=?:5599>57d==?1v<o;:181870;3?=70?n4;`7?xu6?;0;6?u21619ea=:9?i1955rs07`>5<5s4;:87;9;<36g?d33ty:=>4?:5y>542=im16=?85579>57e==116=>h5599~w4722909w0?>a;7;?876>3?o7p}>1683>1}:98k1945213491==:9;31955210:9ea=z{8;:6=4={<32e?gc34;9n7;6;|q21=<72=q6=9>5579>50>=j=16=<75569>54>==11v<;n:18787383?<70?:a;`7?87613?=70?>8;7:?xu6<90;6?u21529ea=:9:l1945rs062>5<5s4;?=7ok;<30a?303ty::;4?:3y>530=im16=;;5579~w4002909w0?97;cg?871=3?<7p}>6983>7}:9?21mi5217791==z{8<86=4={<351?3>34;=?7;k;|q4<?6=:r7:?i4nd:?27`<2>2wx=::50;1x940b2<201<8i:44894122hn0q~?>2;290~;6:=0>563>27863>;6:k0>;63>188b`>{t>00;6>u213491<=:9;91mi5213:91==z{191<7<t=00`>0?<58;368j4}r5:>5<5s4;9o7ok;<31f?3?3ty3>7>52z?22f<2127:;84:d:p3d<72;q6=;m5ae9>53d==>1v:l50;0x94172hn01<8i:4:8yv1d2909w0?81;cg?871n3?<7p}8d;296~;6>=0jh63>6286=>{t?l0;6?u212g91<=:9:l19i5rs9394?4|58826874=03:>0b<uz=m6=4={<31=?gc34;947;6;|q;4?6=:r7:>=4:8:?264<2l2wx=4h50;0x94?a2k>01<9::448yv7f93:1>v3>a08a0>;6?<0>;6s|14g94?4|58?n6o:4=035>00<uty99?4?:3y]66`<5:=1>>h4$33b>=?<uz8><7>52z\17`=:;>09?h5+20c9=5=z{;>m6=4={_00`>;4?388h6*=1`8:f>{t:=o1<7<t^31`?8502;9h7)<>a;c1?xu5<m0;6?uQ22`8961=::h0(??n:7;8yv43k3:1>vP=3`9>72<5;h1/><o56c9~w72e2909wS<<9:?03?4412.9=l49c:p61g=838pR?=7;<14>75?3-8:m78k;|q10<<72;qU>>94=259661<,;;j6;k4}r06f?6=:rT98:52368102=#:8k1:k5rs37b>5<5sW8?:63<7;072>"59h0<<6s|24;94?4|V;>>70=8:366?!46i3=:7p}=5983>7}Y:=>01>952568 77f2>80q~<:7;296~X5<:16?:4=429'64g=?:1v?;9:181[43:278;7<;2:&15d<0<2wx>8;50;0xZ726349<6?:>;%02e?123ty9994?:3y]616<5:=1>9>4$33b>20<uz8>?7>52z\173=:;>09?;5+20c932=z{;>36=4={_001>;4?38896*=1`84<>{t:ho1<7<t^3cf?8502;kn7)<>a;:7?xu5jh0;6?uQ2cc8961=:kk0(??n:978yv4ek3:1>vP=bb9>72<5jj1/><o5879~w7e42909wS<l3:?03?4d;2.9=l477:p6f`=838pR?mi;<14>7ea3-8:m767;|q13<<72;qU>;84=259630<,;;j65o4}r043?6=:rT9:852368120=#:8k14o5rs355>5<5sW8=863<7;050>"59h03o6s|26794?4|V;<870=8:340?!46i32o7p}=7583>7}Y:?801>952708 77f21o0q~<83;296~X5>816?:4=609'64g=0o1v?9=:181[418278;7<90:&15d<>92wx>:?50;0xZ73a349<6?;i;%02e??53ty9;=4?:3y]60c<5:=1>8k4$33b><5<uz83>7>52z\12`=:;>09:h5+20c9=1=z{;2:6=4={_05`>;4?38=h6*=1`8:1>{t:1:1<7<t^34`?8502;<h7)<>a;;5?xu5?o0;6?uQ27`8961=:?h0(??n:858yv40m3:1>vP=6`9>72<5>h1/><o5999~w71c2909wS<99:?03?4112.9=l469:p62e=838pR?87;<14>70?3-8:m77n;|q13g<72;qU>;94=259631<,;;j64m4}r04e?6=:rT99i5236811a=#:8k15i5rs34e>5<5sW8>o63<7;06g>"59h02i6s|29694?4|V;2?70=8:3:7?!46i33m7p}=8`83>7}Y:1k01>9529c8 77f2h:0q~<m9;296~X5j:16?:4=b29'64g=i81v?l8:181[4e:278;7<m2:&15d<f;2wx>o850;0xZ7d6349<6?l>;%02e?g33ty9n84?:3y]6g6<5:=1>o>4$33b>d3<uz8i87>52z\1ec=:;>09mk5+20c9e3=z{;in6=4={_0`<>;4?38h46*=1`8b3>{t:ji1<7<t^3a4?8502;i<7)<>a;c;?xu5kk0;6?uQ2b48961=:j<0(??n:`;8yv4di3:1>vP=c49>72<5k<1/><o5a`9~w7e>2909wS<l4:?03?4d<2.9=l49a:~j3d22909wE<>b:m2g0=838pD??m;|l5f2<72;qC><l4}o4a<?6=:rB9=o5rn7`:>5<5sA8:n6sa6cc94?4|@;;i7p`9bc83>7}O:8h0qc8mc;296~N59k1vb;lk:181M46j2we:ok50;0xL77e3td=nk4?:3yK64d<ug<h<7>52zJ15g=zf?i:6=4={I02f>{i>j81<7<tH33a?xh1k:0;6?uG20`8yk0d<3:1>vF=1c9~j3e22909wE<>b:m2f0=838pD??m;|l5g2<72;qC><l4}o4`<?6=:rB9=o5rn7a:>5<5sA8:n6sa6bc94?4|@;;i7p`9cc83>7}O:8h0qc8lc;296~N59k1vb;mk:181M46j2we:nk50;0xL77e3td=ok4?:3yK64d<ug<o<7>52zJ15g=zf?n:6=4={I02f>{i>m81<7<tH33a?xh1l:0;6?uG20`8yk0c<3:1>vF=1c9~j3b22909wE<>b:m2a0=838pD??m;|l5`2<72;qC><l4}o4g<?6=:rB9=o5rn7f:>5<5sA8:n6sa6ec94?4|@;;i7p`9dc83>7}O:8h0qc8kc;296~N59k1vb;jk:181M46j2we:ik50;0xL77e3td=hk4?:3yK64d<ug<n<7>52zJ15g=zf?o:6=4={I02f>{i>l81<7<tH33a?xh1m:0;6?uG20`8yk0b<3:1>vF=1c9~j3c22909wE<>b:m2`0=838pD??m;|l5a2<72;qC><l4}o4f<?6=:rB9=o5rn7g:>5<5sA8:n6sa6dc94?4|@;;i7p`9ec83>7}O:8h0qc;l8;295~N59k1vb8hi:182M46j2we:=>50;3xL77e3td=<<4?:0yK64d<ug<;>7>51zJ15g=zf?:86=4>{I02f>{i>9>1<7?tH33a?xh18<0;6<uG20`8yk07>3:1=vF=1c9~j360290:wE<>b:m25>=83;pD??m;|l54<<728qC><l4}o43e?6=9rB9=o5rn72a>5<6sA8:n6sa61a94?7|@;;i7p`90e83>4}O:8h0qc8?e;295~N59k1vb;>i:182M46j2we:<>50;3xL77e3td==<4?:0yK64d<ug<:>7>51zJ15g=zf?;86=4>{I02f>{i>8>1<7?tH33a?xh19<0;6<uG20`8yk06>3:1=vF=1c9~j370290:wE<>b:m24>=83;pD??m;|l55<<728qC><l4}o42e?6=9rB9=o5rn73a>5<6sA8:n6sa60a94?7|@;;i7p`91e83>4}O:8h0qc8>e;295~N59k1vb;?i:182M46j2we:?>50;3xL77e3td=><4?:0yK64d<ug<9>7>51zJ15g=zf?886=4>{I02f>{i>;>1<7?tH33a?xh1:<0;6<uG20`8yk05>3:1=vF=1c9~j340290:wE<>b:m27>=83;pD??m;|l56<<728qC><l4}o41e?6=9rB9=o5rn70a>5<6sA8:n6sa63a94?7|@;;i7p`92e83>4}O:8h0qc8=e;295~N59k1vb;<i:182M46j2we:>>50;3xL77e3td=?<4?:0yK64d<ug<8>7>51zJ15g=zf?986=4>{I02f>{i>:>1<7?tH33a?xh1;<0;6<uG20`8yk04>3:1=vF=1c9~j350290:wE<>b:m26>=83;pD??m;|l57<<728qC><l4}o40e?6=9rB9=o5rn71a>5<6sA8:n6sa62a94?7|@;;i7p`93e83>4}O:8h0qc8<e;295~N59k1vb;=i:182M46j2we:9>50;3xL77e3td=8<4?:0yK64d<ug<?>7>51zJ15g=zf?>86=4>{I02f>{i>=>1<7?tH33a?xh1<<0;6<uG20`8yk03>3:1=vF=1c9~j320290:wE<>b:m21>=83;pD??m;|l50<<728qC><l4}o47e?6=9rB9=o5rn76a>5<6sA8:n6sa65a94?7|@;;i7p`94e83>4}O:8h0qc8;e;295~N59k1vb;:i:182M46j2we:8>50;3xL77e3td=9<4?:0yK64d<ug<>>7>51zJ15g=zf??86=4>{I02f>{i><>1<7?tH33a?xh1=<0;6<uG20`8yk02>3:1=vF=1c9~j330290:wE<>b:m20>=83;pD??m;|l51<<728qC><l4}o46e?6=9rB9=o5rn77a>5<6sA8:n6sa64a94?7|@;;i7p`95e83>4}O:8h0qc8:e;295~N59k1vb;;i:182M46j2we:;>50;3xL77e3td=:<4?:0yK64d<ug<=>7>51zJ15g=zf?<86=4>{I02f>{i>?>1<7?tH33a?xh1><0;6<uG20`8yk01>3:1=vF=1c9~j300290:wE<>b:m23>=83;pD??m;|l52<<728qC><l4}o45e?6=9rB9=o5rn74a>5<6sA8:n6sa67a94?7|@;;i7p`96e83>4}O:8h0qc89e;295~N59k1vb;8i:182M46j2we::>50;3xL77e3td=;<4?:0yK64d<ug<<>7>51zJ15g=zf?=86=4>{I02f>{i>>>1<7?tH33a?xh1?<0;6<uG20`8yk00>3:1=vF=1c9~j310290:wE<>b:m22>=83;pD??m;|l53<<728qC><l4}o44e?6=9rB9=o5rn75a>5<6sA8:n6sa66a94?7|@;;i7p`97e83>4}O:8h0qc88e;295~N59k1vb;9i:182M46j2we:5>50;3xL77e3td=4<4?:0yK64d<ug<3>7>51zJ15g=zf?286=4>{I02f>{i>1>1<7?tH33a?xh10<0;6<uG20`8yk0?>3:1=vF=1c9~j3>0290:wE<>b:m2=>=83;pD??m;|l5<<<728qC><l4}o4;e?6=9rB9=o5rn7:a>5<6sA8:n6sa69a94?7|@;;i7p`98e83>4}O:8h0qc87e;295~N59k1vb;6i:182M46j2we:4>50;3xL77e3td=5<4?:0yK64d<ug<2>7>51zJ15g=zf?386=4>{I02f>{i>0>1<7?tH33a?xh11<0;6<uG20`8yk0>>3:1=vF=1c9~j3?0290:wE<>b:m2<>=83;pD??m;|l5=<<728qC><l4}o4:e?6=9rB9=o5rn7;a>5<6sA8:n6sa68a94?7|@;;i7p`99e83>4}O:8h0qc86e;295~N59k1vb;7i:182M46j2we:l>50;3xL77e3td=m<4?:0yK64d<ug<j>7>51zJ15g=zf?k86=4>{I02f>{i>h>1<7?tH33a?xh1i<0;6<uG20`8yk0f>3:1=vF=1c9~j3g0290:wE<>b:m2d>=83;pD??m;|l5e<<728qC><l4}o4be?6=9rB9=o5rn7ca>5<6sA8:n6sa6`a94?7|@;;i7p`9ae83>4}O:8h0qc8ne;295~N59k1vb;oi:182M46j2we:o>50;3xL77e3td=n<4?:0yK64d<ug<i>7>51zJ15g=zf?h86=4>{I02f>{i>k>1<7?tH33a?x{zuIJHw:jn:6ffgc05=tJKNv>r@ARxyEF
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v deleted file mode 100644 index 1d633384b..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v +++ /dev/null @@ -1,169 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_16x19_2clk.v when simulating -// the core, fifo_xlnx_16x19_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_16x19_2clk( - din, - rd_clk, - rd_en, - rst, - wr_clk, - wr_en, - dout, - empty, - full, - rd_data_count, - wr_data_count); - - -input [18 : 0] din; -input rd_clk; -input rd_en; -input rst; -input wr_clk; -input wr_en; -output [18 : 0] dout; -output empty; -output full; -output [4 : 0] rd_data_count; -output [4 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V4_3 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(5), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(19), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(19), - .C_ENABLE_RLOCS(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(2), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(15), - .C_PROG_FULL_THRESH_NEGATE_VAL(14), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(5), - .C_RD_DEPTH(16), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(4), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(5), - .C_WR_DEPTH(16), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(4), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .DIN(din), - .RD_CLK(rd_clk), - .RD_EN(rd_en), - .RST(rst), - .WR_CLK(wr_clk), - .WR_EN(wr_en), - .DOUT(dout), - .EMPTY(empty), - .FULL(full), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .CLK(), - .INT_CLK(), - .BACKUP(), - .BACKUP_MARKER(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .RD_RST(), - .SRST(), - .WR_RST(), - .ALMOST_EMPTY(), - .ALMOST_FULL(), - .DATA_COUNT(), - .OVERFLOW(), - .PROG_EMPTY(), - .PROG_FULL(), - .VALID(), - .UNDERFLOW(), - .WR_ACK(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.veo deleted file mode 100644 index 2e9af1efa..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_16x19_2clk YourInstanceName ( - .din(din), // Bus [18 : 0] - .rd_clk(rd_clk), - .rd_en(rd_en), - .rst(rst), - .wr_clk(wr_clk), - .wr_en(wr_en), - .dout(dout), // Bus [18 : 0] - .empty(empty), - .full(full), - .rd_data_count(rd_data_count), // Bus [4 : 0] - .wr_data_count(wr_data_count)); // Bus [4 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_16x19_2clk.v when simulating -// the core, fifo_xlnx_16x19_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.xco deleted file mode 100644 index d0f638026..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.xco +++ /dev/null @@ -1,82 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version K.39 -# Date: Fri Sep 11 04:33:27 2009 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = False -SET asysymbol = False -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = False -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = False -SET foundationsym = False -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = False -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = True -SET vhdlsim = False -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 4.3 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_16x19_2clk -CSET data_count=false -CSET data_count_width=5 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET fifo_implementation=Independent_Clocks_Distributed_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=15 -CSET full_threshold_negate_value=14 -CSET input_data_width=19 -CSET input_depth=16 -CSET output_data_width=19 -CSET output_depth=16 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=5 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=5 -# END Parameters -GENERATE -# CRC: 60b85dda - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso deleted file mode 100644 index f1a6f7899..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.lso +++ /dev/null @@ -1,3 +0,0 @@ -blkmemdp_v6_2 -blk_mem_gen_v2_6 -fifo_generator_v4_3 diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt deleted file mode 100644 index ef33fff67..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +++ /dev/null @@ -1,103 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> -<document OS="lin64" product="ISE" version="10.1.03"> - - <!--The data in this file is primarily intended for consumption by Xilinx tools. - The structure and the elements are likely to change over the next few releases. - This means code written to parse this file will need to be revisited each subsequent release.--> - - <application stringID="Xst" timeStamp="Thu Sep 10 21:33:06 2009"> - <section stringID="XST_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="32"> - <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/> - <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_4BIT_REGISTER" value="11"/> - <item dataType="int" stringID="XST_5BIT_REGISTER" value="2"/> - </item> - <item dataType="int" stringID="XST_XORS" value="28"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="28"/> - </item> - </section> - <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_FSMS" value="1"/> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="107"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="107"/> - </item> - <item dataType="int" stringID="XST_XORS" value="28"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="28"/> - </item> - </section> - <section stringID="XST_FINAL_REGISTER_REPORT"> - <item dataType="int" stringID="XST_REGISTERS" value="126"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="126"/> - </item> - </section> - <section stringID="XST_PARTITION_REPORT"> - <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - </section> - <section stringID="XST_FINAL_REPORT"> - <section stringID="XST_FINAL_RESULTS"> - <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="/home/matt/coregen/tmp/_cg/fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc"/> - <item stringID="XST_OUTPUT_FORMAT" value="NGC"/> - <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/> - <item stringID="XST_KEEP_HIERARCHY" value="no"/> - </section> - <section stringID="XST_DESIGN_STATISTICS"> - <item stringID="XST_IOS" value="101"/> - </section> - <section stringID="XST_CELL_USAGE"> - <item dataType="int" stringID="XST_BELS" value="66"> - <item dataType="int" stringID="XST_GND" value="1"/> - <item dataType="int" stringID="XST_INV" value="2"/> - <item dataType="int" stringID="XST_LUT2" value="18"/> - <item dataType="int" stringID="XST_LUT2L" value="1"/> - <item dataType="int" stringID="XST_LUT3" value="8"/> - <item dataType="int" stringID="XST_LUT4" value="30"/> - <item dataType="int" stringID="XST_LUT4D" value="3"/> - <item dataType="int" stringID="XST_LUT4L" value="3"/> - </item> - <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="126"> - <item dataType="int" stringID="XST_FD" value="4"/> - <item dataType="int" stringID="XST_FDC" value="40"/> - <item dataType="int" stringID="XST_FDCE" value="62"/> - <item dataType="int" stringID="XST_FDP" value="10"/> - <item dataType="int" stringID="XST_FDPE" value="5"/> - </item> - <item dataType="int" stringID="XST_RAMS" value="19"> - <item dataType="int" stringID="XST_RAM16X1D" value="19"/> - </item> - </section> - </section> - <section stringID="XST_DEVICE_UTILIZATION_SUMMARY"> - <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/> - <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" value="96"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="126"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="103"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_LOGIC" value="65"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_RAMS" value="38"/> - <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="101"/> - <item AVAILABLE="333" dataType="int" stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/> - </section> - <section stringID="XST_PARTITION_RESOURCE_SUMMARY"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - <section stringID="XST_ERRORS_STATISTICS"> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="73"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="10"/> - </section> - </application> - -</document> diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_flist.txt deleted file mode 100644 index 5e1a6ed35..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_flist.txt +++ /dev/null @@ -1,8 +0,0 @@ -# Output products list for <fifo_xlnx_16x19_2clk> -fifo_xlnx_16x19_2clk.ngc -fifo_xlnx_16x19_2clk.v -fifo_xlnx_16x19_2clk.veo -fifo_xlnx_16x19_2clk.xco -fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -fifo_xlnx_16x19_2clk_flist.txt -fifo_xlnx_16x19_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_readme.txt deleted file mode 100644 index 1b5976555..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_readme.txt +++ /dev/null @@ -1,39 +0,0 @@ -The following files were generated for 'fifo_xlnx_16x19_2clk' in directory -/home/matt/gnuradio.git/usrp2/fpga/coregen/: - -fifo_xlnx_16x19_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_16x19_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_16x19_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_16x19_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: - Please see the core data sheet. - -fifo_xlnx_16x19_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - -fifo_xlnx_16x19_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_16x19_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl deleted file mode 100644 index 8d633e9c2..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_16x19_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_16x19_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_16x19_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_16x19_2clk -} -# ::fifo_xlnx_16x19_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_16x19_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x19_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_16x19_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.ngc deleted file mode 100644 index f42663419..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.4e -$2604g<,[o}e~g`n;"2*413&;$>"9 > %10?*nhel%fmyz cnpfc`h(|dz$Sni fhdl[}jipV;=t9>P2bnh*kah92:?7=>=10927>7)881986=<22087=b<;z8;<=hh444.14=39;1?<7GAPTV9`lh;;00;2<:4418LQQVR\3ndyy2<9;2=5`=32@D[YY4rne\ahvsqV~c~h}g<283:73<<3CE\XZ5psmd[`kw|pUdk|h^cpw`ts4:0;2?;44;KMTPR=x{elShctx]wlwct`Vdnklzj<283:4b<<3CE\XZ5psmd[cskdV~c~h}g<283:72<<3CE\XZ5psmd[cskdV~c~h}g_`qpawr;;3:5>95;:HLSQQ<wzfmTjxbc_ujqavnXflmjxh2<:1<2<>2=G\^[YY4kauc\gjsi|591<3?m;58LQQVR\3xoSio{a^alqkr;;3:5=o5;:NWWTPR={UomyoPcnwmp95=87;0:9599847?3?F9;1=O959CBA1?3C53>N?75:=109:0>?789>05=>62:;56>G7:2K:>6O=2:C0=>GTQGIT^HI<;CW1<>DR[VCEJB?4C59@E=G53JO:>6MGEBI\HLEBFZOTXT^J3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F0?AVH=2N[^L>:;ERQE43<LYXJ>85KPSC00>@?0180JI=4FEA7?CBDM:1MH]:4FERF1>@fdzo:7J=4GOF2?L4<A980E<<4I308M6?<AGC__YO[E29JJS5<AFH97AA9;MMB@@B03EELENOC4:NVP72<D\^886BZT548HPR3WE?0A^I@Nb9Neoiu^lxxeb`l;LkmkwPbzzcdb>5A1168J467<2D:<<:4N0210>H68:>0B<>;4:L2402<F8:=86@>0668J46?<2D:<4=4N037?K768=1E=<?;;O3261=I989?7C?>459M54333G;::95A1057?K760=1E=<7<;O310>H6:9>0B<<>4:L2672<F88886@>2568J442;2D:?>5A1518J4343G;=?6@>729M5=5<F8387C<?3:L156=I:;90B?=<;O077>H5=:1E>;=4N350?K4?;2D95>5A3118J6743G99?6@<329M715<F:?87C=93:L036=I;190B>7<;O637>H39:1E8?=4N510?K23;2D?9?5A639M36=I?990B:?<;O507>H0=:1E;;<4N918J=243G2>?6@7629M<25<F1287C662:L:7>H>8:1E5<=4N800?K?4;2D28>5A9418J<043G3<?6@6829M=<`<FKUIY^^FN^RQKUU03GO_[B\D1:M1?JM63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q99QEH71P8N=7^AZRBG0?VVH<2^R\H=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:;S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?00]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4565W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;<R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?00]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3454XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;<R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?013\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<=Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?013\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4565W[oxyaz>209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=2=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj979:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1=1219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^214>S7'nxm"h gbz-gim'{nT|cz}_ckm[4473\:$kh!rg-dg}(ddbr$~iQnup\flhX:;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU8>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123470<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp3454582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<2b>S7'nxm"h gbz-gim'{nT|cz}_fa\44`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ76n2_;#j|i.sd,cf~)keas#jPpovq[beX:8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV99:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=2=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6:2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?6;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0>0=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ65=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR?=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ45=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR==9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6494956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2848512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><3<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0>0=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W9837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\57><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q=299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V9996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01211>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01015>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<14>S7'nxm"h gbz-gim'~xT|cz}_ckm[5473\:$kh!rg-dg}(ddbr${Qnup\flhX9;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU9>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR==6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4567:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6;2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1?1219V4*aun'xm#jmw.bnh|*quWyd~Ril<3<14>S7'nxm"h gbz-gim'~xT|cz}_fa?7;7a3\:$kh!rg-dg}(ddbr${Qnup\cfY79o1^<"i}f/pe+be&jf`t"y}_qlwvZadW8;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS><9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi33?06?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W98>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_006?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`W;8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_20:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7<3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;97827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?6;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?33?0;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T<?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y6:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^01<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S><:;T2,cw`)zo%lou lljz,swYwf}xTknQwos2345423\:$kh!rg-dg}(ddbr${Qnup\cfYg{:;<<<:;T2,cw`)zo%lou lljz,swYwf}xTknQwos23474f3\:$kh!rg-dh5(ul&my=#|iwgv,VDKXZMUNBRHXFU31g>S7'nxm"h gm2-va)`z8$yjzh{/SCN[WBXMGUM[KZ>_00:?P6(o{l%~k!hl1,q`*au9'xm{kz R@O\V@AH]]UNB<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf273=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb>64U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe3.Mk76;:1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?=9;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf1)Lh402_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo> Ga100;?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Umn?94U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZo5m2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^mq4567:o1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h]lv56788827X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[l573\:$kh!rg-dh5(ul&mym~ }suq,vdkXmdzuRhm_h]lv5678:90Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;=<?<3:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVcTc>?0132<65<]9%l~k }f.eo4+tc'nxj#||tr-qehYbey~rSklPi^mq45679=;8>6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]efZoXg{:;<=<9339V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUbSb|?0126764<]9%l~k }f.eo4+tc'nxj#||tr-qehYbey~rSklPi^mq45671:887X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq55<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex;<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw=64<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?32[LHQW98h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq84869;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?6;76:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>0:476n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:768l0Y=!hrg,qb*ak8'xo#~ats-`kphs484:j6[?/fpe*w`(oe:%~i!}povq+firf}692<h4U1-dvc(un&mg<#|k/srmpw)dg|d0>0>e:W3+bta&{l$ka>!re-qtkru'je~byQ?1d9V4*aun'xm#jb?.sf,vuhsz&idyczP10g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_33f?P6(o{l%~k!hl1,q`*twf}x$ob{at^116>S7'nxm"h gm2-va)uxg~y#naznu]g5969:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86:2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?32?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2868592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V:9=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R?=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^015>S7'nxm"h gm2-va)uxg~y#naznu]g5Z55<2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYig}:;<<<7;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[cdXa;?0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi3a8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXaVey<=>?2g9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWfx;<=>>1910?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`Ud~=>?003;[VQ7:o1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf_np34566<88n7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv5678;<9i6[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k\kw6789?8>h5Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j[jt789:2?<j4U1-dvc(un&mg<#y}/scn[rtXmgUb=?=4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov10>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr>;;1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>3:47XAG\T<?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;97;:>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:568;9o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv95998;m7X> gsd-vc)`d9$|~"ynup,gjsi|5:5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7=3?i;T2,cw`)zo%l`= xr.usjqt(kfex1<11g9V4*aun'xm#jb?.vp,suhsz&idycz33?3f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^22a>S7'nxm"h gm2-sw)pxg~y#naznu]25`=R8&myj#|i/fn3*rt(yd~"m`uov\64c<]9%l~k }f.eo4+qu'~zex!lotlw[6453\:$kh!rg-dh5(pz&}{by| cnwmpZb64949>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>1:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;;78:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87?3<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W;8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S><;;T2,cw`)zo%l`= xr.usjqt(kfexR``t123540<]9%l~k }f.ofi*bh}}UbS=?9;T2,cw`)zo%fi`!kotv\mZ76?2_;#j|i.sd,i`k(lfSdQ>0058Q5)`zo$yj"cjm.flqqYnW8;:;6[?/fpe*w`(elg$hb{{_h]2641<]9%l~k }f.ofi*bh}}UbS<=>7:W3+bta&{l$ahc dnww[lY6<8=0Y=!hrg,qb*kbe&ndyyQf_0723>S7'nxm"h mdo,`jssW`U::<94U1-dvc(un&gna"j`uu]j[416?2_;#j|i.sd,i`k(lfSdQ>8058Q5)`zo$yj"cjm.flqqYnW83::6[?/fpe*w`(elg$hb{{_h]152=R8&myj#|i/lgn+air|VcT>=?8;T2,cw`)zo%fi`!kotv\mZ469>1^<"i}f/pe+hcj'me~xRgP2334?P6(o{l%~k!bel-gkprXaV88=:5Z0.eqb+ta'dof#iazt^k\61703\:$kh!rg-nah)cg|~TeR<:169V4*aun'xm#`kb/emvpZoX:?;<7X> gsd-vc)jmd%ocxzPi^0452=R8&myj#|i/lgn+air|VcT>5?8;T2,cw`)zo%fi`!kotv\mZ4>9?1^<"i}f/pe+hcj'me~xRgP3058Q5)`zo$yj"cjm.flqqYnW:::;6[?/fpe*w`(elg$hb{{_h]0541<]9%l~k }f.ofi*bh}}UbS><>7:W3+bta&{l$ahc dnww[lY4;8=0Y=!hrg,qb*kbe&ndyyQf_2623>S7'nxm"h mdo,`jssW`U89<94U1-dvc(un&gna"j`uu]j[606?2_;#j|i.sd,i`k(lfSdQ<7058Q5)`zo$yj"cjm.flqqYnW:2:;6[?/fpe*w`(elg$hb{{_h]0=40<]9%l~k }f.ofi*bh}}UbS9?9;T2,cw`)zo%fi`!kotv\mZ36>2_;#j|i.sd,i`k(lfSdQ9179V4*aun'xm#`kb/emvpZoX?8<0Y=!hrg,qb*kbe&ndyyQf_935?P6(o{l%~k!bel-gkprXaV3:i6[?/fpe*w`(elg$kic!dl-NvdkXZHG:=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF==?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@?>1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB133e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL305c=R8&myj#|i/lgn+bbj&mg$Aob_SCN517a3\:$kh!rg-nah)`ld$oa"C}al]QEH729o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ9?;m7X> gsd-vc)jmd%lh` km.OqehYUID;<=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=5?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@?61d9V4*aun'xm#`kb/ffn*ak(E{kfS_OB20d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM322b>S7'nxm"h mdo,cak)ld%F~lcPR@O154`<]9%l~k }f.ofi*ace'nf#@|nm^PBI746n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK5;8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE;>:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG99<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA?8>f:W3+bta&{l$ahc geo-`h)JzhgT^LC=70d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM3:2b>S7'nxm"h mdo,cak)ld%F~lcPR@O1=4c<]9%l~k }f.ofi*ace'nf#@|nm^PBI67a3\:$kh!rg-nah)`ld$oa"C}al]QEH579o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ;8;m7X> gsd-vc)jmd%lh` km.OqehYUID99=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF?>?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@=;1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB343e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL155c=R8&myj#|i/lgn+bbj&mg$Aob_SCN727a3\:$kh!rg-nah)`ld$oa"C}al]QEH5?9o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ;0;n7X> gsd-vc)jmd%lh` km.OqehYUID>:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG?<<k4U1-dvc(un&gna"ikm/fn+HtfeVXJA8?j;T2,cw`)zo%fi`!hdl,gi*KuidUYM@8>e:W3+bta&{l$ahc geo-`h)JzhgT^LC81d9V4*aun'xm#`kb/ffn*ak(E{kfS_OB80g8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM806?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;878=7X> gsd-vc)jmd%lh` km.]uewoillr;<=>311<12>S7'nxm"h mdo,cak)ld%Tzl|fneg{456748;5>;5Z0.eqb+ta'dof#jjb.eo,[sguagnnt=>?0=31:70<]9%l~k }f.ofi*ace'nf#Rxnrhlga}67896:?3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?5185>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0<;1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349716;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2>7?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;9149:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<0;=60=R8&myj#|i/lgn+bbj&mg$S{o}ioff|56785;5>;5Z0.eqb+ta'dof#jjb.eo,[sguagnnt=>?0=03:70<]9%l~k }f.ofi*ace'nf#Rxnrhlga}678969=3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?6785>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0?=1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349436;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2=5?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;:?49:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<35=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|56785832?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>1=;423\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7>3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?7585>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0>?1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349556;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2<3?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;;=49:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<27=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|567859=2?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>03;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7?50=6:W3+bta&{l$ahc geo-`h)X~hxbbikw012386?9:<1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1=1249V4*aun'xm#`kb/ffn*ak(Wkyecjjx1234929:<1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1;1249V4*aun'xm#`kb/ffn*ak(Wkyecjjx1234909:<1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<191249V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349>9:<1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1711d9V4*aun'xm#`kb/ffn*ak(lfSd2?>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg311<2b>S7'nxm"h mdo,cak)ld%ocxzPi=32:4`<]9%l~k }f.ofi*ace'nf#iazt^k?5786n2_;#j|i.sd,i`k(omg%h`!kotv\m97468l0Y=!hrg,qb*kbe&moa#jb/emvpZo;9=4:j6[?/fpe*w`(elg$kic!dl-gkprXa5;>2<h4U1-dvc(un&gna"ikm/fn+air|Vc7=;0>f:W3+bta&{l$ahc geo-`h)cg|~Te1?8>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg319<2b>S7'nxm"h mdo,cak)ld%ocxzPi=3::4c<]9%l~k }f.ofi*ace'nf#iazt^k?5;7a3\:$kh!rg-nah)`ld$oa"j`uu]j87699o1^<"i}f/pe+hcj'nnf"ic dnww[l:597;m7X> gsd-vc)jmd%lh` km.flqqYn4;85=k5Z0.eqb+ta'dof#jjb.eo,`jssW`69?3?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0?:11g9V4*aun'xm#`kb/ffn*ak(lfSd2=5?3e?P6(o{l%~k!bel-d`h(ce&ndyyQf<34=5c=R8&myj#|i/lgn+bbj&mg$hb{{_h>13;7a3\:$kh!rg-nah)`ld$oa"j`uu]j87>99o1^<"i}f/pe+hcj'nnf"ic dnww[l:517;n7X> gsd-vc)jmd%lh` km.flqqYn4;4:j6[?/fpe*w`(elg$kic!dl-gkprXa59;2<h4U1-dvc(un&gna"ikm/fn+air|Vc7?<0>f:W3+bta&{l$ahc geo-`h)cg|~Te1==>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg332<2b>S7'nxm"h mdo,cak)ld%ocxzPi=17:4`<]9%l~k }f.ofi*ace'nf#iazt^k?7086n2_;#j|i.sd,i`k(omg%h`!kotv\m95168l0Y=!hrg,qb*kbe&moa#jb/emvpZo;;>4:j6[?/fpe*w`(elg$kic!dl-gkprXa5932<h4U1-dvc(un&gna"ikm/fn+air|Vc7?40>e:W3+bta&{l$ahc geo-`h)cg|~Te1=11d9V4*aun'xm#`kb/ffn*ak(lfSd2;>0g8Q5)`zo$yj"cjm.egi+bj'me~xRg35?3f?P6(o{l%~k!bel-d`h(ce&ndyyQf<7<2a>S7'nxm"h mdo,cak)ld%ocxzPi=5=5`=R8&myj#|i/lgn+bbj&mg$hb{{_h>;:4c<]9%l~k }f.ofi*ace'nf#iazt^k?=;7c3\:$kh!rg-nah)`ld$oa"j`uu]j[57c3\:$kh!rg-nah)`ld$oa"j`uu]j[47b3\:$kh!rg-nah)`ld$oa"j`uu]j[466m2_;#j|i.sd,i`k(omg%h`!kotv\mZ769l1^<"i}f/pe+hcj'nnf"ic dnww[lY6:8o0Y=!hrg,qb*kbe&moa#jb/emvpZoX9:;n7X> gsd-vc)jmd%lh` km.flqqYnW8>:i6[?/fpe*w`(elg$kic!dl-gkprXaV;>=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U::<k4U1-dvc(un&gna"ikm/fn+air|VcT=:?j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS<6>e:W3+bta&{l$ahc geo-`h)cg|~TeR?61e9V4*aun'xm#`kb/ffn*ak(lfSdQ=1d9V4*aun'xm#`kb/ffn*ak(lfSdQ=00g8Q5)`zo$yj"cjm.egi+bj'me~xRgP203f?P6(o{l%~k!bel-d`h(ce&ndyyQf_302a>S7'nxm"h mdo,cak)ld%ocxzPi^005`=R8&myj#|i/lgn+bbj&mg$hb{{_h]104c<]9%l~k }f.ofi*ace'nf#iazt^k\607b3\:$kh!rg-nah)`ld$oa"j`uu]j[706m2_;#j|i.sd,i`k(omg%h`!kotv\mZ409l1^<"i}f/pe+hcj'nnf"ic dnww[lY508o0Y=!hrg,qb*kbe&moa#jb/emvpZoX:0;o7X> gsd-vc)jmd%lh` km.flqqYnW:;n7X> gsd-vc)jmd%lh` km.flqqYnW:::i6[?/fpe*w`(elg$kic!dl-gkprXaV9:=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U8><k4U1-dvc(un&gna"ikm/fn+air|VcT?>?j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS>:>e:W3+bta&{l$ahc geo-`h)cg|~TeR=:1d9V4*aun'xm#`kb/ffn*ak(lfSdQ<60g8Q5)`zo$yj"cjm.egi+bj'me~xRgP363f?P6(o{l%~k!bel-d`h(ce&ndyyQf_2:2a>S7'nxm"h mdo,cak)ld%ocxzPi^1:5a=R8&myj#|i/lgn+bbj&mg$hb{{_h]75a=R8&myj#|i/lgn+bbj&mg$hb{{_h]65a=R8&myj#|i/lgn+bbj&mg$hb{{_h]55a=R8&myj#|i/lgn+bbj&mg$hb{{_h]45a=R8&myj#|i/lgn+bbj&mg$hb{{_h];5a=R8&myj#|i/lgn+bbj&mg$hb{{_h]:52=R8&myj#|i/scn[wc`g|~Tic?k;T2,cw`)zo%yylck.pg[wusWhyyij<?;T2,cw`)zo%yylck.pg[wusWhyyijQk1328Q5)`zo$yj"||tcnh+wbXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim(zmUyyQlol`2`>S7'nxm"h rrvahn)ulVxxxRm`mc32a>S7'nxm"h rrvahn)ulVxxxR|jg=2=5`=R8&myj#|i/sqwfim(zmUyyQ}ef>2:4c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$kh!rg-qwqdkc&xoS}{_sgd[57c3\:$kh!rg-qwqdkc&xoS}{_sgd[47c3\:$kh!rg-qwqdkc&xoS}{_sgd[77c3\:$kh!rg-qwqdkc&}yS}{_`qqab473\:$kh!rg-qwqdkc&}yS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5:5=h5Z0.eqb+ta'{ynae ws]qwqYumn6:2<j4U1-dvc(un&xxxobd/vp\vvrXzlmT<<j4U1-dvc(un&xxxobd/vp\vvrXzlmT=l5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33^IGG?5XE0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybccm4amolwqYbey~rn6ocmnqw[cskd?1imnezpe9aefmrxVgj~fk}3:aooa=ci}kTob{at)2*`>bf|hUhcx`{(0+g?agsiVidycz'2(f8`drfWje~by&<)g9geqgXkfex1=50?58`gosm{x=7iga(1+5?aoi 8#<7iga(02*3>bnf!;:%:5kio*26,1<l`d#=>'8;ekm,42.?2nbb%?:)69gmk.6> =0hd`'16+4?aoi 82";6jfn)3:-3=cag"9%:5kio*14,1<l`d#><'8;ekm,74.?2nbb%<<)69gmk.5< =0hd`'24+4?aoi ;<";6jfn)04-2=cag"94$94dhl+6</13mce$>'8;ekm,66.?2nbb%=>)69gmk.4: =0hd`'32+4?aoi :>";6jfn)16-2=cag"8:$94dhl+72/03mce$>6&7:fjj-5>!?1oec&;)79gmk.2!?1oec&9)79gmk.0!?1oec&7)79gmk.>!?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<394dhl?74803mce0><17:fjj9546>1oec2<4?58`lh;;<4<7iga<24=3>bnf59<2:5kio>0<;?<l`d7?44?>69gmk:417<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,><lf$>:&8:flqq.4= 20hb{{(24*<>bh}}"8;$64dnww,6>.02ndyy&<9(58`jss =#<7iazt)7*3>bh}}"=%:5kotv+3,1<lf$5'8;emvp-?.?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:d=cg|~7?44?>99gkpr;;04<7iazt=1=3>bh}}6?2:5kotv?1;1<lf0;08;emvp919?2ndyy27>69gkpr;1720iigi2oeg1>cjx}s8>6hffn]dakcui}eyS{:P3-"[mioip)ID^H.Heogqeqiu(8:%=#><159emciXpedsS<8w41]1gim4:2lbjbQwloz\53~38V8h`f"iigm\c`hbzh~d~Rx;_2.MKKC+FFDN?oj4fhdl[}jipV;=t9>P2bnh(coagVmnbh|ntnp\r1Y4$riTdl}Piov\gim:8%iTdl}Pssqw95*dW{nTjk~=0.`[mgtW{nThlzn_bmvjq;7$jUoecQxievk916+kVbjRy}_ecweZeh}g~6<!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>4)eXlfSzgkti?74)eXezmdbRxnl<3/gZtcWmo{xe3>6-a\lduX}gnn~kb`w<2/gZnf{Vkgab}{_gwoh86+kVbjR||t<3/gZbf|hUhcx`{_vkgpm;3$jUcm~Qxr^c`o86+kVxiRklc<2/gZehedeeSnb`cj?3(fYpzVkhgRb`w<2/gZtcWyd~Ryfduj>0)eX}zoTjzh{_ecweZeh}g~6<!mPh`q\eikh{}Una}zv=1.`[wbXlh~jSnaznu]tmaro5=&hSbxjrgnlsZjh4:'oRy}_qlwvZqnl}b68!mPpsmd[`kw|pUu}k20-a\swYci}kTob{at^uj`qn:<%iT|kco`f\v`at58&hSiazt^pppZpfd4:'oRfns^fbpdYdg|d1="l_qplcZ`rdeUdk|h^lfcdrbWkg1<:#c^uq[acw|a7::!mPpsmd[`kw|pUdk|h^lfcdrbWkg18"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`0:#c^jbwZpfd`n6<!mPpsmd[cskdV~c~h}g_`qpawrX~hf6=8"lolrlj`hsWgkfi0hffn]{hk~X9?r?<R<llj.`[sgkamUgcz3?,b]svlkXn`ldSywe<726}15$jU{~biPftno[qwm4:'oRcjmnpz[qwm48'q?k4fhdl[}jipV;=t9>P2bnh[coagVmnbh|ntnp\r1Y4WqyS<:4ftno3>oi|Vigg55agb`vmib?3f|n~kb`w`9svjaXmdzu<:4psmd[`kw|pUdk|h)2*51=wzfmTi`~{y^vkv`uo 8#:86~}of]fiur~W}byi~f'2(37?uthoVof|ywPtipfwm.4!8<0|ah_dosp|Ys`{oxd1=50?3a?uthoVof|ywPtipfwmYf{zoyx%>&1c9svjaXmdzuRzgrdqk[dutm{~#=$?m;qplcZcjx}sTxe|jsi]bwvcu|!8"=o5rne\ahvsqV~c~h}g_`qpawr/; ;o7}|`g^gntqX|axneQnsrgqp95=87;i7}|`g^gntqX|axneQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3g?uthoVof|ywPtipfwmYimnki1=50?;8twi`Wog`<=4psmd[cskdV~c~h}g(1+27>vugnUmyabPtipfwm.6!890|ah_gwohZrozlyc$?'>3:rqkbYa}efTxe|jsi*0-43<x{elSk{cl^vkv`uo4:0;2<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0a8twi`Wog`Rzgrdqk[dutm{~7?7>11`9svjaXn|fgSyf}erj\j`af|l";%<o4psmd[cskdV~c~h}g_ogdeqc/9 ;j7}|`g^dvhiYs`{oxdR`jg`vf,7/6i2zycjQiumn\pmtb{aUeijo{e)1*5f=wzfmTjxbc_ujqavnXflmjxh2<:1<5?wbXkea:<6|k_ecweZeh}g~#<$??;sf\`drfWje~by&>)028vaYci}kTob{at)0*55=ulVnjxlQlotlw,6/682xoSio{a^alqkr;87;97jPd`vb[firf}686=0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su68pwsb12ehh|ilnu6?sgkam<0{Qncj48swYddb;;7z|Pd`vb[firf}";%<>4ws]geqgXkfex%?&119tvZbf|hUhcx`{(3+24>quWmkmRm`uov+7,753~xThlzn_bmvjq:4294>7z|Peo48swYu{}wKL}7l3:BC|4<A2=0:w^=?:223>0<6;;h>5k4<16dek4293;0b?;=:59'61`=:=h0q^<j:223>0<6;;h>5k4<16de?V2>2::26=4>33`6=c<491::7^<j:22:>5<6;;h>5k4<1922?a57;3:1=7?tS229756==3;8>o;6f;123c`<~]h?6=4>:08250}T;908<=4::011f0?a2:;<jk5+25:90d=Q:<:1>v{:0;38q07=82w/mh46;c137?6==>08689tH365?_4?2;qh6o4r$c49755<,;>n6>>=;h106?6=3`9?=7>5;n133?6=3f9;=7>5;h10f?6=3`9887>5;n0g4?6=,k:1>k94n`d94>=h:jl1<7*m0;0e3>hfn3;07b<le;29 g6=:o=0blh52:9l6fb=83.i<7<i7:lbb?5<3f8ho7>5$c296c1<fhl1865`2b`94?"e838m;6`nf;78?j4di3:1(o>52g58jd`=>21d>n750;&a4?4a?2djj794;n0`<?6=,k:1>k94n`d9<>=h:j=1<7*m0;0e3>hfn3307b<l5;29 g6=:o=0blh5a:9l6f2=83.i<7<i7:lbb?d<3f8h?7>5$c296c1<fhl1o65`2b094?"e838m;6`nf;f8?j4d93:1(o>52g58jd`=m21d>n>50;&a4?4a?2djj7h4;n0ab?6=,k:1>k94n`d955=<g;hn6=4+b181b2=iio0:=65`2cf94?"e838m;6`nf;31?>i5jj0;6)l?:3d4?kga28907b<ma;29 g6=:o=0blh51598k7d>290/n=4=f69mec<6=21d>o650;&a4?4a?2djj7?9;:m1f2<72-h;6?h8;oce>41<3f8i:7>5$c296c1<fhl1=554o3`6>5<#j909j:5aag82=>=h:k>1<7*m0;0e3>hfn3;j76a=b283>!d72;l<7coi:0`8?j4e:3:1(o>52g58jd`=9j10c?l>:18'f5<5n>1emk4>d:9l6a0=83.i<7<i7:lbb?7b32e9h84?:%`3>7`03gkm6<h4;n0g0?6=,k:1>k94n`d965=<g;n86=4+b181b2=iio09=65`2e094?"e838m;6`nf;01?>i5l80;6)l?:3d4?kga2;907b<l6;29 g6=:o=0blh52598k7de290/n=4=f69mec<5=21d>o>50;&a4?4a?2djj7<9;:m1ec<72-h;6?h8;oce>71<3`8<j7>5$c296d0<fhl1<65f26g94?"e838j:6`nf;38?l40l3:1(o>52`48jd`=:21b>:m50;&a4?4f>2djj7=4;h04f?6=,k:1>l84n`d90>=n:>k1<7*m0;0b2>hfn3?07d<89;29 g6=:h<0blh56:9j62>=83.i<7<n6:lbb?1<3`8<;7>5$c296d0<fhl1465f26494?"e838j:6`nf;;8?l40<3:1(o>52`48jd`=i21b>:=50;&a4?4f>2djj7l4;h046?6=,k:1>l84n`d9g>=n:>;1<7*m0;0b2>hfn3n07d<80;29 g6=:h<0blh5e:9j63`=83.i<7<n6:lbb?`<3`8=i7>5$c296d0<fhl1==54i34g>5<#j909m;5aag825>=n:?i1<7*m0;0b2>hfn3;976g=6c83>!d72;k=7coi:018?l4113:1(o>52`48jd`=9=10e?87:18'f5<5i?1emk4>5:9j631=83.i<7<n6:lbb?7132c9:;4?:%`3>7g13gkm6<94;h051?6=,k:1>l84n`d95==<a;<?6=4+b181e3=iio0:565f27194?"e838j:6`nf;3b?>o5>;0;6)l?:3c5?kga28h07d<91;29 g6=:h<0blh51b98m707290/n=4=a79mec<6l21b>5;50;&a4?4f>2djj7?j;:k1<1<72-h;6?o9;oce>4`<3`83?7>5$c296d0<fhl1>=54i3:1>5<#j909m;5aag815>=n:1;1<7*m0;0b2>hfn38976g=8183>!d72;k=7coi:318?l40=3:1(o>52`48jd`=:=10e?8n:18'f5<5i?1emk4=5:9j60`=83.i<7<n6:lbb?4132c99h4?:%`3>7g13gkm6?94;h11f?6=3k8?;7>51;294~N5<?1/n;4=469lea<722wi?k4?:083>5}O:=<0(o853g9l7`<722wi>:4?:9a9a=<69<qC>984Z3:953}b28?1=94>2;30>46=k3n1n7?>:g827?722j0:87k5b;31>47=990m6i4r$c49750<,==1?i5+2b8041=#:o08<85+a88bg>o4;:0;66a<1c83>>o4;?0;66a=5283>>i4;00;66g=5783>>o49=0;6)l?:236?kga2910e>?<:18'f5<49<1emk4>;:k057<72-h;6>?:;oce>7=<a:;:6=4+b18050=iio0876g<3383>>i48h0;66a<3g83>!d72:>;7coi:198k65b290/n=4<419mec<632e8?i4?:%`3>6273gkm6?54o21`>5<#j9088=5aag80?>i4:o0;6)l?:212?kga2910c><j:18'f5<4;81emk4>;:m06a<72-h;6>=>;oce>7=<g:8h6=4+b18074=iio0876g<2883>!d72:8j7coi:198m64?290/n=4<2`9mec<632c8>:4?:%`3>64f3gkm6?54i205>5<#j908>l5aag80?>o4<80;66a=5c83>!d72;?h7coi:198k73f290/n=4=5b9mec<632e9944?:%`3>73d3gkm6?54o37;>5<#j9099n5aag80?>i48>0;66a<0083>>o49o0;6)l?:203?kga2910e>?j:18'f5<4:91emk4>;:k05a<72-h;6><?;oce>7=<a:;h6=4+b18065=iio0876g<3c83>>o4810;66g=5683>>o4<;0;66a<3483>>i4;h0;66a=5583>>i4;10;66g<3583>>i5l90;6)l?:3d4?kga2910c?mi:18'f5<5n>1emk4>;:m1g`<72-h;6?h8;oce>7=<g;io6=4+b181b2=iio0876a=cb83>!d72;l<7coi:598k7ee290/n=4=f69mec<232e9ol4?:%`3>7`03gkm6;54o3a:>5<#j909j:5aag84?>i5k10;6)l?:3d4?kga2110c?m8:18'f5<5n>1emk46;:m1g0<72-h;6?h8;oce>d=<g;i?6=4+b181b2=iio0i76a=c283>!d72;l<7coi:b98k7e5290/n=4=f69mec<c32e9o<4?:%`3>7`03gkm6h54o3a3>5<#j909j:5aag8e?>i5jo0;6)l?:3d4?kga28:07b<me;29 g6=:o=0blh51098k7dc290/n=4=f69mec<6:21d>om50;&a4?4a?2djj7?<;:m1fd<72-h;6?h8;oce>42<3f8i57>5$c296c1<fhl1=854o3`;>5<#j909j:5aag822>=h:k=1<7*m0;0e3>hfn3;<76a=b783>!d72;l<7coi:0:8?j4e=3:1(o>52g58jd`=9010c?l;:18'f5<5n>1emk4>a:9l6g5=83.i<7<i7:lbb?7e32e9n?4?:%`3>7`03gkm6<m4;n0a5?6=,k:1>k94n`d95a=<g;n=6=4+b181b2=iio0:i65`2e794?"e838m;6`nf;3e?>i5l=0;6)l?:3d4?kga2;:07b<k3;29 g6=:o=0blh52098k7b5290/n=4=f69mec<5:21d>i?50;&a4?4a?2djj7<<;:m1g3<72-h;6?h8;oce>72<3f8in7>5$c296c1<fhl1>854o3`3>5<#j909j:5aag812>=h:hl1<7*m0;0e3>hfn38<76g<0g83>!d72:;;7coi:198m66b290/n=4<119mec<632c8<i4?:%`3>6773gkm6?54i22`>5<#j908==5aag80?>o5?o0;6)l?:3c5?kga2910e?9j:18'f5<5i?1emk4>;:k13a<72-h;6?o9;oce>7=<a;=h6=4+b181e3=iio0876g=7c83>!d72;k=7coi:598m71f290/n=4=a79mec<232c9;44?:%`3>7g13gkm6;54i35;>5<#j909m;5aag84?>o5?>0;6)l?:3c5?kga2110e?99:18'f5<5i?1emk46;:k131<72-h;6?o9;oce>d=<a;=86=4+b181e3=iio0i76g=7383>!d72;k=7coi:b98m716290/n=4=a79mec<c32c9;=4?:%`3>7g13gkm6h54i34e>5<#j909m;5aag8e?>o5>l0;6)l?:3c5?kga28:07d<9d;29 g6=:h<0blh51098m70d290/n=4=a79mec<6:21b>;l50;&a4?4f>2djj7?<;:k12<<72-h;6?o9;oce>42<3`8=47>5$c296d0<fhl1=854i344>5<#j909m;5aag822>=n:?<1<7*m0;0b2>hfn3;<76g=6483>!d72;k=7coi:0:8?l41<3:1(o>52`48jd`=9010e?8<:18'f5<5i?1emk4>a:9j634=83.i<7<n6:lbb?7e32c9:<4?:%`3>7g13gkm6<m4;h054?6=,k:1>l84n`d95a=<a;2>6=4+b181e3=iio0:i65f29694?"e838j:6`nf;3e?>o50:0;6)l?:3c5?kga2;:07d<72;29 g6=:h<0blh52098m7>6290/n=4=a79mec<5:21b>5>50;&a4?4f>2djj7<<;:k130<72-h;6?o9;oce>72<3`8=m7>5$c296d0<fhl1>854i37e>5<#j909m;5aag812>=n:<o1<7*m0;0b2>hfn38<76g=5483>>o4:=0;6)l?:206?kga2910e><<:18'f5<4:<1emk4>;:k067<72-h;6><:;oce>7=<a:8:6=4+b18060=iio0876g<1883>!d72:;j7coi:198m67?290/n=4<1`9mec<632c8=:4?:%`3>67f3gkm6?54i235>5<#j908=l5aag80?>i48k0;66a=5e83>>o4:k0;66l=4e83>4<729q/n;4<f:J10f=O:=<0c>k50;9~f75429086=4?{%`5>6><@;>h7E<;6:J12>"3n398;6*;5;08m7?=831b?<4?::ma6?6=3th9?h4?:283>5}#j?0846F=4b9K610<@;<0(9h53258 13=:2c957>5;h12>5<<gk81<75rb311>5<4290;w)l9:2:8L72d3A8?:6F=6:&7b?54?2.?97<4i3;94?=n;80;66am2;29?xd5;j0;684?:1y'f3<4k2B98n5G2548L70<,=l1?>94$5796>o513:17d<m:188m67=831bn<4?::ma6?6=3th9?i4?:283>5}#j?0846F=4b9K610<@;<0(9h53258 13=:2c957>5;h12>5<<gk81<75rb312>5<2290;w)l9:2a8L72d3A8?:6F=6:&7b?54?2.?97<4i3;94?=n:k0;66g<1;29?ld62900co<50;9~f75e290?6=4?{%`5>6d<@;>h7E<;6:&71?4<a;31<75f3083>>oe93:17bl=:188yg44i3:187>50z&a2?5e3A8?o6F=479'00<53`826=44i2394?=nj80;66am2;29?xd5;00;694?:1y'f3<4j2B98n5G2548 13=:2c957>5;h12>5<<ak;1<75`b383>>{e:=>1<7:50;2x g0=;k1C>9m4H365?!222;1b>44?::k05?6=3`h:6=44oc094?=zj;>86=4;:183!d12:h0D?:l;I072>"3=380e?750;9j74<722ci=7>5;n`1>5<<uk;:o7>54;294~"e>39i7E<;c:J103=#<<0?7d<6:188m67=831bn<4?::ma6?6=3th:?54?:483>5}#j?08m6F=4b9K610<,=?1>6g=9;29?l4e2900e?j50;9j74<722ei>7>5;|`27<<72<0;6=u+b780e>N5<j1C>984$5796>o513:17d<m:188m7b=831b?<4?::ma6?6=3th:?l4?:483>5}#j?08m6F=4b9K610<,=?1>6g=9;29?l4e2900e?j50;9j74<722ei>7>5;|`27g<72<0;6=u+b780e>N5<j1C>984$5796>o513:17d<m:188m7b=831b?<4?::ma6?6=3th:?h4?:583>5}#j?08n6F=4b9K610<,=?1>6g=9;29?l562900eo?50;9lf7<722wi=>j50;694?6|,k<1?o5G25a8L7213->>6?5f2883>>o493:17dl>:188kg4=831vn<8<:186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f403290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vn<8::186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f401290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vn<;j:186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f43c290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vn<;l:186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f43e290>6=4?{%`5>6e<@;>h7E<;6:&71?2<a;31<75f2c83>>o493:17dl>:188kg4=831vn<;::186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f434290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vn<;;:186>5<7s-h=6>m4H36`?M43>2.?97:4i3;94?=n:k0;66g<1;29?ld62900co<50;9~f431290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vn<=>:186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f457290>6=4?{%`5>6e<@;>h7E<;6:&71?2<a;31<75f2c83>>o493:17dl>:188kg4=831vn<==:186>5<7s-h=6>o4H36`?M43>2.?97<4i3;94?=n:k0;66g=d;29?l562900co<50;9~f454290>6=4?{%`5>6g<@;>h7E<;6:&71?4<a;31<75f2c83>>o5l3:17d=>:188kg4=831vnno50;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnn750;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnn650;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnn950;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnk>50;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnhh50;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnhk50;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vnhj50;694?6|,k<1?45G25a8L7213->>6?5f2883>>o5l3:17d=>:188kg4=831vn<>m:187>5<7s-h=6>74H36`?M43>2.?97<4i3;94?=n:m0;66g<1;29?jd52900qo??a;290?6=8r.i:7=6;I07g>N5<?1/884=;h0:>5<<a;n1<75f3083>>ie:3:17pl>0883>1<729q/n;4<9:J10f=O:=<0(9;52:k1=?6=3`8o6=44i2394?=hj;0;66sm11:94?2=83:p(o85389K61e<@;>=7):::39j6<<722c9h7>5;h12>5<<gk81<75rbd794?2=83:p(o85389K61e<@;>=7):::39j6<<722c9h7>5;h12>5<<gk81<75rbd694?2=83:p(o85389K61e<@;>=7):::39j6<<722c9h7>5;h12>5<<gk81<75rbd194?2=83:p(o85389K61e<@;>=7):::39j6<<722c9h7>5;h12>5<<gk81<75rbd094?2=83:p(o85389K61e<@;>=7):::39j6<<722c9h7>5;h12>5<<gk81<75rb020>5<3290;w)l9:2;8L72d3A8?:6F=6:&7b?54?2.?97<4i3;94?=n:m0;66g<1;29?jd52900qo??2;290?6=8r.i:7=6;I07g>N5<?1C>;5+4g8072=#<<097d<6:188m7b=831b?<4?::ma6?6=3th:<<4?:583>5}#j?0856F=4b9K610<@;<0(9h53258 13=:2c957>5;h0g>5<<a:;1<75`b383>>{e99:1<7:50;2x g0=;01C>9m4H365?M413->m6>=8;%66>7=n:00;66g=d;29?l562900co<50;9~fab=83>1<7>t$c497<=O:=i0D?:9;I05?!2a2:9<7):::39j6<<722c9h7>5;h12>5<<gk81<75rbea94?2=83:p(o85389K61e<@;>=7E<9;%6e>6503->>6?5f2883>>o5l3:17d=>:188kg4=831vnil50;694?6|,k<1?45G25a8L7213A8=7):i:214?!222;1b>44?::k1`?6=3`9:6=44oc094?=zjmk1<7:50;2x g0=;01C>9m4H365?M413->m6>=8;%66>7=n:00;66g=d;29?l562900co<50;9~fa3=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fa2=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fa5=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fa4=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fcd=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fcg=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fc?=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~fc>=83>1<7>t$c497<=O:=i0D?:9;%66>7=n:00;66g=d;29?l562900co<50;9~f472290?6=4?{%`5>6?<@;>h7E<;6:&71?4<a;31<75f2e83>>o493:17bl=:188yg76:3:187>50z&a2?5>3A8?o6F=479'00<53`826=44i3f94?=n;80;66am2;29?xd6900;694?:1y'f3<4j2B98n5G2548 13=<2c957>5;h12>5<<ak;1<75`b383>>{e9821<7:50;2x g0=;k1C>9m4H365?!222=1b>44?::k05?6=3`h:6=44oc094?=zj;:36=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb32:>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;?6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb33e>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8j6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb30a>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8h6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb30g>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8n6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb30e>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;:j6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb32a>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;:h6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb32g>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;:n6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb32e>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;;6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb332>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;96=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb330>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;>6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb335>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;<6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb33;>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;26=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb33b>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;i6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb33`>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;;o6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb33f>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8;6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb302>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;896=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb300>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8?6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb306>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;8=6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb304>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;836=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb30:>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8k=6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4?7290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0;a>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<l>:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8h86=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4d1290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0`7>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<l::18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8h<6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4?6290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0;7>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<7=:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8386=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4?2290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0;5>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<76:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj83<6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4??290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0;b>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<7l:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj83m6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4?c290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0;f>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<o?:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8k:6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4g3290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0c1>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<o<:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8k>6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4g0290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0cb>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<o7:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8k26=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4ge290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0c`>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<oi:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8ko6=4k:183!d12k90D?:l;I072>o5:3:17d<<:188m72=831b>84?::k05?6=3`986=44i2694?=n;<0;66g<6;29?l4313:17d<;a;29?jd22900c><50;9~f4gb290o6=4?{%`5>g5<@;>h7E<;6:k16?6=3`886=44i3694?=n:<0;66g<1;29?l542900e>:50;9j70<722c8:7>5;h07=?6=3`8?m7>5;n`6>5<<g:81<75rb0`3>5<c290;w)l9:c18L72d3A8?:6g=2;29?l442900e?:50;9j60<722c8=7>5;h10>5<<a:>1<75f3483>>o4>3:17d<;9;29?l43i3:17bl::188k64=831vn<l=:18g>5<7s-h=6o=4H36`?M43>2c9>7>5;h00>5<<a;>1<75f2483>>o493:17d=<:188m62=831b?84?::k02?6=3`8?57>5;h07e?6=3fh>6=44o2094?=zj8226=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:;>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82<6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:5>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82>6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:7>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8286=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:1>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82:6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:3>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=n6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb05g>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=h6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb05a>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=j6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb05:>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=36=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb054>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8==6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb056>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=86=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb051>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=:6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb053>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8<m6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb04f>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8<o6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb04`>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8<i6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb04b>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82m6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:f>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82o6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:`>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj82i6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb0:b>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8=m6=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb057>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj8<26=4::183!d12:k0D?:l;I072>"3=380e?750;9j6g<722c9h7>5;h12>5<<gk81<75rb04;>5<2290;w)l9:2c8L72d3A8?:6*;5;08m7?=831b>o4?::k1`?6=3`9:6=44oc094?=zj;>;6=4<:183!d12=h0D?:l;I072>"3=3k0e9?50;9j07<722ejn7>5;|`170<72:0;6=u+b787f>N5<j1C>984$579e>o393:17d:=:188kdd=831vn<:l:180>5<7s-h=69l4H36`?M43>2.?97?9;h62>5<<a=81<75`ac83>>{e9;31<7=50;2x g0=<k1C>9m4H365?!2228<0e9?50;9j07<722ejn7>5;|``6?6=;3:1<v*m6;6a?M43k2B98;5+44822>o393:17d:=:188kdd=831vnn?50;194?6|,k<18o5G25a8L7213->>6<84i5394?=n<;0;66anb;29?xdd83:1?7>50z&a2?2e3A8?o6F=479'00<6>2c?=7>5;h61>5<<ghh1<75rbca94?5=83:p(o854c9K61e<@;>=7):::048m17=831b8?4?::mbf?6=3thin7>53;294~"e>3>i7E<;c:J103=#<<0::6g;1;29?l252900cll50;9~fgg=8391<7>t$c490g=O:=i0D?:9;%66>40<a=;1<75f4383>>ifj3:17pl>1583>1<729q/n;4;c:J10f=O:=<0(9;51c9j04<722c?>7>5;h60>5<<ghh1<75rb06g>5<3290;w)l9:5a8L72d3A8?:6*;5;3:?l262900e9<50;9j06<722ejn7>5;|`26d<72=0;6=u+b787g>N5<j1C>984$5795<=n<80;66g;2;29?l242900cll50;9~f47e290>6=4?{%`5>1b<@;>h7E<;6:&71?4f3`>:6=44i5094?=n<:0;66g;4;29?jge2900qo?;e;291?6=8r.i:7:k;I07g>N5<?1/884>a:k75?6=3`>96=44i5194?=n<=0;66anb;29?xd6:k0;684?:1y'f3<3l2B98n5G2548 13=9h1b8<4?::k76?6=3`>86=44i5694?=hik0;66sm10594?3=83:p(o854e9K61e<@;>=7):::0:8m17=831b8?4?::k77?6=3`>?6=44o``94?=zj8;:6=4;:183!d12=i0D?:l;I072>"3=320e9?50;9j07<722c??7>5;nca>5<<uk;?:7>53;294~"e>3>i7E<;c:J103=#<<0=7d:>:188m14=831dmo4?::a55`=83?1<7>t$c490a=O:=i0D?:9;%66>2=n<80;66g;2;29?l242900e9:50;9leg<722wini4?:283>5}#j?0?n6F=4b9K610<,=?1=;5f4083>>o3:3:17bom:188ygd029086=4?{%`5>1d<@;>h7E<;6:&71?713`>:6=44i5094?=hik0;66sm15194?3=83:p(o854e9K61e<@;>=7):::328m17=831b8?4?::k77?6=3`>?6=44o``94?=zj8>?6=4::183!d12=n0D?:l;I072>"3=38;7d:>:188m14=831b8>4?::k70?6=3fki6=44}c371?6==3:1<v*m6;6g?M43k2B98;5+44814>o393:17d:=:188m15=831b894?::mbf?6=3th:8?4?:483>5}#j?0?h6F=4b9K610<,=?1?:5f4083>>o3:3:17d:<:188m12=831dmo4?::a577=83?1<7>t$c490a=O:=i0D?:9;%66>4b<a=;1<75f4383>>o3;3:17d:;:188kdd=831vn<<=:186>5<7s-h=69j4H36`?M43>2.?97?k;h62>5<<a=81<75f4283>>o3<3:17bom:188yg75;3:197>50z&a2?2c3A8?o6F=479'00<582c?=7>5;h61>5<<a=91<75f4583>>ifj3:17pl>1d83>6<729q/n;4;b:J10f=O:=<0(9;51d9j04<722c?>7>5;nca>5<<uk;9<7>55;294~"e>3>o7E<;c:J103=#<<08;6g;1;29?l252900e9=50;9j01<722ejn7>5;|`aa?6=<3:1<v*m6;6`?M43k2B98;5+44815>o393:17d:=:188m15=831dmo4?::af=<72=0;6=u+b787g>N5<j1C>984$57964=n<80;66g;2;29?l242900cll50;9~f426290?6=4?{%`5>1e<@;>h7E<;6:&71?7d3`>:6=44i5094?=n<:0;66anb;29?xden3:197>50z&a2?2c3A8?o6F=479'00<6?2c?=7>5;h61>5<<a=91<75f4583>>ifj3:17plm9;291?6=8r.i:7:k;I07g>N5<?1/884>7:k75?6=3`>96=44i5194?=n<=0;66anb;29?xd6990;684?:1y'f3<3l2B98n5G2548 13=?2c?=7>5;h61>5<<a=91<75f4583>>ifj3:17pl>4c83>7<729q/n;4;6:J10f=O:=<0e9>50;9leg<722wi=?650;094?6|,k<18;5G25a8L7213`>;6=44o``94?=zj8;m6=4::183!d12=o0D?:l;I072>"3=3;m7d:>:188m14=831b8>4?::k70?6=3f>36=44}c374?6==3:1<v*m6;6f?M43k2B98;5+4480?l262900e9<50;9j06<722c?87>5;n6;>5<<uz98>7>57z\077=:98>18>5210`901=:98=18952103904=:99l18<52102904=z{:9;6=4>ez\11a=Y:<>0R>=n;_10<>X5=:1U?>;4^22b?[5412T8<o5Q30`8Z73e3W8>m6P=589]60><V:9m7S=<e:\07a=Y;:i0R><i;_11a>X4:m1U??m4=36g>6c<5;9h6>?4=312>67<5;9i6>?4=31b>67<5;926>?4=367>67<5;>86>?4}r100?6=;rT8?95222a9f4=:::;1n<5rs222>5<5sW9;=63>188a6>{t;=;1<7=t^262?873>3>:70?;1;62?xu4:k0;6::t^20a?844;38270<<2;0:?844938270<<b;0:?844i38270<<9;0:?876k38270?<8;0:?874138270?<a;0:?874j38270?<1;0:?874838270?<2;0:?874;38270h?:3;89``=:016ih4=9:?f`?4>34;;n7<6;<33e?4>34;;57<6;<33<?4>34;;?7<6;<336?4>34;;=7<6;<334?4>34n>6?74=e696<=:l:09563k2;0:?876=38270?>2;0:?876138270?>8;0:?847038270<?9;0:?846<38270<>f;0:?845i38270<=b;0:?845k38270<=d;0:?845m38270<=f;0:?847i38270<?b;0:?847k38270<?d;0:?847m38270<?f;0:?846838270<>1;0:?846:38270<>3;0:?846=38270<>6;0:?846?38270<>8;0:?846138270<>a;0:?846j38270<>c;0:?846l38270<>e;0:?845838270<=1;0:?845:38270<=3;0:?845<38270<=5;0:?845>38270<=7;0:?845038270<=9;0:?87?138270?78;0:?87??38270?76;0:?87?=38270?74;0:?87?;38270?72;0:?87?938270?70;0:?870m38270?8d;0:?870k38270?8b;0:?870i38270?89;0:?870038270?87;0:?870>38270?85;0:?870;38270?82;0:?870938270?80;0:?871n38270?9e;0:?871l38270?9c;0:?871j38270?9a;0:?87?n38270?7e;0:?87?l38270?7c;0:?87?j38270?7a;0:?870n38270?84;0:?871138270?98;0:?xu48>0;6?uQ3158945b2k80q~=<b;290f}Y;:h01?=j:3;8975d2;301?=k:3;897232;301?:<:3;8945b2;301<=k:3;894042;301<8;:3;894022;301<89:3;8943b2;301<;k:3;8943d2;301<;m:3;894322;301<;<:3;894332;301<;9:3;89fg=:016o44=9:?`<?4>34i<6?74=d796<=:m=09563j3;0:?8c52;301ij5289>`f<5127on7<6;<fb>7?<5oh1>452f`81=>;a138270h7:3;894g12;>270?60;07=>;61k0984521c3961?<58h86?:6;<3a2?43127:n94=489>5g3=:=301<l8:36:?87>938?563>95810<=:9081>974=0;0>72>34;297<;9:?2=3<5<016=47525;894?02;>270?68;07=>;61h09845218a961?<583m6?:6;<3:`?43127:5h4=489>5d6=:=301<o>:36:?87f<38?563>a3810<=:9h91>974=0c6>72>34;j;7<;9:?2ed<5<016=l6525;894g>2;>270?nb;07=>;6ij0984521`d961?<58ko6?:6;<3ba?43127:n=4=489>5g4=:=30q~<i8;296~X5l916=575b39~w7`12909wS<lf:?2<=<e:2wx>k;50;0xZ7eb34;3;7l=;|q1b1<72;qU>nj4=0:5>g4<uz8m?7>52z\1gf=:91?1n?5rs3d1>5<5sW8hn63>858a6>{t:o;1<7<t^3ab?87?;3h97p}=f183>7}Y:j301<6=:c08yv4bn3:1>vP=c99>5=7=j;1v?kj:181[4d?27:4=4m2:p6`e=838pR?m:;<34a?d53ty9io4?:3y]6f2<58=o6o<4}r0fe?6=:rT9o>5216a9f7=z{;o26=4={_0`6>;6?k0i>6s|2d:94?4|V;i:70?8a;`1?xu5m>0;6?uQ2b28941>2k80q~<j6;296~X5jo16=:65b39~w7c22909wS<me:?232<e:2wx>h:50;0xZ7dc34;<:7l=;|q1a6<72;qU>om4=056>g4<uz8n=7>52z\1fd=:9>91n?5rs3g3>5<5sW8i563>738a6>{t:ml1<7<t^3`;?87093h97p}=dd83>7}Y:k=01<9?:c08yv4cl3:1>vP=b79>53`=j;1v?jl:181[4e=27::h4m2:p6ad=838pR?l;;<35`?d53ty9hl4?:3y]6g5<58<h6o<4}r0g=?6=:rT9n?5217`9f7=z{;n36=4={_0a5>;6>h0i>6s|2gd94?4|V;n=70?7f;`1?xu5nl0;6?uQ2e7894>b2k80q~<id;296~X5l=16=5j5b39~w7`d2909wS<k3:?2<f<e:2wx>kl50;0xZ7b534;3n7l=;|q1bd<72;qU>i?4=0:b>g4<uz8m57>52z\1g3=:9>l1n?5rs3gg>5<5sW8in63>758a6>{t:l81<7<t^3`3?87113h97p}=d683>7}Y:hl01<87:c08yv4f?3:1>vP=7g9>5g4=;81v?o::181[40m27:n=4<1:p6d2=838pR?9k;<3bb?563ty9m>4?:3y]62e<58kn6>?4}r0b6?6=:rT9;o521`f974=z{;k:6=4={_04e>;6ij08=6s|2`294?4|V;=270?nb;12?xu51o0;6?uQ26:894gf2:;0q~<6e;296~X5?>16=l75309~w7?c2909wS<86:?2e=<492wx>4l50;0xZ71334;j;7=>;|q1=d<72;qU>:=4=0c6>67<uz8257>52z\137=:9h>1?<5rs3;;>5<5sW8<=63>a2805>{t:0=1<7<t^353?87f:39:7p}=9783>7}Y:?l01<o>:238yv4>=3:1>vP=6d9>5d6=;81v?7;:181[41l27:5k4<1:p6<5=838pR?8l;<3:a?563ty95?4?:3y]63d<583o6>?4}r0:4?6=:rT9:45218a974=z{;2m6=4={_05<>;61h08=6s|29g94?4|V;<<70?69;12?xu50m0;6?uQ274894??2:;0q~<7c;296~X5><16=495309~w7>e2909wS<94:?2=3<492wx>5o50;0xZ70434;297=>;|q1<<<72;qU>;<4=0;7>67<uz8347>52z\124=:9091?<5rs3:4>5<5sW8=<63>93805>{t:ho1<7<t^3:6?87>939:7p}=ae83>7}Y:1>01<l8:238yv4fk3:1>vP=829>5g0=;81v?om:181[4?:27:n84<1:p6dg=838pR?6>;<3a0?563ty9m44?:3y]6=6<58h86>?4}r0b<?6=:rT9;8521c3974=z{;3h6=4={_05e>;6i?08=6s|28394?4|V;?m70?6b;12?xu50?0;6?uQ24g894?72:;0q~<<2;297~;5;:08=63=338a6>;5;809n6s|22194?4|5;986o<4=316>17<uz88h7>53z?17`<49279?n4=b:?17a<e:2wx>>k50;0x975b2k801?:?:538yv4493:1?v3=33805>;5;80i>63=34876>{t::i1<7=t=31`>g4<5;9o6>?4=363>14<uz8887>54z?17g<e9279?l4m1:?17<<e9279?84nb:p66>=83op1?=m:c08947d2k;01<=7:3f8945>2;n01<=n:3f8945e2;n01<=>:3f894572k;01<==:3f894542;n01<?::3f894752;n01<?6:c38947?2k;0q~<<7;2954}:::k1n?52f181`>;bn38o70kj:3f89`b=:m16==l52e9>55g=:m16==752e9>55>=:m16===52e9>554=:m16==?52e9>556=:m16h84=d:?g0?4c34n86?j4=e096a=z{;9=6=4:1z?17<<e:279<54=d:?14<<5l279=94=d:?15c<5l279>l4=d:?16g<5l279>n4=d:?16a<5l279>h4=d:?16c<5l279<l4=d:?14g<5l279<n4=d:?14a<5l279<h4=d:?14c<5l279==4=d:?154<5l279=?4=d:?156<5l279=84=d:?153<5l279=:4=d:?15=<5l279=44=d:?15d<5l279=o4=d:?15f<5l279=i4=d:?15`<5l279>=4=d:?164<5l279>?4=d:?166<5l279>94=d:?160<5l279>;4=d:?162<5l279>54=d:?16<<5l27:444=d:?2<=<5l27:4:4=d:?2<3<5l27:484=d:?2<1<5l27:4>4=d:?2<7<5l27:4<4=d:?2<5<5l27:;h4=d:?23a<5l27:;n4=d:?23g<5l27:;l4=d:?23<<5l27:;54=d:?232<5l27:;;4=d:?230<5l27:;>4=d:?237<5l27:;<4=d:?235<5l27::k4=d:?22`<5l27::i4=d:?22f<5l27::o4=d:?22d<5l27:4k4=d:?2<`<5l27:4i4=d:?2<f<5l27:4o4=d:?2<d<5l27:;k4=d:?231<5l27::44=d:?22=<5l2wx>>h50;1x97232k;01?:<:c3897272hh0q~<;2;29b~;5<=0i>63>3d8a5>;6;m0i=63>6281`>;6>=09h63>6481`>;6>?09h63>5d81`>;6=m09h63>5b81`>;6=k0i=63>5481`>;6=:09h63>558a5>;6=?09h6s|25394?76s48??7l=;<ab>7b<5j31>i52c981`>;d?38o70k::3f89`2=:m16i>4=d:?f6?4c34no6?j4=ea96a=:lk09h63ka;0g?8`e2;n01ko52e9>b<<5l27m47<k;|q25a<72;q6=<m5309>576=ik1v<?l:187876k3h970?>7;62?877n3>970?>0;61?xu68o0;6>?t=01;>7d<58926?l4=01b>7d<589i6?l4=012>7d<589;6?l4=011>7d<58986?l4=32;>7d<5;:26?l4=337>7d<5;;m6?l4=30b>7d<5;8i6?l4=30`>7d<5;8o6?l4=30f>7d<5;8m6?l4=32b>7d<5;:i6?l4=32`>7d<5;:o6?l4=32f>7d<5;:m6?l4=333>7d<5;;:6?l4=331>7d<5;;86?l4=336>7d<5;;=6?l4=334>7d<5;;36?l4=33:>7d<5;;j6?l4=33a>7d<5;;h6?l4=33g>7d<5;;n6?l4=303>7d<5;8:6?l4=301>7d<5;886?l4=307>7d<5;8>6?l4=305>7d<5;8<6?l4=30;>7d<5;826?l4=033>dd<uz;9o7>57z?27=<4927:?=4m2:?26<<3:27:>l4;3:?26g<3927:>54;0:?25c<3:2wx=>:50;0a87403h970?n6;10?87>839870?6b;10?87e939870?m3;10?87e>39870?m4;10?87e=39870?m7;10?87>939870?64;10?87>:39870?63;10?87>=39870?66;10?87>139870?67;10?87>039870?6a;10?87>k39870?6f;10?87>l39870?6e;10?87f839870?n1;10?87f<39870?n2;10?87f;39870?n5;10?87f?39870?na;10?87f039870?n9;10?87fj39870?nc;10?87fn39870?nd;10?87fm39870?m0;10?87e:39870ll:50894462=90q~?=d;292~;6;008=63>308a6>;6:00?=63>2`875>;6:k0??63>22875>{t9:?1<7<l{<30=?d534;j:7=;;<3:4?5334;2n7=;;<3a5?5334;i?7=;;<3a2?5334;i87=;;<3a1?5334;i;7=;;<3:5?5334;287=;;<3:6?5334;2?7=;;<3:1?5334;2:7=;;<3:=?5334;2;7=;;<3:<?5334;2m7=;;<3:g?5334;2j7=;;<3:`?5334;2i7=;;<3b4?5334;j=7=;;<3b0?5334;j>7=;;<3b7?5334;j97=;;<3b3?5334;jm7=;;<3b<?5334;j57=;;<3bf?5334;jo7=;;<3bb?5334;jh7=;;<3ba?5334;i<7=;;<3a6?5334hh69?4=c`907=:9;;1895rs00f>5<2s4;8m7=>;<306?d534;9m7:=;<31f?2334;9?7:<;|q273<72;ip1<=n:c0894g12:?01<7?:27894?e2:?01<l>:27894d42:?01<l9:27894d32:?01<l::27894d02:?01<7>:27894?32:?01<7=:27894?42:?01<7::27894?12:?01<76:27894?02:?01<77:27894?f2:?01<7l:27894?a2:?01<7k:27894?b2:?01<o?:27894g62:?01<o;:27894g52:?01<o<:27894g22:?01<o8:27894gf2:?01<o7:27894g>2:?01<om:27894gd2:?01<oi:27894gc2:?01<oj:27894d72:?01<l=:2789gd=<816nl4;2:?267<3;2wx=?h50;6x945e2:;01<=<:c08944e2=801<?j:508yv74?3:1>nu212`9f7=:l<08=63>a7802>;61908:63>9c802>;6j808:63>b2802>;6j?08:63>b5802>;6j<08:63>b6802>;61808:63>95802>;61;08:63>92802>;61<08:63>97802>;61008:63>96802>;61108:63>9`802>;61j08:63>9g802>;61m08:63>9d802>;6i908:63>a0802>;6i=08:63>a3802>;6i:08:63>a4802>;6i>08:63>a`802>;6i108:63>a8802>;6ik08:63>ab802>;6io08:63>ae802>;6il08:63>b1802>;6j;08:63ma;62?875:3>?7p}>3g83>6}:9:o1?<5212f974=:9=81mo5rs01g>5<4s4;8h7l=;<372?2534;?<7:=;|q222<72:?p1<8<:3`894032;h01<8::3`894012;h01<;j:3`8943c2;h01<;l:3`8943e2;h01<;::3`894342;h01<;;:3`894312;h01<o9:36b?87>838?m63>9c810d=:9k;1>9o4=0`0>72f34;i:7<;a:?2f1<5<h16=o;525c894d02;>j70?61;07e>;61=098l52180961g<58386?:n;<3:1?43i27:5;4=4`9>5<?=:=k01<78:36b?87>038?m63>9`810d=:90i1>9o4=0;e>72f34;2h7<;a:?2=`<5<h16=l>525c894g62;>j70?n4;07e>;6i;098l521`1961g<58k>6?:n;<3b3?43i27:ml4=4`9>5d>=:=k01<o6:36b?87fj38?m63>ab810d=:9hl1>9o4=0cg>72f34;ji7<;a:?2f5<5<h16=o<525c894212hh0q~?:7;297~;6>:08=63>5c8a6>;6<:0??6s|14d94?4fs4;=?7l=;<3b2?4534;2<7<=;<3:f?4534;i=7<=;<3a7?4534;i:7<=;<3a0?4534;i97<=;<3a3?4534;2=7<=;<3:0?4534;2>7<=;<3:7?4534;297<=;<3:2?4534;257<=;<3:3?4534;247<=;<3:e?4534;2o7<=;<3:b?4534;2h7<=;<3:a?4534;j<7<=;<3b5?4534;j87<=;<3b6?4534;j?7<=;<3b1?4534;j;7<=;<3be?4534;j47<=;<3b=?4534;jn7<=;<3bg?4534;jj7<=;<3b`?4534;ji7<=;<3a4?4534;i>7<=;<a1>14<uz;>47>53z?221<4927:9n4m2:?206<392wx=;>50;0a871<3h970?n6;00?87>838870?6b;00?87e938870?m3;00?87e>38870?m4;00?87e=38870?m7;00?87>938870?64;00?87>:38870?63;00?87>=38870?66;00?87>138870?67;00?87>038870?6a;00?87>k38870?6f;00?87>l38870?6e;00?87f838870?n1;00?87f<38870?n2;00?87f;38870?n5;00?87f?38870?na;00?87f038870?n9;00?87fj38870?nc;00?87fn38870?nd;00?87fm38870?m0;00?87e:38870m=:5389f7=<;1v<;6:180871=39:70?:d;`1?873<3>87p}>6083>7d|58<>6o<4=0c5>72<583;6?:4=0;a>72<58h:6?:4=0`0>72<58h=6?:4=0`7>72<58h>6?:4=0`4>72<583:6?:4=0;7>72<58396?:4=0;0>72<583>6?:4=0;5>72<58326?:4=0;4>72<58336?:4=0;b>72<583h6?:4=0;e>72<583o6?:4=0;f>72<58k;6?:4=0c2>72<58k?6?:4=0c1>72<58k86?:4=0c6>72<58k<6?:4=0cb>72<58k36?:4=0c:>72<58ki6?:4=0c`>72<58km6?:4=0cg>72<58kn6?:4=0`3>72<58h96?:4=b3904=:k90?>6s|14c94?5|58<=6>?4=07f>g4<58>?69?4}r356?6=:kq6=;85b39>bg<4927:m;4=5:?2=5<5=27:5o4=5:?2f4<5=27:n>4=5:?2f3<5=27:n94=5:?2f0<5=27:n:4=5:?2=4<5=27:594=5:?2=7<5=27:5>4=5:?2=0<5=27:5;4=5:?2=<<5=27:5:4=5:?2==<5=27:5l4=5:?2=f<5=27:5k4=5:?2=a<5=27:5h4=5:?2e5<5=27:m<4=5:?2e1<5=27:m?4=5:?2e6<5=27:m84=5:?2e2<5=27:ml4=5:?2e=<5=27:m44=5:?2eg<5=27:mn4=5:?2ec<5=27:mi4=5:?2e`<5=27:n=4=5:?2f7<5=27h<7:>;|q217<72=q6=8k5309>500=j;16=9k5439>513=<81v<;>:186872l39:70?:5;`1?873l3>970?;e;67?87383>:7p}>5183>3}:9<i1?<521469f7=:9=i18<5215f904=:9=o18>52157906=z{8>m6=48{<36f?5634;>?7l=;<37g?2534;?h7:<;<37a?2634;?=7:<;<37f?273ty:844?:3y>503=;816=9j5ac9~w4202909w0?:3;12?873j3ki7p}>4983>7}:9<>1?<5215a9eg=z{8>j6=4={<362?5634;?i7om;|q260<72;q6=>?5309>57?=ik1v<<;:181874839:70?=8;ca?xu6:?0;6?u2120974=:9;k1mo5rs004>5<5s4;8?7=>;<31f?ge3tyn=7>55z?`e?5634o>6o<4=c5907=:j10?>63m9;61?xud>3:1?v3la;`1?873<3>970?;5;61?xudj3:1>v3l9;12?8d02hh0q~m::1808e>2k801<:;:56894272=90q~ml:1818e?2:;01o65ac9~wf2=839p1n65b39>515=<;16=9;5459~wfb=838p1n95309>f<<fj2wxo>4?:2y>g2<e:27:8>4;4:?204<3:2wx==950;7x9c6=;816==l5b39>fa<3:27ii7:=;<`e>14<uzoh6=4<{<d3>g4<588969<4=03f>17<uzl:6=4={<ge>67<5kn1mo5rsd`94?5|5ll1n?52130904=:9;91895rsg094?4|5lo1?<52bd8bf>{tmh0;6>u2ed8a6>;6:80?>63>22876>{tn:0;6?u2ee805>;en3ki7p}j9;297~;bl3h970?=1;62?876n3>:7p}if;296~;68k08=63>028a6>{tnl0;6?u211c974=:9981n?5rs025>5<3s4;;m7l=;<`g>17<5ko18<52bg875>{tnm0;6?u211;974=:99;1n?5rs026>5<4s4;;57l=;<`f>15<5kl18>5rsga94?4|58:36>?4=023>g4<uz;;87>52z?24=<e:27ij7:;;|qg=?6=:r7n97=>;<fg>g4<uzn36=4={<g7>67<5mi1n?5rsd294?2|5l>1n?52b6875>;e03>:70l6:538yvb02909w0k<:2389ad=j;1vih50;1x9`5=j;16n54;3:?a=?243tyo:7>52z?f6?5634nj6o<4}rff>5<5s4o96o<4=c;901=z{o=1<7<t=020>67<5oh1n?5rsg494?4|58:96>?4=gc9f7=z{o?1<7<t=022>67<5o31n?5rsg694?4|58:;6>?4=g:9f7=z{m;1<7<t=ef974=:l<0i>6s|d183>7}:lj08=63k4;`1?xudn3:1>v3kb;12?8b42k80q~mj:1818bf2:;01i<5b39~w`0=838p1i:5309>fd<fj2wxi:4?:3y>`6<4927in7om;|qf<?6=:r7o>7=>;<``>dd<uz;;o7>52z?ee?5634i;6ll4}r33`?6=:r7m57=>;<a2>dd<uz;;i7>52z?e<?5634i96ll4}r322?6=:r7:=84<1:?252<fj2wx=<;50;5x94722k801<?;:508947e2=901<?8:51894762=901<>i:56894772=>0q~?>3;296~;69;08=63>158bf>{t9881<79t=031>g4<58;?69?4=03a>14<58;<69<4=032>14<58:m69=4=033>15<uz;:m7>53z?25<<4927:=54<1:?25g<fj2wx=<650;0x947?2k801<?m:538yv7e03:1>v3=09805>;61908>6s|1d294?4|5;:36o<4=04;>67<uz;h?7>52z?14<<4927:5o4<2:p5`d=838p1?>6:c08940>2:;0q~?le;296~;59=08=63>a7806>{t9o<1<7<t=337>g4<58=?6>?4}r3g=?6=:r79=k4<1:?2f4<4:2wx>=?50;0x977a2k801<9i:238yv7ci3:1>v3=2`805>;6j:08>6s|21094?4|5;8j6o<4=0:b>67<uz;on7>52z?16g<4927:n94<2:p655=838p1?<m:c0894>e2:;0q~?kc;296~;5:j08=63>b4806>{t:9>1<7<t=30`>g4<582h6>?4}r3g`?6=:r79>i4<1:?2f3<4:2wx>=;50;0x974c2k801<6k:238yv7cm3:1>v3=2d805>;6j>08>6s|21494?4|5;8n6o<4=0:f>67<uz;oj7>52z?16c<4927:5<4<2:p651=838p1?<i:c0894>a2:;0q~?m9;296~;58h08=63>93806>{t9l;1<7<t=32b>g4<58<j6>?4}r3ae?6=:r79<o4<1:?2=6<4:2wx=h<50;0x976e2k801<8m:238yv7ej3:1>v3=0b805>;61=08>6s|1d194?4|5;:h6o<4=04`>67<uz;io7>52z?14a<4927:584<2:p5`2=838p1?>k:c08940c2:;0q~?md;296~;58l08=63>97806>{t9l?1<7<t=32f>g4<58<n6>?4}r3aa?6=:r79<k4<1:?2=2<4:2wx=h850;0x976a2k801<8i:238yv7en3:1>v3=11805>;61108>6s|1d594?4|5;;;6o<4=053>67<uz;h<7>52z?154<4927:544<2:p5`>=838p1??>:c0894162:;0q~?l1;296~;59;08=63>9`806>{t9l31<7<t=331>g4<58=96>?4}r3`6?6=:r79=>4<1:?2=f<4:2wx=ho50;0x97742k801<9<:238yv7d<3:1>v3=14805>;61m08>6s|1da94?4|5;;>6o<4=056>67<uz;h97>52z?153<4927:5h4<2:p5`b=838p1??9:c0894112:;0q~?l6;296~;59>08=63>9g806>{t9lo1<7<t=334>g4<58=<6>?4}r3`3?6=:r79=54<1:?2e5<4:2wx=hh50;0x977?2k801<97:238yv7d03:1>v3=18805>;6i808>6s|1g294?4|5;;26o<4=05:>67<uz;h57>52z?15d<4927:m?4<2:p5c7=838p1??n:c08941f2:;0q~?la;296~;59k08=63>a2806>{t9o81<7<t=33a>g4<58=i6>?4}r3`f?6=:r79=n4<1:?2e1<4:2wx=k=50;0x977d2k801<9l:238yv7dk3:1>v3=1e805>;6i<08>6s|1g694?4|5;;o6o<4=05g>67<uz;hh7>52z?15`<4927:m:4<2:p5c3=838p1??j:c08941b2:;0q~?lf;296~;5:908=63>a9806>{t9o=1<7<t=303>g4<582;6>?4}r3g4?6=:r79><4<1:?2e<<4:2wx=k650;0x97462k801<6>:238yv7c93:1>v3=23805>;6ih08>6s|1g;94?4|5;896o<4=0:1>67<uz;o>7>52z?166<4927:mo4<2:p5cg=838p1?<<:c0894>42:;0q~?k3;296~;5:=08=63>ab806>{t9oh1<7<t=307>g4<582?6>?4}r3g0?6=:r79>84<1:?2ea<4:2wx=km50;0x97422k801<6::238yv7c=3:1>v3=27805>;6il08>6s|1gf94?4|5;8=6o<4=0:5>67<uz;o:7>52z?162<4927:mk4<2:p5cc=838p1?<8:c0894>02:;0q~?k7;296~;5:108=63>b1806>{t9ol1<7<t=30;>g4<58236>?4}r3g<?6=:r79>44<1:?2f7<4:2wx>=>50;0x974>2k801<66:238yv4483:1>4u219;96g=:9121>o5219596g=:91<1>o5219796g=:91>1>o5219196g=:9181>o5219396g=:91:1>o5216g96g=:9>n1>o5216a96g=:9>h1>o5216c96g=:9>31>o5216:96g=:9>=1>o5216496g=:9>?1>o5216196g=:9>81>o5216396g=:9>:1>o5217d96g=:9?o1>o5217f96g=:9?i1>o5217`96g=:9?k1>o5219d96g=:91o1>o5219f96g=:91i1>o5219`96g=:91k1>o5216d96g=:9>>1>o5217;96g=:9?21>o521039eg=z{89h6=4={<33b?ge34;:j7:<;|q206<72;q6=9=5ac9>514=<81v<:;:181873<3ki70?;2;61?xu6<<0;6?u21579eg=:9=818>5rs063>5<5s4;?>7:;;<374?2?3ty:><4?:3y>577=ik16=?>5409~w4452909w0?=2;ca?87583>97p}>2283>7}:9;91mo52132906=z{8;n6=4={<32a?ge34;:j7:;;|q25c<72;q6=?>5459>54`=<11v:850;0x94262hh01<:?:568yxu5i>0;6?uQ26d8971=:>l0(?:::828yv4f=3:1>vP=7d9>62<5?l1/>9;5909~w7g32909wS<8d:?13?40l2.988467:p6d5=838pR?9l;<04>71d3-8?977j;|q1e7<72;qU>:l4=35962d<,;>>68<4}r0b5?6=:rT9;l5226813d=#:=?19i5rs3c3>5<5sW8<563=7;04=>"5<<0=46s|28d94?4|V;=370<8:35;?!43=3<m7p}=9d83>7}Y:>=01?952658 7222>:0q~<6d;296~X5??16>:4=779'613=?81v?7m:181[40<279;7<84:&100<0:2wx>4o50;0xZ714348<6?9<;%071?143ty9544?:3y]624<5;=1>:<4$366>22<uz8247>52z\134=::>09;<5+257930=z{;3<6=4={_044>;5?38<<6*=44842>{t:0<1<7<t^34e?8402;<m7)<;5;54?xu51<0;6?uQ27g8971=:?o0(?:::6:8yv4><3:1>vP=6e9>62<5>m1/>9;5789~w7?42909wS<9c:?13?41k2.98848a:p6<4=838pR?8m;<04>70e3-8?979m;|q1=5<72;qU>;74=35963?<,;>>6:m4}r0;b?6=:rT9:55226812==#:=?1;i5rs3:f>5<5sW8=;63=7;053>"5<<0<i6s|29f94?4|V;<=70<8:345?!43=3=m7p}=8b83>7}Y:??01?952778 72221:0q~<7b;296~X5>=16>:4=659'613=081v?6n:181[41;279;7<93:&100<?:2wx>5750;0xZ705348<6?8=;%071?>43ty9454?:3y]637<5;=1>;?4$366>=2<uz83;7>52z\125=::>09:=5+2579<0=z{;kn6=4={_0;1>;5?38396*=448;2>{t:hn1<7<t^3:7?8402;2?7)<;5;:4?xu5ij0;6?uQ2918971=:190(?:::9:8yv4fj3:1>vP=839>62<50;1/>9;5889~w7gf2909wS<71:?13?4?92.98847a:p6d?=838pR?6?;<04>7>73-8?976m;|q1e=<72;qU>:;4=359623<,;>>65m4}r0:g?6=:rT9:l5226812d=#:=?14i5rs3;2>5<5sW8>j63=7;06b>"5<<03i6s|29494?4|V;?n70<8:37f?!43=32m7p}<2c83>7}Y;;h01?9533`8 7222080q~=<2;296~X4;;16>:4<339'613=1:1v>=;:181[54<279;7=<4:&100<><2wx?>l50;0xZ65e348<6>=m;%071??23ty88<4?:3y]717<5;=1?9?4$366><0<uz8m47>52z\1`5=::>09h=5+2579===z{;l=6=4={_0`b>;5?38hj6*=448:=>{t:o?1<7<t^3af?8402;in7)<;5;;b?xu5n=0;6?uQ2bf8971=:jn0(?:::8`8yv4a;3:1>vP=cb9>62<5kj1/>9;59b9~w7`52909wS<lb:?13?4dj2.98846d:p6c7=838pR?mn;<04>7ef3-8?977i;|q1b5<72;qU>n74=3596f?<,;>>6l>4}r0fb?6=:rT9o5522681g==#:=?1m<5rs3gf>5<5sW8h;63=7;0`3>"5<<0j>6s|2da94?4|V;i>70<8:3a6?!43=3k87p}=ec83>7}Y:j>01?952b68 7222h>0q~<ja;296~X5k:16>:4=c29'613=i<1v?k6:181[4d:279;7<l2:&100<f>2wx>h650;0xZ7e6348<6?m>;%071?g03ty9i:4?:3y]6f6<5;=1>n>4$366>d><uz8n:7>52z\1fc=::>09nk5+257916=z{;o>6=4={_0aa>;5?38ii6*=44860>{t:l>1<7<t^3`g?8402;ho7)<;5;76?xu5m:0;6?uQ2ca8971=:ki0(?:::448yv4b93:1>vP=b`9>62<5jh1/>9;5569~w7c72909wS<m9:?13?4e12.9884:8:p6a`=838pR?l7;<04>7d?3-8?97;6;|q1``<72;qU>o94=3596g1<,;>>68o4}r0g`?6=:rT9n;522681f3=#:=?19o5rs3f`>5<5sW8i963=7;0a1>"5<<0>o6s|2e`94?4|V;h?70<8:3`7?!43=3?n7p}=d`83>7}Y:k901?952c18 7222<l0q~<k9;296~X5j;16>:4=b39'613=>91v?j7:181[4e9279;7<m1:&100<192wx>kh50;0xZ7b1348<6?j9;%071?053ty9jh4?:3y]6a3<5;=1>i;4$366>35<uz8mh7>52z\1`1=::>09h95+257921=z{;lh6=4={_0g7>;5?38o?6*=44851>{t:oh1<7<t^3f1?8402;n97)<;5;45?xu5nh0;6?uQ2e38971=:m;0(?:::758yv4a13:1>vP=c79>62<5k?1/>9;5689~w7cc2909wS<mb:?13?4ej2.98849a:p6`4=838pR?l?;<04>7d73-8?978m;|q1`2<72;qU>lh4=3596d`<,;>>6;m4}r135?6=:rT8<<52268044=#:=?1:i5rs224>5<5sW9;;63=7;133>"5<<0=i6srn974>5<5sA8?:6sa84:94?4|@;>=7p`75883>7}O:=<0qc6:a;296~N5<?1vb5;m:181M43>2we48m50;0xL7213td39i4?:3yK610<ug2>i7>52zJ103=zf1?m6=4={I072>{i0?:1<7<tH365?xh?>80;6?uG2548yk>1:3:1>vF=479~j=042909wE<;6:m<32=838pD?:9;|l;20<72;qC>984}o:52?6=:rB98;5rn944>5<5sA8?:6sa87:94?4|@;>=7p`76883>7}O:=<0qc69a;296~N5<?1vb58m:181M43>2we4;m50;0xL7213td3:i4?:3yK610<ug2=i7>52zJ103=zf1<m6=4={I072>{i0>:1<7<tH365?xh??80;6?uG2548yk>0:3:1>vF=479~j=142909wE<;6:m<22=838pD?:9;|l;30<72;qC>984}o:42?6=:rB98;5rn954>5<5sA8?:6sa86:94?4|@;>=7p`77883>7}O:=<0qc68a;296~N5<?1vb59m:181M43>2we4:m50;0xL7213td3;i4?:3yK610<ug2<i7>52zJ103=zf1=m6=4={I072>{i01:1<7<tH365?xh?080;6?uG2548yk>?:3:1>vF=479~j=>42909wE<;6:m<=2=838pD?:9;|l;<0<72;qC>984}o:;2?6=:rB98;5rn9:4>5<5sA8?:6sa89:94?4|@;>=7p`78883>7}O:=<0qc67a;296~N5<?1vb56m:181M43>2we45m50;0xL7213td34i4?:3yK610<ug23i7>52zJ103=zf12m6=4={I072>{i00:1<7<tH365?xh?180;6?uG2548yk>>:3:1>vF=479~j=?42909wE<;6:m<<2=838pD?:9;|l;=0<72;qC>984}o::2?6=:rB98;5rn9;4>5<5sA8?:6sa88:94?4|@;>=7p`79883>7}O:=<0qc66a;296~N5<?1vb57m:181M43>2we44m50;0xL7213td35i4?:3yK610<ug22i7>52zJ103=zf13m6=4={I072>{i0h:1<7<tH365?xh?i80;6?uG2548yk>f:3:1>vF=479~j=g42909wE<;6:m<d2=838pD?:9;|l;e0<72;qC>984}o:b2?6=:rB98;5rn9c4>5<5sA8?:6sa8`:94?4|@;>=7p`7a883>7}O:=<0qc6na;296~N5<?1vb5om:181M43>2we4lm50;0xL7213td3mi4?:3yK610<ug=??7>51zJ103=zf>3j6=4>{I072>{i?0h1<7?tH365?xh01j0;6<uG2548yk1>l3:1=vF=479~j2?b290:wE<;6:m3<`=83;pD?:9;|l4e5<728qC>984}o5b5?6=9rB98;5rn6c1>5<6sA8?:6sa7`194?7|@;>=7p`8a583>4}O:=<0qc9n5;295~N5<?1vb:o9:182M43>2we;l950;3xL7213td<m54?:0yK610<ug=j57>51zJ103=zf>kj6=4>{I072>{i?hh1<7?tH365?xh0ij0;6<uG2548yk1fl3:1=vF=479~j2gb290:wE<;6:m3d`=83;pD?:9;|l4f5<728qC>984}o5a5?6=9rB98;5rn6`1>5<6sA8?:6sa7c194?7|@;>=7p`8b583>4}O:=<0qc9m5;295~N5<?1vb:l9:182M43>2we;o950;3xL7213td<n54?:0yK610<ug=i57>51zJ103=zf>hj6=4>{I072>{i?kh1<7?tH365?xh0jj0;6<uG2548yk1el3:1=vF=479~j2db290:wE<;6:m3g`=83;pD?:9;|l4g5<728qC>984}o5`5?6=9rB98;5rn6a1>5<6sA8?:6sa7b194?7|@;>=7p`8c583>4}O:=<0qc9l5;295~N5<?1vb:m9:182M43>2we;n950;3xL7213td<o54?:0yK610<ug=h57>51zJ103=zf>ij6=4>{I072>{i?jh1<7?tH365?xh0kj0;6<uG2548yk1dl3:1=vF=479~j2eb290:wE<;6:m3f`=83;pD?:9;|l4`5<728qC>984}o5g5?6=9rB98;5rn6f1>5<6sA8?:6sa7e194?7|@;>=7p`8d583>4}O:=<0qc9k5;295~N5<?1vb:j9:182M43>2we;i950;3xL7213td<h54?:0yK610<ug=o57>51zJ103=zf>nj6=4>{I072>{i?mh1<7?tH365?xh0lj0;6<uG2548yk1cl3:1=vF=479~j2bb290:wE<;6:m3a`=83;pD?:9;|l4a5<728qC>984}o5f5?6=9rB98;5rn6g1>5<6sA8?:6sa7d194?7|@;>=7p`8e583>4}O:=<0qc9j5;295~N5<?1vb:k9:182M43>2we;h950;3xL7213td<i54?:0yK610<ug=n57>51zJ103=zf>oj6=4>{I072>{i?lh1<7?tH365?xh0mj0;6<uG2548yk1bl3:1=vF=479~j2cb290:wE<;6:m3``=83;pD?:9;|l4b5<728qC>984}o5e5?6=9rB98;5rn6d1>5<6sA8?:6sa7g194?7|@;>=7p`8f583>4}O:=<0qc9i5;295~N5<?1vb:h9:182M43>2we;k950;3xL7213td<j54?:0yK610<ug=m57>51zJ103=zf>lj6=4>{I072>{i?oh1<7?tH365?xh0nj0;6<uG2548yk1al3:1=vF=479~j2`b290:wE<;6:m3c`=83;pD?:9;|l;45<728qC>984}o:35?6=9rB98;5rn921>5<6sA8?:6sa81194?7|@;>=7p`70583>4}O:=<0qc6?5;295~N5<?1vb5>9:182M43>2we4=950;3xL7213td3<54?:0yK610<ug2;57>51zJ103=zf1:j6=4>{I072>{i09h1<7?tH365?xh?8j0;6<uG2548yk>7l3:1=vF=479~j=6b290:wE<;6:m<5`=83;pD?:9;|l;55<728qC>984}o:25?6=9rB98;5rn931>5<6sA8?:6sa80194?7|@;>=7p`71583>4}O:=<0qc6>5;295~N5<?1vb5?9:182M43>2we4<950;3xL7213td3=54?:0yK610<ug2:57>51zJ103=zf1;j6=4>{I072>{i08h1<7?tH365?xh?9j0;6<uG2548yk>6l3:1=vF=479~j=7b290:wE<;6:m<4`=83;pD?:9;|l;65<728qC>984}o:15?6=9rB98;5rn901>5<6sA8?:6sa83194?7|@;>=7p`72583>4}O:=<0qc6=5;295~N5<?1vb5<9:182M43>2we4?950;3xL7213td3>54?:0yK610<ug2957>51zJ103=zf18j6=4>{I072>{i0;h1<7?tH365?xh?:j0;6<uG2548yk>5l3:1=vF=479~j=4b290:wE<;6:m<7`=83;pD?:9;|l;75<728qC>984}o:05?6=9rB98;5rn911>5<6sA8?:6sa82194?7|@;>=7p`73583>4}O:=<0qc6<5;295~N5<?1vb5=9:182M43>2we4>950;3xL7213td3?54?:0yK610<ug2857>51zJ103=zf19j6=4>{I072>{i0:h1<7?tH365?xh?;j0;6<uG2548yk>4l3:1=vF=479~j=5b290:wE<;6:m<6`=83;pD?:9;|l;05<728qC>984}o:75?6=9rB98;5rn961>5<6sA8?:6sa85194?7|@;>=7p`74583>4}O:=<0qc6;5;295~N5<?1vb5:9:182M43>2we49950;3xL7213td3854?:0yK610<ug2?57>51zJ103=zf1>j6=4>{I072>{i0=h1<7?tH365?xh?<j0;6<uG2548yk>3l3:1=vF=479~j=2b290:wE<;6:m<1`=83;pD?:9;|l;15<728qC>984}o:65?6=9rB98;5rn971>5<6sA8?:6sa84194?7|@;>=7p`75583>4}O:=<0qc6:5;295~N5<?1vb5;9:182M43>2wvqpNOCz;`7?1fm?:m4pNOBz2~DEV|uIJ
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v deleted file mode 100644 index 8a08330d5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.v +++ /dev/null @@ -1,165 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_16x40_2clk.v when simulating -// the core, fifo_xlnx_16x40_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_16x40_2clk( - din, - rd_clk, - rd_en, - rst, - wr_clk, - wr_en, - dout, - empty, - full); - - -input [39 : 0] din; -input rd_clk; -input rd_en; -input rst; -input wr_clk; -input wr_en; -output [39 : 0] dout; -output empty; -output full; - -// synthesis translate_off - - FIFO_GENERATOR_V4_3 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(4), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(40), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(40), - .C_ENABLE_RLOCS(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(0), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(0), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(2), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x72"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(15), - .C_PROG_FULL_THRESH_NEGATE_VAL(14), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(4), - .C_RD_DEPTH(16), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(4), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(0), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(4), - .C_WR_DEPTH(16), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(4), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .DIN(din), - .RD_CLK(rd_clk), - .RD_EN(rd_en), - .RST(rst), - .WR_CLK(wr_clk), - .WR_EN(wr_en), - .DOUT(dout), - .EMPTY(empty), - .FULL(full), - .CLK(), - .INT_CLK(), - .BACKUP(), - .BACKUP_MARKER(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .RD_RST(), - .SRST(), - .WR_RST(), - .ALMOST_EMPTY(), - .ALMOST_FULL(), - .DATA_COUNT(), - .OVERFLOW(), - .PROG_EMPTY(), - .PROG_FULL(), - .VALID(), - .RD_DATA_COUNT(), - .UNDERFLOW(), - .WR_ACK(), - .WR_DATA_COUNT(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.veo deleted file mode 100644 index 684078e58..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.veo +++ /dev/null @@ -1,51 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_16x40_2clk YourInstanceName ( - .din(din), // Bus [39 : 0] - .rd_clk(rd_clk), - .rd_en(rd_en), - .rst(rst), - .wr_clk(wr_clk), - .wr_en(wr_en), - .dout(dout), // Bus [39 : 0] - .empty(empty), - .full(full)); - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_16x40_2clk.v when simulating -// the core, fifo_xlnx_16x40_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.xco deleted file mode 100644 index d0da5a6e8..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk.xco +++ /dev/null @@ -1,82 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version K.39 -# Date: Tue May 11 20:27:53 2010 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = False -SET asysymbol = False -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = False -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = False -SET foundationsym = False -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = False -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = True -SET vhdlsim = False -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 4.3 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_16x40_2clk -CSET data_count=false -CSET data_count_width=4 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET fifo_implementation=Independent_Clocks_Distributed_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=15 -CSET full_threshold_negate_value=14 -CSET input_data_width=40 -CSET input_depth=16 -CSET output_data_width=40 -CSET output_depth=16 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=false -CSET read_data_count_width=4 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=false -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=false -CSET write_data_count_width=4 -# END Parameters -GENERATE -# CRC: 6bcb05e1 - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt deleted file mode 100644 index 544bda31d..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +++ /dev/null @@ -1,98 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> -<document OS="lin64" product="ISE" version="10.1.03"> - - <!--The data in this file is primarily intended for consumption by Xilinx tools. - The structure and the elements are likely to change over the next few releases. - This means code written to parse this file will need to be revisited each subsequent release.--> - - <application stringID="Xst" timeStamp="Tue May 11 13:27:35 2010"> - <section stringID="XST_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="30"> - <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/> - <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_4BIT_REGISTER" value="11"/> - </item> - <item dataType="int" stringID="XST_XORS" value="28"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="28"/> - </item> - </section> - <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_FSMS" value="1"/> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="144"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="144"/> - </item> - <item dataType="int" stringID="XST_XORS" value="28"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="28"/> - </item> - </section> - <section stringID="XST_FINAL_REGISTER_REPORT"> - <item dataType="int" stringID="XST_REGISTERS" value="150"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="150"/> - </item> - </section> - <section stringID="XST_PARTITION_REPORT"> - <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - </section> - <section stringID="XST_FINAL_REPORT"> - <section stringID="XST_FINAL_RESULTS"> - <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="/home/matt/coregen/tmp/_cg/fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc"/> - <item stringID="XST_OUTPUT_FORMAT" value="NGC"/> - <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/> - <item stringID="XST_KEEP_HIERARCHY" value="no"/> - </section> - <section stringID="XST_DESIGN_STATISTICS"> - <item stringID="XST_IOS" value="140"/> - </section> - <section stringID="XST_CELL_USAGE"> - <item dataType="int" stringID="XST_BELS" value="42"> - <item dataType="int" stringID="XST_GND" value="1"/> - <item dataType="int" stringID="XST_INV" value="2"/> - <item dataType="int" stringID="XST_LUT2" value="14"/> - <item dataType="int" stringID="XST_LUT3" value="7"/> - <item dataType="int" stringID="XST_LUT4" value="16"/> - <item dataType="int" stringID="XST_LUT4L" value="2"/> - </item> - <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="150"> - <item dataType="int" stringID="XST_FD" value="4"/> - <item dataType="int" stringID="XST_FDC" value="34"/> - <item dataType="int" stringID="XST_FDCE" value="97"/> - <item dataType="int" stringID="XST_FDP" value="10"/> - <item dataType="int" stringID="XST_FDPE" value="5"/> - </item> - <item dataType="int" stringID="XST_RAMS" value="40"> - <item dataType="int" stringID="XST_RAM16X1D" value="40"/> - </item> - </section> - </section> - <section stringID="XST_DEVICE_UTILIZATION_SUMMARY"> - <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/> - <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" value="127"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="150"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="121"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_LOGIC" value="41"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_RAMS" value="80"/> - <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="140"/> - <item AVAILABLE="333" dataType="int" stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/> - </section> - <section stringID="XST_PARTITION_RESOURCE_SUMMARY"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - <section stringID="XST_ERRORS_STATISTICS"> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="74"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="9"/> - </section> - </application> - -</document> diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_flist.txt deleted file mode 100644 index c38f4e991..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_flist.txt +++ /dev/null @@ -1,8 +0,0 @@ -# Output products list for <fifo_xlnx_16x40_2clk> -fifo_xlnx_16x40_2clk.ngc -fifo_xlnx_16x40_2clk.v -fifo_xlnx_16x40_2clk.veo -fifo_xlnx_16x40_2clk.xco -fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -fifo_xlnx_16x40_2clk_flist.txt -fifo_xlnx_16x40_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_readme.txt deleted file mode 100644 index bbcd4af79..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_readme.txt +++ /dev/null @@ -1,39 +0,0 @@ -The following files were generated for 'fifo_xlnx_16x40_2clk' in directory -/home/matt/sourcerepo/fpga/usrp2/coregen/: - -fifo_xlnx_16x40_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_16x40_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_16x40_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_16x40_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: - Please see the core data sheet. - -fifo_xlnx_16x40_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - -fifo_xlnx_16x40_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_16x40_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_xmdf.tcl deleted file mode 100644 index 2b4824831..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_16x40_2clk_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_16x40_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_16x40_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_16x40_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_16x40_2clk -} -# ::fifo_xlnx_16x40_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_16x40_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_16x40_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_16x40_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.asy b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.asy deleted file mode 100644 index a87aa2f84..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.asy +++ /dev/null @@ -1,49 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 fifo_xlnx_2Kx36_2clk -RECTANGLE Normal 32 32 544 672 -LINE Wide 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName din[35:0] -PINATTR Polarity IN -LINE Normal 0 144 32 144 -PIN 0 144 LEFT 36 -PINATTR PinName wr_en -PINATTR Polarity IN -LINE Normal 0 176 32 176 -PIN 0 176 LEFT 36 -PINATTR PinName wr_clk -PINATTR Polarity IN -LINE Normal 0 240 32 240 -PIN 0 240 LEFT 36 -PINATTR PinName rd_en -PINATTR Polarity IN -LINE Normal 0 272 32 272 -PIN 0 272 LEFT 36 -PINATTR PinName rd_clk -PINATTR Polarity IN -LINE Normal 144 704 144 672 -PIN 144 704 BOTTOM 36 -PINATTR PinName rst -PINATTR Polarity IN -LINE Wide 576 80 544 80 -PIN 576 80 RIGHT 36 -PINATTR PinName dout[35:0] -PINATTR Polarity OUT -LINE Normal 576 208 544 208 -PIN 576 208 RIGHT 36 -PINATTR PinName full -PINATTR Polarity OUT -LINE Wide 576 368 544 368 -PIN 576 368 RIGHT 36 -PINATTR PinName wr_data_count[11:0] -PINATTR Polarity OUT -LINE Normal 576 432 544 432 -PIN 576 432 RIGHT 36 -PINATTR PinName empty -PINATTR Polarity OUT -LINE Wide 576 592 544 592 -PIN 576 592 RIGHT 36 -PINATTR PinName rd_data_count[11:0] -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.ngc deleted file mode 100644 index 684eb74f4..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.4e -$7134g<,[o}e~g`n;"2*413&;$>"9 > %10?*nhel%fmyz cnpfc`h(|dz$Sni fhdl[}jipV8@t>8P2bnh*kah92:87=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0127?5650;1;495?8122?45<9'::86?>:HLSQQ<FLMXJ0<>50?37?47=AGZ^X7OKDS@?55<76;:0=<4FNQWW>uthoVof|ywPtipfwm:683:5>:5>1;KMTPR=x{elShctx]wlwct`Vkxh|{<0294;4038;1EC^ZT;rqkbYbey~rSyf}erj\j`af|l6:<7>11g925?OIX\^1|ah_gwohZrozlyc0<>50?05?47=AGZ^X7~}of]eqijX|axneQnsrgqp9772949:6?>:HLSQQ<wzfmTjxbc_ujqavnXflmjxh2>0;2=5d=6:3E^X][[:ecweZeh}g~7=<4?>0f857<H]]Z^X7|k_ecweZeh}g~7=<4?>0f857<H]]Z^X7y}_ecweZeh}g~7=<4?>0385?OIX\^1HD^N<183:47<93CE\XZ5DHRA85<76880=7AZTQWW>AIWI5:1<3?=;08LQQVR\3ND\O2?:1<2?72<:9:;>6<74:1355753:<1EC^ZT;fjj952294:86=9:NWWTPR=lf0>;50?f87v4789ll888"50970>2DKJ>099;:1:47?36D8;1=>9599847?3?F9;1=O959CBA1?3C53>L?7:HIF09;6>>7<22;<=<48333?=<NFY__6IGN<683:46<03CE\XZ5DH@?3?6998136B[[PTV9@JG;?3:5=<57:NWWTPR=LFH7;7>11:;7?<671;12:95667;7?D650=1J:LO7;@FGVD:76k1JHI\N<0294;?<IMNYM1??>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828?3HNO^L27>99B@ATF40437LJKRC>3:g=FLMXI0<>50?;8EABUJ5;;255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<833HO;845NSXL@[WC@<2H84=:4B@CB7>DR:11IY^QFNGM2?F4<K?>0OL6N2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF6:FJE969>2NBM1?16:FJE949>2NBM1=16:FJE929>2NBM1;16:FJE90902NBM1950?48@LG;?7<0HDL30?48@LD;97<0HDL32?48@LD;;7<0HDL34?48@LD;=7<0HDL36?:8@LD;?3:5:6JFB=5==>BNXH6;6=08;EKSE96912NB\O2?:1<4?AOWJ5:5:6J@A=2=2>BHI5;5:6J@A=0=2>BHI595:6J@A=6=2>BHI5?5:6J@A=4=<>BHI5=1<384DNC?3;0<LFH7<384DN@?5;0<LFH7>384DN@?7;0<LFH78384DN@?1;0<LFH7:364DN@?3?69>2NDN1919:FLTD:7294<7IA_A=2==>BHXK6;6=08;EMSF96992O?7HMN029FJD5<MGH?7K9IF39E<7=AL:1MHN:4FEAF0>@CKY90JI^;;GFSA0=Aieyn=6I<;FLG5>O53@:97D?=;H01?L5?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461<AGC_S=:8;HLJPZ62?2CEEYQ?669JJLRX8>=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^T<O94IOKW[5E03@DBXR>K7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561<AGC_S<:8;HLJPZ72?2CEEYQ>669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661<AGC_S?:8;HLJPZ42?2CEEYQ=669JJLRX:>=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR<K7:KMMQY5M>1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761<AGC_S>:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G48MKOSWH<0ECG[_C18MKP43@EI>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ513E__?RJ9;MWW7ZJ33E__8;5CUU6\@0=J[NEE96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O226>H6;2D:<95A11:7?K771:1E=<:4N0320>H69:>0B<?;3:L266=I9:>0B<=?4:L2742<F89986@>3268J453<2D:?8:4N0150>H6;>>0B<=74:L27<5<F8>?7C?;059M51733G;?>95A1517?K73<=1E=9;;;O3721=I9==?7C?;859M51?43G;>86@>5168J436<2D:9?:4N0700>H6==>0B<;:4:L2132<F8?<86@>5968J43>;2D::95A1727?K719=1E=;<;;O3571=I9?>?7C?9559M53033G;=;>5A1668J415<2D:;>:4N0570>H6?<>0B<994:L2322<F8=386@>7818J4>33G;3<95A1937?K7?:=1E=5=;;O3;21=I91=?7C?7859M5=?43G;286@>9168J4?6<2D:5?:4N0;00>H61=>0B<7:4:L2=32<F83<?6@=029M645<F;887C<<3:L106=I:<90B?8<;O047>H50:1E>4=4N220?K56;2D8>>5A3218J6243G9>?6@<629M725<F:2?7C=7129M7<5<F=:?7C:?129M045<F=887C:;3:L726=I=990B8<<;O777>H2=:1E9;<4N708J24<F180B4h4NC]AQVVNFVZYC]]8;OGWSJTL<2DDBH?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_l;SCNF40X[0UX5<5\129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD10?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>?_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2344YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:9S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?02]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4563W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;<8Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWkceSua}0125[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw678>UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>7_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1234ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6788UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=<PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0120[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567<VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<8Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?014\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4560W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;4R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?08]Qavsk|89?7X> gsd-vc)`kq$h`fv Mymq[qkwWkgyh>P0^zlv5678Vrd0<0=1268Q5)`zo$yj"ilx/aoo})JpfxTx`~Pv`nva5Y7Wqey<=>?_ym?7;56;:1^<"i}f/pe+be&jf`t"Cwos]wiuYqien<R>Pxnp3456Xpf6?2<=8;T2,cw`)zo%lou lljz,I}iuW}g{S{ocud2\4Z~hz9:;<Rv`<5<2[VQ7;=1^<"i}f/pe+be&jf`t"Cwos]wiuYqien>R>Pxnp3456XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;<R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?013\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv567;VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\flhXpfx;<=:PRdqvhq74;2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVhbbRv`r1231ZTb{|f=>=4U1-dvc(un&mht#mcky-N|jtX{U{by|Pbhl\|jt789<T^h}zlu307>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZdnfVrd~=>?7^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp345>XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;5R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?01]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3457XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:9S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?3^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2341YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789?T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>9_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1233ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw6781UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=7PRdqvhq76=2_;#j|i.sd,cf~)keas#C=>5:W3+bta&{l$knv!cmi{+K3592_;#j|i.sd,cf~)keas#jPpovq[goi4949>6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<>1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=3=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj949:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf595><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1:1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=7=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj909:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5=5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb161209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=;=65=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ6582_;#j|i.sd,cf~)keas#jPpovq[goiW88:7X> gsd-vc)`kq$h`fv re]sjqtXj`dT==<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P2328Q5)`zo$yj"ilx/aoo})ulVzexQmio]065=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ2582_;#j|i.sd,cf~)keas#jPpovq[goiW<8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^:14>S7'nxm"h gbz-gim'{nT|cz}_ckm[<413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4566:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?2348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678:8=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01612>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:>>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123270<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<:<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp345>582_;#j|i.sd,cf~)keas#jPpovq[be;878:7X> gsd-vc)`kq$h`fv re]sjqtXoj6:<3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2>>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=0=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`868582_;#j|i.sd,cf~)keas#jPpovq[be;<78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6>2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo181219V4*aun'xm#jmw.bnh|*tcWyd~Ril<6<14>S7'nxm"h gbz-gim'{nT|cz}_fa?<;473\:$kh!rg-dg}(ddbr$~iQnup\cf:>68l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV::j6[?/fpe*w`(ojr%oaew/sf\tkruWniT=?>4U1-dvc(un&mht#mcky-q`Zvi|{UloR??1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ6279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:76;=0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi311<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4149:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=;=60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]263=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU:<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\<73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT5?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;k0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>24;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?31?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7>3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;;7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?0;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?35?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7:3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;?7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?<;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?39?0;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T<?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y6:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^336==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R<=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W:837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\07><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q:299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V<946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[24?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P83:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U2>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:<>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012;60=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:2>:5Z0.eqb+ta'nis"nbdx.vntZpfd|o;S=Qwos2345403\:$kh!rg-dg}(ddbr$x`~Pv`nva7Y7Wqey<=>?209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=2=67=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj9776;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6:2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2=>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>0:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:36;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6>2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec29>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>4:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:?6;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag622?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ?219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^315>S7'nxm"h gbz-gim'~xT|cz}_ckm[46582_;#j|i.sd,cf~)keas#z|Ppovq[goiW;8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT??>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ;219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^714>S7'nxm"h gbz-gim'~xT|cz}_ckm[3473\:$kh!rg-dg}(ddbr${Qnup\flhX?;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU3>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR7=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4567:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678:8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01612>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:>>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123270<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<:<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp345>5>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>6219V4*aun'xm#jmw.bnh|*quWyd~Ril<1<15>S7'nxm"h gbz-gim'~xT|cz}_fa?558582_;#j|i.sd,cf~)keas#z|Ppovq[be;978;7X> gsd-vc)`kq$h`fv ws]sjqtXoj692?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1=1219V4*aun'xm#jmw.bnh|*quWyd~Ril<5<14>S7'nxm"h gbz-gim'~xT|cz}_fa?1;473\:$kh!rg-dg}(ddbr${Qnup\cf:16;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5=5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh050=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm39?3e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]35c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[4473\:$kh!rg-dg}(ddbr${Qnup\cfY688l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV8:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT?<h4U1-dvc(un&mht#mcky-tvZvi|{UloR:>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP50d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^42b>S7'nxm"h gbz-gim'~xT|cz}_fa\34`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ>6n2_;#j|i.sd,cf~)keas#z|Ppovq[beX1;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi30?04?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`48:5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=:=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn622?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT=?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\55423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS?<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[6423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS9<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[0423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS;<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[2423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS5<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[<4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?30?0b?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7==0=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><2<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:090=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb64<4956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2838512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><6<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:050=9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6404946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[54?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P13;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U:<?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y5:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^11<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S9<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X=;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]56==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R9=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W1837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\=73<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;<?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123573<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;>?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123773<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;8?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123173<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;:?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123373<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;4?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123=6><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)JimnT~iQkc^k\eabt}k:;<=??399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYulVnhSdQndeqvf567888846[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@okd^pg[aeXaVkoh~{m0123515?3\:$kh!rg-dh5(ul&my=#i}db0-vae(EhnoSjPdb]j[dbc{|h;<=>>62:8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NeabXzmUooRgPaefpqg6789;3?:5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"Cnde]q`ZbdW`Ujhi}zb12347503\:$kh!rg-dh5(ul&my=#i}db0-vae(EhnoSjPdb]j[dbc{|h;<=>;369V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYulVnhSdQndeqvf5678?9<7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$Aljk_sf\`fYnWhnoxl?012;7f=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*KflmUyhRjl_h]b`aurj9:;<Rmv<0<0<>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7<3=7;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:66:20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=0=7==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>0<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;<7937X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>6:6><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu181399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4>4846[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?<;5?3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot26>2;8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}6;2>o4U1-dvc(un&mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:687927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?5;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz32?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7?3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;<7927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?1;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz36?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7;3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;07927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?=;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTtb|30?1b?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXpfx7==0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu484856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq878412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<2<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey090<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4<4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq838412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<6<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey050<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4048<6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#igif^uq[wbX|dz7<3<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX8;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]26<=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeR??289V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaV;:>55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZ4502_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_20;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcT8?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY2:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^41<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS:<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX0;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]:74=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236969;;1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5:5=><4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?2>0?17?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?5585=:20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6:<3<:_RU371=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx12369776;2886[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:90<>13017?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?55841:90Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6:<3:<4:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<02=04503\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;994?S^Y?369V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=33:1YT_8997X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\ip~78987=<0<3:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<03=567<]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSdQbuy2347:66:80Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6:2<=>;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoXe|r;<=<32?11?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?6;7492_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_lw{45654:48>6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:90>0>309V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=6=77=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx12369299:>0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6?2<?>399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=6=547X[^:8=6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:9080<2:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<4<274=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236909;>1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5<5=<Q\W11a?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?2;76WZ]:S^Y?309V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=5=70=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236919WZ];?85Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZkrp9:;>191_RU27<=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236919WZ]:S^Y?309V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=:=70=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx12369>9WZ]9?<5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZkrp9:;>171329V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=;=5<553\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;1788?6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:9040=0218Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`Ufyu>?03>::665i2_;#j|i.sd,ci6)zm%l~< }fvdw+WGJW[NTICQIWGV26<=R8&myj#|i/fn3*wb(o{;%~kyit.PBIZTBOF__SH@>2c9V4*aun'xm#jb?.sf,cw7)zo}mx"\NM^TBHLBXN^L_=<=<;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf273=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb>64U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUM_@QIFe3.Mk76;:1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?=9;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTJ^CPFGf1)Lh402_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo> Ga100;?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}Umn?94U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZo5m2_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^mq4567:o1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h]lv567888>7X> gsd-vc)`d9$yh"i}1/pescr(}zoyS{ocie0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7<3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;97827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?6;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz33?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~783<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[4_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?5;443\:$kh!rg-dh5(ul&mym~ }suq,g5)q9585>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?33?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5929::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';793<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^2\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P1^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R<Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T?Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V>Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X=Vddx=>?000:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7<3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o<!laspzj`r;97827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?6;4>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz33?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~783<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U;]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[4_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?5;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q9585>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?33?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5929::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';793<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^2\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P1^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R<Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T?Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V>Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X=Vddx=>?0003?P6(o{l%~k!hl1,q`*auiz$yy} cnos476<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j75=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np3456492_;#j|i.sd,ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k\kw6789;:j6[?/fpe*w`(oe:%~i!}al]ueiocWo}mx?=4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|88>7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{1107?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs:;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq25<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex8<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw272<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~<>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu:10>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|0827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?4;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw30?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2>>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6:2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq585>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}949W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8685k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<2<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw34?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs783Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6>2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:26Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}909:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=4=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<6<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0:0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs743<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;07Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:>6;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>::Zts:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>3:7d<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|311<1a>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1??>^pw6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2>>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7>3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4:49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv929:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>6:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|36?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0:0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz525>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:>68l0Y=!hrg,qb*ak8'xo#~ats-`kphs4949<6[?/fpe*w`(oe:%~i!}povq+firf}6:<3?i;T2,cw`)zo%l`= }d.psjqt(kfex1?11g9V4*aun'xm#jb?.sf,vuhsz&idycz32?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=1=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?0;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9399o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;>7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5=5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~743?i;T2,cw`)zo%l`= }d.psjqt(kfex1711d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03e?P6(o{l%~k!hl1,q`*twf}x$ob{at^335`=R8&myj#|i/fn3*wb(zyd~"m`uov\64c<]9%l~k }f.eo4+tc'{zex!lotlw[67b3\:$kh!rg-dh5(ul&x{by| cnwmpZ26m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY29l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX>8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW>;n7X> gsd-vc)`d9$yh"|nup,gjsi|V2:i6[?/fpe*w`(oe:%~i!}povq+firf}U2>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2?>318Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?5585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;?7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:050=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=;=64=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<Q>0338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\677<]9%l~k }f.eo4+tc'{zex!lotlw[a7X;;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T8??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P5338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\277<]9%l~k }f.eo4+tc'{zex!lotlw[a7X?;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T4??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P93;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2<>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6?2?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV:R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT5\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28185;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86>2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W=Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y2Wge<=>?13;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d:&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`6*efz{seiy2<>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvwim}6?2?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV:R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT5\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28185;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~86>2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W=Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y2Wge<=>?1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu4502_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rhm_h06?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`8h7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv5678;n0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi^mq45679:i0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GjhiQxr^fbpdYdg|dSdQnde234577;j1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234444k2_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSz|Pd`vb[firf}UbSljk0123515d3\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnT{Qkauc\gjsi|VcTmij?012226e<]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmU|~Rjnt`]`kphsW`Ujhi>?013;7g=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclV}ySio{a^alqkrXaVkoh=>?031a?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabX{UomyoPcnwmpZoXimn;<=>;3c9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<;=m;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:38<5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vir0<>1429V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<Rmv<02=54273\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnT{Qkauc\gjsi|VcTmij?012\g|:66=>0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GjhiQxr^fbpdYdg|dSdQnde2345Yg{6:<3?>489V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<Rv`r=3=54YNF_U;8>5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vrd~1<11060?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabX{UomyoPcnwmpZoXimn;<=>Pxnp?7;76<:1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234Z~hz5>5=<:;;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:Ttb|35?3251><]9%l~k }f.eo4+qu'n}oo< xdbg{p*KflmU|~Rjnt`]`kphsW`Ujhi>?01]{kw:268;:S^Y?429V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<Rv`r=7=57243\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnT{Qkauc\gjsi|VcTmij?012\|jt;>7;:855Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vrd~191103\WR7302_;#j|i.sd,ci6){%l{im>.vf`a}r(EhnoSz|Pd`vb[firf}UbSljk0123[}iu4>4:=<Q\W36;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabX{UomyoPcnwmpZoXimn;<=>Pxnp?3;769VY\?964U1-dvc(un&mg<#y}/fugg4(pljosx"Cnde]tvZbf|hUhcx`{_h]b`a6789Usc28>032[VQ3<:1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234Z~hz5=5=?:8;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:Ttb|37?31[VQ7<:1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234Z~hz525=<:<;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:Ttb|39?320==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclV}ySio{a^alqkrXaVkoh=>?0^zlv9?998;T_Z><7:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:76:=0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<0<03>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp692>94U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^az8684?2_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2;>258Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZe~4<48;6[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>5:61<]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVir0:0<7:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:?6:=0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<8<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7<3=6;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:687937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>2:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1<1399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss4:4846[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?0;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy2:>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZjr|5<5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww828402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz38?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}622>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv969;01^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<02=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0<0<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;:7937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>0:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1:1399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu4<4846[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?2;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc28>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz525?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq8<85n2_;#j|i.sd,ci6){%l{im>.vf`a}r(l`lmSz|Pre]wiu:76;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV:9j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT=>>4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR??319V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_031b>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\67`<]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ55n2_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX<;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV?9j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT:?h4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR9=f:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP83d8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^;0=>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\eab789:7==0<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgPaef3456;97937X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`Ujhi>?01>1:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZgcl9:;<1=1399V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_`fg45674=4846[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcTmij?012?1;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lYflm:;<=29>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^cg`56785=5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbSljk01238=8402_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoXimn;<=>39?3g?P6(o{l%~k!hl1,tv*tfeV}ySh`Pi000?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by?=5:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp465<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw772<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr?:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by7=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4949o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az858Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?5;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw31?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2=>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq595>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}959W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8185k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<5<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw35?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs793Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6=2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:16Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}919:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<9<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir050Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs753<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;17Uyx?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;878i7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8469:l1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>24;Yu|;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?5;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<3<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1=12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6?2?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;=78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8385i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=5=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc27>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx753?i;T2,cw`)zo%l`= xr.usjqt(kfex1>1219V4*aun'xm#jb?.vp,suhsz&idycz311<2b>S7'nxm"h gm2-sw)pxg~y#naznu>2:4`<]9%l~k }f.eo4+qu'~zex!lotlw8786n2_;#j|i.sd,ci6){%||cz}/bmvjq:468l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4=4:j6[?/fpe*w`(oe:%{!xpovq+firf}6>2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d0;0>f:W3+bta&{l$ka>!ws-ttkru'je~by28>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<9<2b>S7'nxm"h gm2-sw)pxg~y#naznu>::4c<]9%l~k }f.eo4+qu'~zex!lotlw[57b3\:$kh!rg-dh5(pz&}{by| cnwmpZ76n2_;#j|i.sd,ci6){%||cz}/bmvjqY688o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW;;n7X> gsd-vc)`d9$|~"ynup,gjsi|V9:i6[?/fpe*w`(oe:%{!xpovq+firf}U?=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T9<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS;?j;T2,cw`)zo%l`= xr.usjqt(kfexR9>e:W3+bta&{l$ka>!ws-ttkru'je~byQ71d9V4*aun'xm#jb?.vp,suhsz&idyczP9308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?4;443\:$kh!rg-dh5(pz&}{by| cnwmpZb648:5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?6;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64:49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1:1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>6:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;>7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0:0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=:=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:>6;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T<??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?P1308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\55463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W;8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S><>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_502?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[0463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W?8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S:<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_902?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[<453\:$kh!rg-dh5(pz&}{by| cnwmpZb54949?6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1??>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?5;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54;49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1=1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>7:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;=7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90;0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=5=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:?6;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8753<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[4453\:$kh!rg-dh5(pz&}{by| cnwmpZb5W8:9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^115>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z2592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V?9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R8=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^515>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z>592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V3::6[?/fpe*w`(elg$hb{{_h]353=R8&myj#|i/lgn+air|VcT=<94U1-dvc(un&gna"j`uu]j[466?2_;#j|i.sd,i`k(lfSdQ>1058Q5)`zo$yj"cjm.flqqYnW88:;6[?/fpe*w`(elg$hb{{_h]2741<]9%l~k }f.ofi*bh}}UbS<:>7:W3+bta&{l$ahc dnww[lY6=8=0Y=!hrg,qb*kbe&ndyyQf_0423>S7'nxm"h mdo,`jssW`U:;<94U1-dvc(un&gna"j`uu]j[4>6?2_;#j|i.sd,i`k(lfSdQ>9048Q5)`zo$yj"cjm.flqqYnW;;<7X> gsd-vc)jmd%ocxzPi^0352=R8&myj#|i/lgn+air|VcT><?8;T2,cw`)zo%fi`!kotv\mZ459>1^<"i}f/pe+hcj'me~xRgP2234?P6(o{l%~k!bel-gkprXaV8?=:5Z0.eqb+ta'dof#iazt^k\60703\:$kh!rg-nah)cg|~TeR<9169V4*aun'xm#`kb/emvpZoX:>;<7X> gsd-vc)jmd%ocxzPi^0;52=R8&myj#|i/lgn+air|VcT>4?9;T2,cw`)zo%fi`!kotv\mZ56?2_;#j|i.sd,i`k(lfSdQ<0058Q5)`zo$yj"cjm.flqqYnW:;:;6[?/fpe*w`(elg$hb{{_h]0641<]9%l~k }f.ofi*bh}}UbS>=>7:W3+bta&{l$ahc dnww[lY4<8=0Y=!hrg,qb*kbe&ndyyQf_2722>S7'nxm"h mdo,`jssW`U?=;5Z0.eqb+ta'dof#iazt^k\140<]9%l~k }f.ofi*bh}}UbS;?9;T2,cw`)zo%fi`!kotv\mZ16>2_;#j|i.sd,i`k(lfSdQ7179V4*aun'xm#`kb/emvpZoX1830Y=!hrg,qb*kbe&ndyyQbel>3:4g<]9%l~k }f.ofi*bh}}Ufi`2>0?3b?P6(o{l%~k!bel-gkprXelg7=<0>a:W3+bta&{l$ahc dnww[hcj4885=l5Z0.eqb+ta'dof#iazt^ofi97468k0Y=!hrg,qb*kbe&ndyyQbel>20;7f3\:$kh!rg-nah)cg|~Tahc314<2e>S7'nxm"h mdo,`jssWdof0<811`9V4*aun'xm#`kb/emvpZkbe5;<2<o4U1-dvc(un&gna"j`uu]nah:607;j7X> gsd-vc)jmd%ocxzPmdo?5<8612_;#j|i.sd,i`k(lfS`kb<0<2e>S7'nxm"h mdo,`jssWdof0?>11`9V4*aun'xm#`kb/emvpZkbe58:2<o4U1-dvc(un&gna"j`uu]nah:5:7;j7X> gsd-vc)jmd%ocxzPmdo?6686i2_;#j|i.sd,i`k(lfS`kb<36=5d=R8&myj#|i/lgn+air|Vgna1<:>0c8Q5)`zo$yj"cjm.flqqYjmd69:3?n;T2,cw`)zo%fi`!kotv\i`k;:>4:m6[?/fpe*w`(elg$hb{{_lgn87>99h1^<"i}f/pe+hcj'me~xRcjm=0::4?<]9%l~k }f.ofi*bh}}Ufi`2=>0c8Q5)`zo$yj"cjm.flqqYjmd68<3?n;T2,cw`)zo%fi`!kotv\i`k;;84:m6[?/fpe*w`(elg$hb{{_lgn86499h1^<"i}f/pe+hcj'me~xRcjm=10:4g<]9%l~k }f.ofi*bh}}Ufi`2<4?3b?P6(o{l%~k!bel-gkprXelg7?80>9:W3+bta&{l$ahc dnww[hcj4:4:56[?/fpe*w`(elg$hb{{_lgn818612_;#j|i.sd,i`k(lfS`kb<4<2=>S7'nxm"h mdo,`jssWdof0;0>9:W3+bta&{l$ahc dnww[hcj4>4:56[?/fpe*w`(elg$hb{{_lgn8=8612_;#j|i.sd,i`k(lfS`kb<8<5g>S7'nxm"h mdo,cgk)okgl"jlbg`,mc`ed&kgl#obd_lgn[bcim{kc!yamkg*fusz&xjaaa`pZ2^*wgj&{%}>R``iokw*wgj'mz2t4 }al4`?P6(o{l%~k!bel-dfh(`jdm%kocha/ldafe)jdm$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba[0_-vdk)z&|9Scafnhv-vdk(ly3s5#|nm7a8Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T2\,qeh(u'8Tbbgaiu,qeh)cx0r2"ob6b9V4*aun'xm#`kb/f`n*bdjo'miajo!nfg`g+djo&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<]/pbi+t(~;Uecd`ft/pbi*bw1q3%~lc>b:W3+bta&{l$ahc tlr\vdkXzmUnb<m4U1-dvc(un&gna"zbp^pbiZtcWld:=>5Z0.eqb+ta'{kfSjPeo34?P6(o{l%~k!}al]qabir|Voe=>5Z0.eqb+ta'{kfSz|Peo3g?P6(o{l%~k!}su`oo*tcW{ySl}}ef03?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g576<]9%l~k }f.pppgjl'{nT~~zParpfcZb59j1^<"i}f/pe+wusjea$~iQ}su]`khd6l2_;#j|i.sd,vvredb%yhR||t^alig76m2_;#j|i.sd,vvredb%yhR||t^pfc9699l1^<"i}f/pe+wusjea$~iQ}su]qab:668o0Y=!hrg,qb*tt|kf`#jPrrv\v`a;:7;o7X> gsd-vc)u{}hgg"|k_sqw[wc`W9;o7X> gsd-vc)u{}hgg"|k_sqw[wc`W8;o7X> gsd-vc)u{}hgg"|k_sqw[wc`W;;o7X> gsd-vc)u{}hgg"y}_sqw[duumn8;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo=?>4U1-dvc(un&xxxobd/vp\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,swYu{}Uhc`l>d:W3+bta&{l$~~zmlj-tvZtt|Vidao?>e:W3+bta&{l$~~zmlj-tvZtt|Vxnk1>11d9V4*aun'xm#}{bmi,swYu{}Uyij2>>0f8Q5)`zo$yj"||tcnh+rtXzz~T~hiP00f8Q5)`zo$yj"||tcnh+rtXzz~T~hiP1`9VW@TXIECJ_n5ZSDP\RLUNJEO87[ML9:TJARYSQYO87ZKN3:UFFg=PZ@^NSKG]SUa8SWOSMVGDHHQNc:UQMQCXEFNNSO?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBN:5WSU]DJA1<PZ^TZNMm;Y]@KWCXAGLD:6Vkb^Kgb>^c`VZye`Xjrrklj46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafdh7lbborv\ahvsqk1j``a|t^dvhi0<jhi`y}j4b`ahquYji{an~>5lljf8`drfWje~by&?)e9geqgXkfex%?&e:fbpdYdg|d$<>&e:fbpdYdg|d$<?&d:fbpdYdg|d$?'k;ecweZeh}g~#?$j4d`vb[firf}"?%i5kauc\gjsi|!?"h6jnt`]`kphs ?#o7io{a^alqkr/? n0hlzn_bmvjq.?!m1omyoPcnwmp-?.l2njxlQlotlw858682njxlQlotlw847=87=0hog{esp5?aoi 9#=7iga(0+4?aoi 8:";6jfn)32-2=cag":>$94dhl+56/03mce$<:&7:fjj-72!>1oec&>6(58`lh/9>#<7iga(0:*3>bnf!;2%;5kio*1-2=cag"9<$94dhl+64/03mce$?<&7:fjj-44!>1oec&=4(58`lh/:<#<7iga(34*3>bnf!8<%:5kio*1<,1<l`d#>4'9;ekm,6/03mce$>>&7:fjj-56!>1oec&<2(58`lh/;:#<7iga(26*3>bnf!9>%;5kio*7-3=cag">%;5kio*5-3=cag"<%;5kio*;-3=cag"2%;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;?<l`d7?84?>69gmk:4=7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,><lf$>:&8:flqq.4= =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>99gkpr;;:437iazt=17:d=cg|~7?84?>99gkpr;;<4<7iazt=1=3>bh}}6?2:5kotv?1;1<lf0;08;emvp919?2ndyy27>69gkpr;1720iigi2oeg1>cjx}s8>6hffn]dakcui}eyS{:P3-"[mioip)ID^H.Heogqeqiu(8:%=#><159emciXpedsS?Ew37]1gim4:2lbjbQwloz\6N~4>V8h`f"iigm\c`hbzh~d~Rx;_2.MKKC+FFDN?n74fhdl[}jipV8@t>8P2bnh(coagVmnbh|ntnp\r1Y4$riTdl}Piov\gim:8%iTdl}Pssqw95*dW{nTjk~=0.`[mgtW{nThlzn_bmvjq;6$jUoecQxievk960+kVbjRy}_ecweZeh}g~6=!mPftno[cjfozUyyQyam?2(fYneyfnah`{aukljZr~xl79 nQzsd]figccllnT~hi20-a\swYazl{6=!mPurg\`jssW{y1<"l_tlgaw`kg~Ugcz3?,b]kevYh~lxm`by20-a\twckghnT`lzjnb{>4)eXlfSzgkti?02)eXezmdbRxnl<3/gZtcWmo{xe3=05:/gZnf{Vehh|ilnu>4)eX`hyTmac`su]eqij:8%iTdl}Prrv>5)eXlh~jSnaznu]tmaro588'oRfns^uq[del59&hSx}j_da`95*dWjefab`Pcmm`o86+kV}ySlmd_mmt95*dW{nT|cz}_vkgpm;69%iTy~kPfvdw[agsiVidycz21-a\lduXiegdyQjmqvz95*dW{nThlzn_bmvjqYpam~c1<<#c^muaw`kg~Ugcz3?,b]tvZvi|{U|eizg=03/gZvugnUna}zv_u{sa86+kV}ySio{a^alqkrX`nd0?=,b]sv`jhimUyij}21-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>4)eXx{elSk{cl^vkv`uoWgolmykPv`n>6521$jU|~Rjjpuj>652?$jU{~biPelrw}ZrozlycSckhaug\rdj:=%iT|ah_dosp|Ys`{oxdRo|sdpw[sgk5=&hSeo|_wcoma;7$jU{~biPftno[qnumzbTm~}jru]uei;58=='obcoogmpZhfel7mekaPxml{[7M;?U9oae#c^tbhlbXdf}6<!mPpskn[coagV~r|h3=ky3;(fYwzfmTjxbc_u{sa86+kVgnab|v_u{sa87+u;o0jdh`_ynm|Z4Lp:<T>nbd_gkekZabflxjxb|Pv5]0[}usW8>0jxbc7:kmpZekc11eknlzimf;?jpbzofd{l5rne\ahvsq8>0|ah_dosp|Ys`{oxd%>&159svjaXmdzuRzgrdqk,4/6=2zycjQjmqvz[qnumzb#=='>4:rqkbYbey~rSyf}erj+6,733yxdkRkbpu{\pmtb{a"8%<:4psmd[`kw|pUdk|h)6*51=wzfmTi`~{y^vkv`uo <#:86~}of]fiur~W}byi~f'6(37?uthoVof|ywPtipfwm.0!8>0|ah_dosp|Ys`{oxd%6&159svjaXmdzuRzgrdqk,</6?2zycjQjmqvz[qnumzb7==4?>0`8twi`Wlg{xtQ{hsgplZgt{lx$='>b:rqkbYbey~rSyf}erj\evubz}":%<m4psmd[`kw|pUdk|h^cpw`ts 8:"=o5rne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntqX|axneQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxiz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdzuRzgrdqk[dutm{~#4$?m;qplcZcjx}sTxe|jsi]bwvcu|!3"=h5rne\ahvsqV~c~h}g_`qpawr;990;2<l4psmd[`kw|pUdk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8i0|ah_dosp|Ys`{oxdR`jg`vf,46.9k1{~biPelrw}ZrozlycSckhaug+6,7e3yxdkRkbpu{\pmtb{aUeijo{e)1*5g=wzfmTi`~{y^vkv`uoWgolmyk'4(3a?uthoVof|ywPtipfwmYimnki%;&1c9svjaXmdzuRzgrdqk[kc`i}o#:$?m;qplcZcjx}sTxe|jsi]mabgsm!="=o5rne\ahvsqV~c~h}g_ogdeqc/0 ;i7}|`g^gntqX|axneQaefcwa-?.9l1{~biPelrw}ZrozlycSckhaug?55<7601{~biPftno56=wzfmTjxbc_ujqavn/8 ;87}|`g^dvhiYs`{oxd%?&159svjaXn|fgSyf}erj+55/6;2zycjQiumn\pmtb{a"9%<=4psmd[cskdV~c~h}g(2+27>vugnUmyabPtipfwm.3!890|ah_gwohZrozlyc$8'>3:rqkbYa}efTxe|jsi*5-45<x{elSk{cl^vkv`uo >#:?6~}of]eqijX|axne&7)018twi`Wog`Rzgrdqk,</6>2zycjQiumn\pmtb{a6:<7>11`9svjaXn|fgSyf}erj\evubz}";%<o4psmd[cskdV~c~h}g_`qpawr/9 ;i7}|`g^dvhiYs`{oxdRo|sdpw,46.9h1{~biPftno[qnumzbTm~}jru*1-4g<x{elSk{cl^vkv`uoWhyxiz'3(3b?uthoVl~`aQ{hsgplZgt{lx$9'>a:rqkbYa}efTxe|jsi]bwvcu|!?"=l5rne\bpjkW}byi~fParqfvq.1!8k0|ah_gwohZrozlycSl}|esv+3,7f3yxdkRhzlm]wlwct`Vkxh|{(9+2e>vugnUmyabPtipfwmYf{zoyx%7&1e9svjaXn|fgSyf}erj\evubz}6:<7>11`9svjaXn|fgSyf}erj\j`af|l";%<o4psmd[cskdV~c~h}g_ogdeqc/9 ;i7}|`g^dvhiYs`{oxdR`jg`vf,46.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnki%7&1e9svjaXn|fgSyf}erj\j`af|l6:<7>16:pg[fjl991yhRjnt`]`kphs 9#:<6|k_ecweZeh}g~#=$?>;sf\`drfWje~by&>0(32?wbXlh~jSnaznu*25,773{nThlzn_bmvjq.5!8:0~iQkauc\gjsi|!9"==5}d^fbpdYdg|d$9'>0:pg[agsiVidycz'5(33?wbXlh~jSnaznu*5-46<zmUomyoPcnwmp-1.991yhRjnt`]`kphs 1#:<6|k_ecweZeh}g~#5$??;sf\`drfWje~by2?>038vaYci}kTob{at=33:45<zmUomyoPcnwmp976294:=6|k_ecweZeh}g~7=<0>0:pg[agsiVidycz31?33?wbXlh~jSnaznu>1:46<zmUomyoPcnwmp959991yhRjnt`]`kphs4=4:<6|k_ecweZeh}g~793??;sf\`drfWje~by29>028vaYci}kTob{at=5=55=ulVnjxlQlotlw8=8682xoSio{a^alqkr;17?0~iQjn79q`Ztt|:1yy94sckwawt33zxxx95{rtg:?phcm{lgcz;4v`nj`3=pzVkhg;5xr^aoo46<{UomyoPcnwmp-6.991|~Rjnt`]`kphs 8#:=6y}_ecweZeh}g~#=='>1:uq[agsiVidycz'10+24>quWmkmRm`uov+6,773~xThlzn_bmvjq.4!8:0{Qkauc\gjsi|!>"==5xr^fbpdYdg|d$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:<6y}_ecweZeh}g~7<3?>;vp\`drfWje~by2>0?30?rtXlh~jSnaznu>25?69981|~Rjnt`]`kphs48;5==5xr^fbpdYdg|d0<0>0:uq[agsiVidycz32?33?rtXlh~jSnaznu>0:46<{UomyoPcnwmp929991|~Rjnt`]`kphs4<4:<6y}_ecweZeh}g~7:3??;vp\`drfWje~by28>028swYci}kTob{at=:=55=pzVnjxlQlotlw8<823~xTic84ws]qwq{GHy;:>55O@y39B?2=9rY=87;6c;;9564>88=1>l?iezl7b1<63g>m97:4$5d1>1cd3tY=>7;6c;;9564>88=1>l?ie:Qe2?3f=3:1=><600596d7an2Y=>7;n5;29564>88=1>l?if:f6=c<7280:w^8;:4;`><<6;;3;=:4=a0df?sR60=0;6<4>:36:V032<3h644>33;352<5i8ln7):j6;d4?S2a;38py<>>:09v554=82w/=:m51g9a1<`=83<<6>497zJ7a1=]=10?w<:51282a?7c2t.:444:9g9'0c7==0o0e;>m:188k37?290/=:k56318j41c2910c;?8:18'52c=>;90b<9k:098k347290/=:k56318j41c2;10c;?i:18'52c=>;90b<9k:298k37b290/=:k56318j41c2=10c;?k:18'52c=>;90b<9k:498k37d290/=:k56318j41c2?10c;?m:18'52c=>;90b<9k:698k37f290/=:k56318j41c2110c;?6:18'52c=>;90b<9k:898k371290/=:k56318j41c2h10c;?::18'52c=>;90b<9k:c98k0`2290/=:k56128j41c2910c8h;:18'52c=>9:0b<9k:098k0`c290/=:k56128j41c2;10c8hl:18'52c=>9:0b<9k:298k0`e290/=:k56128j41c2=10c8hn:18'52c=>9:0b<9k:498k0`>290/=:k56128j41c2?10c8h7:18'52c=>9:0b<9k:698k0`0290/=:k56128j41c2110c8h9:18'52c=>9:0b<9k:898k0`4290/=:k56128j41c2h10c8h=:18'52c=>9:0b<9k:c98m34b2900c8o<:188k0?c2900e;?;:188m36c2900c89?:18'52c==090b<9k:198k00a290/=:k55818j41c2810c88j:18'52c==090b<9k:398k00c290/=:k55818j41c2:10c88l:18'52c==090b<9k:598k00e290/=:k55818j41c2<10c886:18'52c==090b<9k:798k00?290/=:k55818j41c2>10c888:18'52c==090b<9k:998k001290/=:k55818j41c2010c88::18'52c==090b<9k:`98k003290/=:k55818j41c2k10c88<:18'52c==090b<9k:b98k005290/=:k55818j41c2m10c88>:18'52c==090b<9k:d98k007290/=:k55818j41c2o10c8;j:18'52c==090b<9k:028?j32l3:1(<9j:4;0?k70l3;:76a:5b83>!70m3?2?6`>7e826>=h=<h1<7*>7d86=6=i9>n1=>54o47b>5<#9>o194=4n05g>42<3f?>57>5$05f>0?43g;<h7?:;:m61=<72-;<i7;63:l23a<6>21d98950;&23`<21:1e=:j51698k031290/=:k55818j41c28207b;:5;29 41b2<387c?8d;3:?>i2??0;6)?8e;7:7>h6?m0:m65`56794?"6?l0>5>5a16f95g=<g<=?6=4+16g91<5<f8=o6<m4;n747?6=,8=n687<;o34`?7c32e>;?4?:%34a?3>;2d:;i4>e:9l127=83.:;h4:929m52b=9o10c88n:18'52c==090b<9k:328?j32n3:1(<9j:4;0?k70l38:76a:5583>!70m3?2?6`>7e816>=h=<91<7*>7d86=6=i9>n1>>54i404>5<#9>o199o4n05g>5=<a<8=6=4+16g911g<f8=o6<54i406>5<#9>o199o4n05g>7=<a<8?6=4+16g911g<f8=o6>54i400>5<#9>o199o4n05g>1=<a<896=4+16g911g<f8=o6854i403>5<#9>o199o4n05g>3=<a<;m6=4+16g911g<f8=o6:54i43f>5<#9>o199o4n05g>==<a<;o6=4+16g911g<f8=o6454i43`>5<#9>o199o4n05g>d=<a<;i6=4+16g911g<f8=o6o54i43b>5<#9>o199o4n05g>f=<a<;26=4+16g911g<f8=o6i54i43;>5<#9>o199o4n05g>`=<a<;<6=4+16g911g<f8=o6k54i436>5<#9>o199o4n05g>46<3`?:87>5$05f>02f3g;<h7?>;:k656<72-;<i7;;a:l23a<6:21b9<<50;&23`<2<h1e=:j51298m076290/=:k555c8j41c28>07d;>0;29 41b2<>j7c?8d;36?>o28o0;6)?8e;77e>h6?m0::65f51g94?"6?l0>8l5a16f952=<a<:o6=4+16g911g<f8=o6<64;h73g?6=,8=n68:n;o34`?7>32c>>i4?:%34a?33i2d:;i4>a:9j17e=83.:;h4:4`9m52b=9k10e8<m:18'52c===k0b<9k:0a8?l35i3:1(<9j:46b?k70l3;o76g:2883>!70m3??m6`>7e82a>=n=;21<7*>7d860d=i9>n1=k54i402>5<#9>o199o4n05g>76<3`?::7>5$05f>02f3g;<h7<>;:k64g<72-;<i7;;a:l23a<5:21b9=o50;&23`<2<h1e=:j52298m0`62900n9k::182>5<7sA>n86*>8887a0=h9>h1<75rb`394?7=83:pD9k;;%3;=?g63fk;6=44}c74>5<d>3;mh7<;9zJ7a1=]=10::vj515827?762881j7?j:b82`?772l0:>7?;:0g956<c28n1=<4>0;d9a?e=u-;357;n2:&e7??a3-?o68o?;%40>0g63-;<:7?8a:k54f<722e>on4?::k54c<722e?j;4?::m557<722c?j44?::k6f3<72-;<i7;mf:l23a<732c>nh4?:%34a?3en2d:;i4>;:k6fa<72-;<i7;mf:l23a<532c>nn4?:%34a?3en2d:;i4<;:k6fg<72-;<i7;mf:l23a<332c>nl4?:%34a?3en2d:;i4:;:k6f<<72-;<i7;mf:l23a<132c>n54?:%34a?3en2d:;i48;:k6f2<72-;<i7;mf:l23a<?32c>n84?:%34a?3en2d:;i46;:k6f1<72-;<i7;mf:l23a<f32c=<o4?::m6e3<722e==54?:%34a?05;2d:;i4?;:m552<72-;<i78=3:l23a<632e=>=4?:%34a?05;2d:;i4=;:m55c<72-;<i78=3:l23a<432e==h4?:%34a?05;2d:;i4;;:m55a<72-;<i78=3:l23a<232e==n4?:%34a?05;2d:;i49;:m55g<72-;<i78=3:l23a<032e==l4?:%34a?05;2d:;i47;:m55<<72-;<i78=3:l23a<>32e==;4?:%34a?05;2d:;i4n;:m550<72-;<i78=3:l23a<e32e>j84?:%34a?0782d:;i4?;:m6b1<72-;<i78?0:l23a<632e>ji4?:%34a?0782d:;i4=;:m6bf<72-;<i78?0:l23a<432e>jo4?:%34a?0782d:;i4;;:m6bd<72-;<i78?0:l23a<232e>j44?:%34a?0782d:;i49;:m6b=<72-;<i78?0:l23a<032e>j:4?:%34a?0782d:;i47;:m6b3<72-;<i78?0:l23a<>32e>j>4?:%34a?0782d:;i4n;:m6b7<72-;<i78?0:l23a<e32c>i:4?:%34a?3a82d:;i4?;:k6ac<72-;<i7;i0:l23a<632c>ih4?:%34a?3a82d:;i4=;:k6aa<72-;<i7;i0:l23a<432c>in4?:%34a?3a82d:;i4;;:k6ag<72-;<i7;i0:l23a<232c>il4?:%34a?3a82d:;i49;:k6a<<72-;<i7;i0:l23a<032c>i54?:%34a?3a82d:;i47;:k6a3<72-;<i7;i0:l23a<>32c>i84?:%34a?3a82d:;i4n;:k56`<722e?jh4?:%34a?3702d:;i4?;:m7ba<72-;<i7;?8:l23a<632e><;4?:%34a?3702d:;i4=;:m640<72-;<i7;?8:l23a<432e><94?:%34a?3702d:;i4;;:m646<72-;<i7;?8:l23a<232e><?4?:%34a?3702d:;i49;:m644<72-;<i7;?8:l23a<032e><=4?:%34a?3702d:;i47;:m7bc<72-;<i7;?8:l23a<>32e?jn4?:%34a?3702d:;i4n;:m7bg<72-;<i7;?8:l23a<e32e>m>4?::m6=a<722c>ok4?:%34a?3c02d:;i4?;:k6`2<72-;<i7;k8:l23a<632c>h;4?:%34a?3c02d:;i4=;:k6`0<72-;<i7;k8:l23a<432c>h94?:%34a?3c02d:;i4;;:k6`6<72-;<i7;k8:l23a<232c>h?4?:%34a?3c02d:;i49;:k6`4<72-;<i7;k8:l23a<032c>h=4?:%34a?3c02d:;i47;:k6g`<72-;<i7;k8:l23a<>32c>oi4?:%34a?3c02d:;i4n;:k551<722c>m94?::k7bd<722c=>k4?::m54`<722e==>4?::m7b2<722e==<4?::k54a<722e>;=4?:%34a?3>;2d:;i4?;:m62c<72-;<i7;63:l23a<632e>:h4?:%34a?3>;2d:;i4=;:m62a<72-;<i7;63:l23a<432e>:n4?:%34a?3>;2d:;i4;;:m62g<72-;<i7;63:l23a<232e>:44?:%34a?3>;2d:;i49;:m62=<72-;<i7;63:l23a<032e>::4?:%34a?3>;2d:;i47;:m623<72-;<i7;63:l23a<>32e>:84?:%34a?3>;2d:;i4n;:m621<72-;<i7;63:l23a<e32e>:>4?:%34a?3>;2d:;i4l;:m627<72-;<i7;63:l23a<c32e>:<4?:%34a?3>;2d:;i4j;:m625<72-;<i7;63:l23a<a32e>9h4?:%34a?3>;2d:;i4>0:9l10b=83.:;h4:929m52b=9810c8;l:18'52c==090b<9k:008?j32j3:1(<9j:4;0?k70l3;876a:5`83>!70m3?2?6`>7e820>=h=<31<7*>7d86=6=i9>n1=854o47;>5<#9>o194=4n05g>40<3f?>;7>5$05f>0?43g;<h7?8;:m613<72-;<i7;63:l23a<6021d98;50;&23`<21:1e=:j51898k011290/=:k55818j41c28k07b;85;29 41b2<387c?8d;3a?>i2?=0;6)?8e;7:7>h6?m0:o65`56194?"6?l0>5>5a16f95a=<g<=96=4+16g91<5<f8=o6<k4;n745?6=,8=n687<;o34`?7a32e>:l4?:%34a?3>;2d:;i4=0:9l10`=83.:;h4:929m52b=:810c8;;:18'52c==090b<9k:308?j32;3:1(<9j:4;0?k70l38876g:a`83>!70m3?i?6`>7e83?>o2j;0;6)?8e;7a7>h6?m0:76g:b083>!70m3?i?6`>7e81?>o2j90;6)?8e;7a7>h6?m0876g:ag83>!70m3?i?6`>7e87?>o2il0;6)?8e;7a7>h6?m0>76g:ae83>!70m3?i?6`>7e85?>o2ij0;6)?8e;7a7>h6?m0<76g:ac83>!70m3?i?6`>7e8;?>o2i00;6)?8e;7a7>h6?m0276g:a983>!70m3?i?6`>7e8b?>o2:>0;6)?8e;77e>h6?m0;76g:2783>!70m3??m6`>7e82?>o2:<0;6)?8e;77e>h6?m0976g:2583>!70m3??m6`>7e80?>o2::0;6)?8e;77e>h6?m0?76g:2383>!70m3??m6`>7e86?>o2:90;6)?8e;77e>h6?m0=76g:1g83>!70m3??m6`>7e84?>o29l0;6)?8e;77e>h6?m0376g:1e83>!70m3??m6`>7e8:?>o29j0;6)?8e;77e>h6?m0j76g:1c83>!70m3??m6`>7e8a?>o29h0;6)?8e;77e>h6?m0h76g:1883>!70m3??m6`>7e8g?>o2910;6)?8e;77e>h6?m0n76g:1683>!70m3??m6`>7e8e?>o29<0;6)?8e;77e>h6?m0:<65f50694?"6?l0>8l5a16f954=<a<;86=4+16g911g<f8=o6<<4;h726?6=,8=n68:n;o34`?7432c>=<4?:%34a?33i2d:;i4>4:9j146=83.:;h4:4`9m52b=9<10e8>i:18'52c===k0b<9k:048?l37m3:1(<9j:46b?k70l3;<76g:0e83>!70m3??m6`>7e82<>=n=9i1<7*>7d860d=i9>n1=454i40g>5<#9>o199o4n05g>4g<3`?9o7>5$05f>02f3g;<h7?m;:k66g<72-;<i7;;a:l23a<6k21b9?o50;&23`<2<h1e=:j51e98m04>290/=:k555c8j41c28o07d;=8;29 41b2<>j7c?8d;3e?>o2:80;6)?8e;77e>h6?m09<65f50494?"6?l0>8l5a16f964=<a<:i6=4+16g911g<f8=o6?<4;h73e?6=,8=n68:n;o34`?4432c?j54?::k6`g<72-;<i7;j4:l23a<732c>i>4?:%34a?3b<2d:;i4>;:k6a7<72-;<i7;j4:l23a<532c>i<4?:%34a?3b<2d:;i4<;:k6a5<72-;<i7;j4:l23a<332c>hk4?:%34a?3b<2d:;i4:;:k6``<72-;<i7;j4:l23a<132c>hi4?:%34a?3b<2d:;i48;:k6`f<72-;<i7;j4:l23a<?32c>hl4?:%34a?3b<2d:;i46;:k6`<<72-;<i7;j4:l23a<f32c>o?4?:%34a?3dj2d:;i4?;:k6gd<72-;<i7;lb:l23a<632c>o44?:%34a?3dj2d:;i4=;:k6g=<72-;<i7;lb:l23a<432c>o:4?:%34a?3dj2d:;i4;;:k6g3<72-;<i7;lb:l23a<232c>o84?:%34a?3dj2d:;i49;:k6g1<72-;<i7;lb:l23a<032c>o>4?:%34a?3dj2d:;i47;:k6g4<72-;<i7;lb:l23a<>32c>o=4?:%34a?3dj2d:;i4n;:m6e2<722e><44?::k6b4<722h?ik4?:083>5}#9131m<5G4dg8L1c33fk;6=44}c6e4?6=93:1<v*>8887a0=O<lo0D9k;;n34f?6=3th?h<4?:283>5}#9131545G4dg8L1c33A??7)hi:733?!ga2;1b944?::k51?6=3f;3<7>5;|`7`f<72:0;6=u+19;9=<=O<lo0D9k;;I77?!`a2?;;7)oi:39j1<<722c=97>5;n3;4?6=3th?h=4?:283>5}#9131545G4dg8L1c33A??7)hi:733?!ga2;1b944?::k51?6=3f;3<7>5;|`7`d<72<0;6=u+19;9=`=O<lo0D9k;;I77?!`a2?;;7)oi:39j1<<722c>o7>5;h46>5<<a8=m6=44o0:3>5<<uk>on7>53;294~"6000256F;ed9K0`2<@<>0(kh56028 d`=:2c>57>5;h46>5<<g82;6=44}c6`b?6==3:1<v*>888:a>N3ml1C8h:4H468 c`=>8:0(lh52:k6=?6=3`?h6=44i7794?=n9>l1<75`19294?=zj=n26=4;:183!7?133o7E:je:J7a1=#io097d;6:188m33=831b=:h50;9l5=6=831vn9j7:187>5<7s-;3577k;I6fa>N3m=1/mk4=;h7:>5<<a??1<75f16d94?=h91:1<75rb5f4>5<3290;w)?79;;g?M2bm2B?i95+ag81?l3>2900e;;50;9j52`=831d=5>50;9~f1c5290?6=4?{%3;=??c3A>ni6F;e59'ec<53`?26=44i7794?=n9>l1<75`19294?=zj=o:6=4;:183!7?133o7E:je:J7a1=#io097d;6:188m33=831b=:h50;9l5=6=831vn><l:187>5<7s-;3577k;I6fa>N3m=1/mk47;h7:>5<<a??1<75f16d94?=h91:1<75rb3`b>5<2290;w)?79;;`?M2bm2B?i95+ag81?l3>2900e;?50;9j20<722c:;k4?::m2<5<722wi>ol50;794?6|,82264m4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?l70n3:17b?70;29?xd5jl0;684?:1y'5=?=1j1C8hk4H5g7?!ga2;1b944?::k55?6=3`<>6=44i05e>5<<g82;6=44}c0ab?6==3:1<v*>888:g>N3ml1C8h:4$`d96>o213:17d8>:188m33=831b=:h50;9l5=6=831vn?m?:186>5<7s-;3577l;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>o6?o0;66a>8183>>{e:j;1<7;50;2x 4>>20i0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;h34b?6=3f;3<7>5;|`1g7<72<0;6=u+19;9=f=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900e<9i:188k4>72900qo<l3;291?6=8r.:4446c:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=n9>l1<75`19294?=zj;i?6=4::183!7?133h7E:je:J7a1=#io097d;6:188m37=831b:84?::k23c<722e:4=4?::a6f3=83?1<7>t$0::><e<@=on7E:j4:&bb?4<a<31<75f6083>>o1=3:17d?8f;29?j7?83:17pl=bb83>0<729q/=5759b9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<a8=m6=44o0:3>5<<uk8ih7>55;294~"60002o6F;ed9K0`2<,hl1>6g:9;29?l062900e;;50;9j52`=831d=5>50;9~f6d?290?6=4?{%3;=??c3A>ni6F;e59'ec<53`?26=44i7794?=n9>l1<75`19294?=zj:h<6=4;:183!7?133o7E:je:J7a1=#io097d;6:188m33=831b=:h50;9l5=6=831vn>hn:187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm3g`94?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`0b`<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg5an3:187>50z&2<<<>i2B?ih5G4d68 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj=:;6=4;:183!7?133j7E:je:J7a1=#io097d;6:188m37=831b:84?::m2<5<722wi8=?50;694?6|,82264o4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl;0383>1<729q/=5759`9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c637?6=<3:1<v*>888:e>N3ml1C8h:4$`d96>o213:17d8>:188m33=831d=5>50;9~f163290?6=4?{%3;=??f3A>ni6F;e59'ec<53`?26=44i7394?=n><0;66a>8183>>{e<9?1<7:50;2x 4>>20k0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;n3;4?6=3th8jn4?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo=id;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb3c0>5<4290;w)?79;d:?M2bm2B?i95+ag801>of;3:17do;:188k41>2900qo<69;290?6=8r.:444>019K0`c<@=o?7d;j:188m30=831b=5<50;9l52?=831vn?oj:180>5<7s-;357:jd:J7a`=O<l>0e8k50;9jb1<722e:;44?::a6d3=8391<7>t$0::>c?<@=on7E:j4:&bb?523`k86=44i`694?=h9>31<75rb3;b>5<3290;w)?79;334>N3ml1C8h:4i4g94?=n>?0;66g>8383>>i6?00;66sm2c294?5=83:p(<66:5gg?M2bm2B?i95f5d83>>oa<3:17b?89;29?xd5i?0;6>4?:1y'5=?=n01C8hk4H5g7?!ga2:?0el=50;9je1<722e:;44?::a6<d=83>1<7>t$0::>4673A>ni6F;e59j1`<722c=:7>5;h3;6?6=3f;<57>5;|`1f4<72:0;6=u+19;90`b<@=on7E:j4:k6a?6=3`l?6=44o05:>5<<uk8j;7>53;294~"6000m56F;ed9K0`2<,hl1?85fa283>>of<3:17b?89;29?xd51j0;694?:1y'5=?=99:0D9kj;I6f0>o2m3:17d89:188m4>52900c<96:188yg4e:3:1?7>50z&2<<<3mm1C8hk4H5g7?l3b2900ek:50;9l52?=831vn?o7:180>5<7s-;357h6;I6fa>N3m=1/mk4<5:kb7?6=3`k?6=44o05:>5<<uk82h7>54;294~"6000:<=5G4dg8L1c33`?n6=44i7494?=n9181<75`16;94?=zj;h86=4<:183!7?13>nh6F;ed9K0`2<a<o1<75ff583>>i6?00;66sm2`;94?5=83:p(<66:g;8L1cb3A>n86*nf;16?lg42900el:50;9l52?=831vn?7j:187>5<7s-;357??0:J7a`=O<l>0e8k50;9j23<722c:4?4?::m23<<722wi>o:50;194?6|,82269kk;I6fa>N3m=1b9h4?::ke0?6=3f;<57>5;|`1ed<72:0;6=u+19;9b<=O<lo0D9k;;%ce>63<ah91<75fa583>>i6?00;66sm28d94?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl=b483>6<729q/=5754df8L1cb3A>n86g:e;29?l`32900c<96:188yg4fj3:1?7>50z&2<<<a12B?ih5G4d68 d`=;<1bm>4?::kb0?6=3f;<57>5;|`1e5<72=0;6=u+19;9556<@=on7E:j4:k6a?6=3`<=6=44i0:1>5<<g8=26=44}c0a2?6=;3:1<v*>8887aa=O<lo0D9k;;h7f>5<<ao>1<75`16;94?=zj;kh6=4<:183!7?13l27E:je:J7a1=#io0896gn3;29?lg32900c<96:188yg4f93:187>50z&2<<<6891C8hk4H5g7?l3b2900e;850;9j5=4=831d=:750;9~f7d029086=4?{%3;=?2bl2B?ih5G4d68m0c=831bj94?::m23<<722wi>lj50;194?6|,8226k74H5gf?M2b<2.jj7=:;hc0>5<<ah>1<75`16;94?=zj;k96=4;:183!7?13;;<6F;ed9K0`2<a<o1<75f6783>>o60;0;66a>7883>>{e:k21<7=50;2x 4>>2=oo7E:je:J7a1=n=l0;66gi4;29?j7013:17pl=a583>6<729q/=575f89K0`c<@=o?7)oi:278md5=831bm94?::m23<<722wi>lh50;194?6|,82269kk;I6fa>N3m=1b9h4?::ke0?6=3f;<57>5;|`0a6<72:0;6=u+19;9b<=O<lo0D9k;;%ce>63<ah91<75fa583>>i6?00;66sm3e;94?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl<ed83>6<729q/=5754df8L1cb3A>n86g:e;29?l`32900c<96:188yg5b=3:1?7>50z&2<<<a12B?ih5G4d68 d`=;<1bm>4?::kb0?6=3f;<57>5;|`0`d<72=0;6=u+19;9556<@=on7E:j4:k6a?6=3`<=6=44i0:1>5<<g8=26=44}c1e4?6=;3:1<v*>8887aa=O<lo0D9k;;h7f>5<<ao>1<75`16;94?=zj:o=6=4<:183!7?13l27E:je:J7a1=#io0896gn3;29?lg32900c<96:188yg5cj3:187>50z&2<<<6891C8hk4H5g7?l3b2900e;850;9j5=4=831d=:750;9~f6`629086=4?{%3;=?2bl2B?ih5G4d68m0c=831bj94?::m23<<722wi?h950;194?6|,8226k74H5gf?M2b<2.jj7=:;hc0>5<<ah>1<75`16;94?=zj:nh6=4;:183!7?13;;<6F;ed9K0`2<a<o1<75f6783>>o60;0;66a>7883>>{e;o81<7=50;2x 4>>2=oo7E:je:J7a1=n=l0;66gi4;29?j7013:17pl<e983>6<729q/=575f89K0`c<@=o?7)oi:278md5=831bm94?::m23<<722wi?ij50;694?6|,8226<>?;I6fa>N3m=1b9h4?::k52?6=3`;3>7>5;n34=?6=3th8j>4?:283>5}#91318hj4H5gf?M2b<2c>i7>5;hd7>5<<g8=26=44}c1f=?6=;3:1<v*>888e=>N3ml1C8h:4$`d970=ni:0;66gn4;29?j7013:17pl<dd83>1<729q/=5751128L1cb3A>n86g:e;29?l012900e<6=:188k41>2900qo=i4;297?6=8r.:444;ee9K0`c<@=o?7d;j:188mc2=831d=:750;9~f6cf29086=4?{%3;=?`>3A>ni6F;e59'ec<4=2cj?7>5;hc7>5<<g8=26=44}c1gb?6=<3:1<v*>888245=O<lo0D9k;;h7f>5<<a?<1<75f19094?=h9>31<75rb2d6>5<4290;w)?79;6f`>N3ml1C8h:4i4g94?=nn=0;66a>7883>>{e;lh1<7=50;2x 4>>2o30D9kj;I6f0>"fn39>7do<:188md2=831d=:750;9~f6c7290?6=4?{%3;=?7782B?ih5G4d68m0c=831b:;4?::k2<7<722e:;44?::a7c0=8391<7>t$0::>1cc3A>ni6F;e59j1`<722cm87>5;n34=?6=3th8in4?:283>5}#9131j45G4dg8L1c33-km6>;4i`194?=ni=0;66a>7883>>{e;l;1<7:50;2x 4>>28:;7E:je:J7a1=n=l0;66g96;29?l7?:3:17b?89;29?xd4n>0;6>4?:1y'5=?=<ln0D9kj;I6f0>o2m3:17dh;:188k41>2900qo=jd;297?6=8r.:444i9:J7a`=O<l>0(lh5349je6<722cj87>5;n34=?6=3th8i?4?:583>5}#9131==>4H5gf?M2b<2c>i7>5;h45>5<<a8296=44o05:>5<<uk9m47>53;294~"6000?ii5G4dg8L1c33`?n6=44ig694?=h9>31<75rb2g7>5<4290;w)?79;d:?M2bm2B?i95+ag801>of;3:17do;:188k41>2900qo=jf;297?6=8r.:444;ee9K0`c<@=o?7d;j:188mc2=831d=:750;9~f661290?6=4?{%3;=?7782B?ih5G4d68m0c=831b:;4?::k2<7<722e:;44?::a753=83>1<7>t$0::>4673A>ni6F;e59j1`<722c=:7>5;h3;6?6=3f;<57>5;|`041<72=0;6=u+19;9556<@=on7E:j4:k6a?6=3`<=6=44i0:1>5<<g8=26=44}c137?6=<3:1<v*>888245=O<lo0D9k;;h7f>5<<a?<1<75f19094?=h9>31<75rb221>5<3290;w)?79;334>N3ml1C8h:4i4g94?=n>?0;66g>8383>>i6?00;66sm31394?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl<1e83>1<729q/=5751128L1cb3A>n86g:e;29?l012900e<6=:188k41>2900qo=>c;290?6=8r.:444>019K0`c<@=o?7d;j:188m30=831b=5<50;9l52?=831vn>?m:187>5<7s-;357??0:J7a`=O<l>0e8k50;9j23<722c:4?4?::m23<<722wi?<o50;694?6|,8226<>?;I6fa>N3m=1b9h4?::k52?6=3`;3>7>5;n34=?6=3th8=44?:583>5}#9131==>4H5gf?M2b<2c>i7>5;h45>5<<a8296=44o05:>5<<uk9:47>54;294~"6000:<=5G4dg8L1c33`?n6=44i7494?=n9181<75`16;94?=zj:3:6=4;:183!7?13;;<6F;ed9K0`2<a<o1<75f6783>>o60;0;66a>7883>>{e;0:1<7:50;2x 4>>28:;7E:je:J7a1=n=l0;66g96;29?l7?:3:17b?89;29?xd40o0;694?:1y'5=?=99:0D9kj;I6f0>o2m3:17d89:188m4>52900c<96:188yg5?m3:187>50z&2<<<6891C8hk4H5g7?l3b2900e;850;9j5=4=831d=:750;9~f6>c290?6=4?{%3;=?7782B?ih5G4d68m0c=831b:;4?::k2<7<722e:;44?::a7=e=83>1<7>t$0::>4673A>ni6F;e59j1`<722c=:7>5;h3;6?6=3f;<57>5;|`0e=<72=0;6=u+19;9556<@=on7E:j4:k6a?6=3`<=6=44i0:1>5<<g8=26=44}c1b3?6=<3:1<v*>888245=O<lo0D9k;;h7f>5<<a?<1<75f19094?=h9>31<75rb2c5>5<3290;w)?79;334>N3ml1C8h:4i4g94?=n>?0;66g>8383>>i6?00;66sm3`794?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl<a583>1<729q/=5751128L1cb3A>n86g:e;29?l012900e<6=:188k41>2900qo=n3;290?6=8r.:444>019K0`c<@=o?7d;j:188m30=831b=5<50;9l52?=831vn<m;:187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm1ba94?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`2gg<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg7di3:187>50z&2<<<>i2B?ih5G4d68 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj8i26=4;:183!7?133j7E:je:J7a1=#io097d;6:188m37=831b:84?::m2<5<722wi=n650;694?6|,82264o4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl>c683>1<729q/=5759`9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c3`2?6=<3:1<v*>888:e>N3ml1C8h:4$`d96>o213:17d8>:188m33=831d=5>50;9~f4e2290?6=4?{%3;=??f3A>ni6F;e59'ec<53`?26=44i7394?=n><0;66a>8183>>{e9j91<7:50;2x 4>>20k0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;n3;4?6=3th:o?4?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo<<1;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb31:>5<3290;w)?79;;b?M2bm2B?i95+ag81?l3>2900e;?50;9j20<722e:4=4?::a66>=83>1<7>t$0::><g<@=on7E:j4:&bb?4<a<31<75f6083>>o1=3:17b?70;29?xd5;>0;694?:1y'5=?=1h1C8hk4H5g7?!ga2;1b944?::k55?6=3`<>6=44o0:3>5<<uk88:7>54;294~"60002m6F;ed9K0`2<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn?=::187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm22694?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`176<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg44:3:187>50z&2<<<>i2B?ih5G4d68 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj;9;6=4;:183!7?133j7E:je:J7a1=#io097d;6:188m37=831b:84?::m2<5<722wi>?h50;694?6|,82264o4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl=7b83>1<729q/=5759`9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c0;0?6=<3:1<v*>888:e>N3ml1C8h:4$`d96>o213:17d8>:188m33=831d=5>50;9~f7>4290?6=4?{%3;=??f3A>ni6F;e59'ec<53`?26=44i7394?=n><0;66a>8183>>{e:181<7:50;2x 4>>20k0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;n3;4?6=3th94<4?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo<70;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb35e>5<3290;w)?79;;b?M2bm2B?i95+ag81?l3>2900e;?50;9j20<722e:4=4?::a62c=83>1<7>t$0::><g<@=on7E:j4:&bb?4<a<31<75f6083>>o1=3:17b?70;29?xd5?m0;694?:1y'5=?=1h1C8hk4H5g7?!ga2;1b944?::k55?6=3`<>6=44o0:3>5<<uk8<n7>54;294~"60002m6F;ed9K0`2<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn?9n:187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm21d94?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`152<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg46>3:187>50z&2<<<>i2B?ih5G4d68 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj;;>6=4;:183!7?133j7E:je:J7a1=#io097d;6:188m37=831b:84?::m2<5<722wi><:50;694?6|,82264o4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl=1283>1<729q/=5759`9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c026?6=<3:1<v*>888:e>N3ml1C8h:4$`d96>o213:17d8>:188m33=831d=5>50;9~f776290?6=4?{%3;=??f3A>ni6F;e59'ec<53`?26=44i7394?=n><0;66a>8183>>{e:8:1<7:50;2x 4>>20k0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;n3;4?6=3th9<h4?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo<?d;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb345>5<3290;w)?79;;b?M2bm2B?i95G559'bc<1991/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm27g94?2=83:p(<66:8c8L1cb3A>n86F:4:&eb?0682.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl=6e83>1<729q/=5759`9K0`c<@=o?7E;;;%de>3773-km6?5f5883>>o193:17d8::188k4>72900qo<9c;290?6=8r.:4446a:J7a`=O<l>0D8:4$gd9246<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn?8m:187>5<7s-;3577n;I6fa>N3m=1C995+fg8555=#io097d;6:188m37=831b:84?::m2<5<722wi>;o50;694?6|,82264o4H5gf?M2b<2B>86*if;424>"fn380e8750;9j24<722c=97>5;n3;4?6=3th9:44?:583>5}#91315l5G4dg8L1c33A??7)hi:733?!ga2;1b944?::k55?6=3`<>6=44o0:3>5<<uk8=47>54;294~"60002m6F;ed9K0`2<@<>0(kh56028 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj;<<6=4;:183!7?133j7E:je:J7a1=O==1/jk49119'ec<53`?26=44i7394?=n><0;66a>8183>>{e:??1<7:50;2x 4>>20k0D9kj;I6f0>N2<2.mj78>0:&bb?4<a<31<75f6083>>o1=3:17b?70;29?xd5>=0;694?:1y'5=?=1h1C8hk4H5g7?M333-lm6;??;%ce>7=n=00;66g91;29?l022900c<6?:188yg7a13:187>50z&2<<<>i2B?ih5G4d68L02<,ol1:<>4$`d96>o213:17d8>:188m33=831d=5>50;9~f766290?6=4?{%3;=??f3A>ni6F;e59K11=#no0===5+ag81?l3>2900e;?50;9j20<722e:4=4?::a656=83>1<7>t$0::><g<@=on7E:j4:J60>"an3<:<6*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`2bc<72=0;6=u+19;9=d=O<lo0D9k;;I77?!`a2?;;7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c3ea?6=<3:1<v*>888:e>N3ml1C8h:4H468 c`=>8:0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb0dg>5<3290;w)?79;;b?M2bm2B?i95G559'bc<1991/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm1ga94?2=83:p(<66:8c8L1cb3A>n86F:4:&eb?0682.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl>fc83>1<729q/=5759`9K0`c<@=o?7E;;;%de>3773-km6?5f5883>>o193:17d8::188k4>72900qo?ia;290?6=8r.:4446a:J7a`=O<l>0D8:4$gd9246<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn<h7:187>5<7s-;3577n;I6fa>N3m=1C995+fg8555=#io097d;6:188m37=831b:84?::m2<5<722wi=k950;694?6|,82264o4H5gf?M2b<2B>86*if;424>"fn380e8750;9j24<722c=97>5;n3;4?6=3th:i>4?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo?jb;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb0gb>5<3290;w)?79;;b?M2bm2B?i95+ag81?l3>2900e;?50;9j20<722e:4=4?::a5`?=83>1<7>t$0::><g<@=on7E:j4:&bb?4<a<31<75f6083>>o1=3:17b?70;29?xd6m10;694?:1y'5=?=1h1C8hk4H5g7?!ga2;1b944?::k55?6=3`<>6=44o0:3>5<<uk;n;7>54;294~"60002m6F;ed9K0`2<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn<k9:187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm1d794?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`2a1<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg7b:3:187>50z&2<<<>i2B?ih5G4d68 d`=:2c>57>5;h42>5<<a??1<75`19294?=zj8o:6=4;:183!7?133j7E:je:J7a1=#io097d;6:188m37=831b:84?::m2<5<722wi>8>50;694?6|,82264o4H5gf?M2b<2.jj7<4i4;94?=n>80;66g95;29?j7?83:17pl=5983>1<729q/=5759`9K0`c<@=o?7)oi:39j1<<722c==7>5;h46>5<<g82;6=44}c063?6=<3:1<v*>888:e>N3ml1C8h:4$`d96>o213:17d8>:188m33=831d=5>50;9~f731290?6=4?{%3;=??f3A>ni6F;e59'ec<53`?26=44i7394?=n><0;66a>8183>>{e:<?1<7:50;2x 4>>20k0D9kj;I6f0>"fn380e8750;9j24<722c=97>5;n3;4?6=3th9994?:583>5}#91315l5G4dg8L1c33-km6?5f5883>>o193:17d8::188k4>72900qo<:3;290?6=8r.:4446a:J7a`=O<l>0(lh52:k6=?6=3`<:6=44i7794?=h91:1<75rb371>5<3290;w)?79;;b?M2bm2B?i95+ag81?l3>2900e;?50;9j20<722e:4=4?::a607=83>1<7>t$0::><g<@=on7E:j4:&bb?4<a<31<75f6083>>o1=3:17b?70;29?xd5<o0;694?:1y'5=?=1h1C8hk4H5g7?!ga2;1b944?::k55?6=3`<>6=44o0:3>5<<uk8?i7>54;294~"60002m6F;ed9K0`2<,hl1>6g:9;29?l062900e;;50;9l5=6=831vn>87:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd4?90;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb24f>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th8:i4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f60a290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl<6c83>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj:<j6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`02f<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn>88:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd4>?0;684?:1y'5=?=1l1C8hk4H5g7?!ga211b944?::k6g?6=3`<>6=44i05e>5<<g82;6=44}c15=?6==3:1<v*>888:f>N3ml1C8h:4$`d96>o213:17d;l:188m37=831b:84?::m2<5<722wi?8>50;194?6|,82269kk;I6fa>N3m=1b9h4?::ke0?6=3f;<57>5;|`01d<72:0;6=u+19;90`b<@=on7E:j4:k6a?6=3`l?6=44o05:>5<<uk9?h7>54;294~"6000:<=5G4dg8L1c33`?n6=44i7494?=n9181<75`16;94?=zj:?26=4<:183!7?13>nh6F;ed9K0`2<a<o1<75ff583>>i6?00;66sm35`94?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl<5983>6<729q/=5754df8L1cb3A>n86g:e;29?l`32900c<96:188yg5313:187>50z&2<<<6891C8hk4H5g7?l3b2900e;850;9j5=4=831d=:750;9~f63029086=4?{%3;=?2bl2B?ih5G4d68m0c=831bj94?::m23<<722wi?9950;694?6|,8226<>?;I6fa>N3m=1b9h4?::k52?6=3`;3>7>5;n34=?6=3th89;4?:283>5}#91318hj4H5gf?M2b<2c>i7>5;hd7>5<<g8=26=44}c171?6=<3:1<v*>888245=O<lo0D9k;;h7f>5<<a?<1<75f19094?=h9>31<75rb276>5<4290;w)?79;6f`>N3ml1C8h:4i4g94?=nn=0;66a>7883>>{e;=91<7:50;2x 4>>28:;7E:je:J7a1=n=l0;66g96;29?l7?:3:17b?89;29?xd4==0;6>4?:1y'5=?=<ln0D9kj;I6f0>o2m3:17dh;:188k41>2900qo=;1;290?6=8r.:444>019K0`c<@=o?7d;j:188m30=831b=5<50;9l52?=831vn>;<:180>5<7s-;357:jd:J7a`=O<l>0e8k50;9jb1<722e:;44?::a76`=83>1<7>t$0::>4673A>ni6F;e59j1`<722c=:7>5;h3;6?6=3f;<57>5;|`017<72:0;6=u+19;90`b<@=on7E:j4:k6a?6=3`l?6=44o05:>5<<uk98h7>54;294~"6000:<=5G4dg8L1c33`?n6=44i7494?=n9181<75`16;94?=zj:>m6=4<:183!7?13>nh6F;ed9K0`2<a<o1<75ff583>>i6?00;66sm32`94?2=83:p(<66:023?M2bm2B?i95f5d83>>o1>3:17d?72;29?j7013:17pl<7d83>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj:2=6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`0<0<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn>6;:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd40:0;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb2:1>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th84<4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f6>7290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl<7g83>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj:=o6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`03f<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn?h;:187>5<7s-;3577n;I6fa>N3m=1/mk4=;h7:>5<<a?;1<75f6483>>i6090;66sm2g394?2=83:p(<66:8c8L1cb3A>n86*nf;08m0?=831b:<4?::k51?6=3f;3<7>5;|`1bg<72=0;6=u+19;9=d=O<lo0D9k;;%ce>7=n=00;66g91;29?l022900c<6?:188yg4a03:187>50z&2<<<>l2B?ih5G4d68 d`=02c>57>5;h46>5<<a8=m6=44o0:3>5<<uk8m;7>54;294~"60002h6F;ed9K0`2<,hl146g:9;29?l022900e<9i:188k4>72900qo:;9;291?6=8r.:4446b:J7a`=O<l>0(lh52:k6=?6=3`?h6=44i7394?=n><0;66a>8183>>{e<<;1<7;50;2x 4>>20h0D9kj;I6f0>"fn380e8750;9j1f<722c==7>5;h46>5<<g82;6=44}c67b?6==3:1<v*>888:f>N3ml1C8h:4$`d96>o213:17d;l:188m37=831b:84?::m2<5<722wi89k50;794?6|,82264l4H5gf?M2b<2.jj7<4i4;94?=n=j0;66g91;29?l022900c<6?:188yg2283:197>50z&2<<<>j2B?ih5G4d68 d`=:2c>57>5;h7`>5<<a?;1<75f6483>>i6090;66sm45a94?3=83:p(<66:8`8L1cb3A>n86*nf;08m0?=831b9n4?::k55?6=3`<>6=44o0:3>5<<uk>?n7>55;294~"60002n6F;ed9K0`2<,hl1>6g:9;29?l3d2900e;?50;9j20<722e:4=4?::a01b=83?1<7>t$0::><d<@=on7E:j4:&bb?4<a<31<75f5b83>>o193:17d8::188k4>72900qo:;8;291?6=8r.:4446e:J7a`=O<l>0(lh58:k6=?6=3`?h6=44i7794?=n9>l1<75`19294?=zj=><6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`70d<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn9=>:180>5<7s-;357:jd:J7a`=O<l>0e8k50;9jb1<722e:;44?::a06d=8391<7>t$0::>1cc3A>ni6F;e59j1`<722cm87>5;n34=?6=3th?>h4?:583>5}#9131==>4H5gf?M2b<2c>i7>5;h45>5<<a8296=44o05:>5<<uk>8m7>53;294~"6000?ii5G4dg8L1c33`?n6=44ig694?=h9>31<75rb50`>5<3290;w)?79;334>N3ml1C8h:4i4g94?=n>?0;66g>8383>>i6?00;66sm42;94?5=83:p(<66:5gg?M2bm2B?i95f5d83>>oa<3:17b?89;29?xd3:h0;694?:1y'5=?=99:0D9kj;I6f0>o2m3:17d89:188m4>52900c<96:188yg2403:1?7>50z&2<<<3mm1C8hk4H5g7?l3b2900ek:50;9l52?=831vn9<7:187>5<7s-;357??0:J7a`=O<l>0e8k50;9j23<722c:4?4?::m23<<722wi8>950;194?6|,82269kk;I6fa>N3m=1b9h4?::ke0?6=3f;<57>5;|`763<72=0;6=u+19;9556<@=on7E:j4:k6a?6=3`<=6=44i0:1>5<<g8=26=44}c602?6=;3:1<v*>8887aa=O<lo0D9k;;h7f>5<<ao>1<75`16;94?=zj=8?6=4;:183!7?13;;<6F;ed9K0`2<a<o1<75f6783>>o60;0;66a>7883>>{e<:?1<7=50;2x 4>>2=oo7E:je:J7a1=n=l0;66gi4;29?j7013:17pl;2383>1<729q/=5751128L1cb3A>n86g:e;29?l012900e<6=:188k41>2900qo:<4;297?6=8r.:444;ee9K0`c<@=o?7d;j:188mc2=831d=:750;9~f147290?6=4?{%3;=?7782B?ih5G4d68m0c=831b:;4?::k2<7<722e:;44?::a065=8391<7>t$0::>1cc3A>ni6F;e59j1`<722cm87>5;n34=?6=3th?=h4?:583>5}#9131==>4H5gf?M2b<2c>i7>5;h45>5<<a8296=44o05:>5<<uk>8<7>53;294~"6000?ii5G4dg8L1c33`?n6=44ig694?=h9>31<75rb53`>5<3290;w)?79;334>N3ml1C8h:4i4g94?=n>?0;66g>8383>>i6?00;66sm47294?3=83:p(<66:8`8L1cb3A>n86*nf;08m0?=831b9n4?::k55?6=3`<>6=44o0:3>5<<uk>>h7>55;294~"60002i6F;ed9K0`2<,hl146g:9;29?l3d2900e;;50;9j52`=831d=5>50;9~f13b290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;6283>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj=<:6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`727<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn989:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd3>=0;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb546>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?::4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f13a290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;7483>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj==o6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`73f<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn99m:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd3?h0;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb55:>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?;54?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f110290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;7783>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj==?6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`736<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn97m:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd31h0;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb5;:>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?554?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f1?0290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;9783>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj=3?6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`7=6<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn97=:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd3180;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb5;3>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?4k4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f1>b290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;8e83>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj=2h6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`7<g<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn966:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd3010;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb5:4>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?4;4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f1>2290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;8583>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj=286=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`7<7<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn96>:186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd3090;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb5c2>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?m=4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f1?a290>6=4?{%3;=??e3A>ni6F;e59'ec<53`?26=44i4a94?=n>80;66g95;29?j7?83:17pl;9d83>0<729q/=5759c9K0`c<@=o?7)oi:39j1<<722c>o7>5;h42>5<<a??1<75`19294?=zj=3o6=4::183!7?133i7E:je:J7a1=#io097d;6:188m0e=831b:<4?::k51?6=3f;3<7>5;|`7=f<72<0;6=u+19;9=g=O<lo0D9k;;%ce>7=n=00;66g:c;29?l062900e;;50;9l5=6=831vn97::186>5<7s-;3577m;I6fa>N3m=1/mk4=;h7:>5<<a<i1<75f6083>>o1=3:17b?70;29?xd30h0;684?:1y'5=?=1k1C8hk4H5g7?!ga2;1b944?::k6g?6=3`<:6=44i7794?=h91:1<75rb55e>5<2290;w)?79;;a?M2bm2B?i95+ag81?l3>2900e8m50;9j24<722c=97>5;n3;4?6=3th?;h4?:483>5}#91315o5G4dg8L1c33-km6?5f5883>>o2k3:17d8>:188m33=831d=5>50;9~f1bb29086=4?{%3;=?`>3A>ni6F;e59'ec<582cj?7>5;hc7>5<<g8=26=44}c6g7?6=;3:1<v*>888e=>N3ml1C8h:4$`d965=ni:0;66gn4;29?j7013:17pl>b583>6<729q/=575f89K0`c<@=o?7)oi:318md5=831bm94?::m23<<722wi=o=50;194?6|,8226k74H5gf?M2b<2.jj7<<;hc0>5<<ah>1<75`16;94?=zj8h96=4<:183!7?13l27E:je:J7a1=#io09?6gn3;29?lg32900c<96:188yg7e93:1?7>50z&2<<<a12B?ih5G4d68 d`=::1bm>4?::kb0?6=3f;<57>5;|`2f5<72:0;6=u+19;9b<=O<lo0D9k;;%ce>75<ah91<75fa583>>i6?00;66sm1`d94?5=83:p(<66:g;8L1cb3A>n86*nf;00?lg42900el:50;9l52?=831vn<oj:180>5<7s-;357h6;I6fa>N3m=1/mk4=3:kb7?6=3`k?6=44o05:>5<<uk;jh7>53;294~"6000m56F;ed9K0`2<,hl1>>5fa283>>of<3:17b?89;29?xd6ij0;6>4?:1y'5=?=n01C8hk4H5g7?!ga2;90el=50;9je1<722e:;44?::a5dd=8391<7>t$0::>c?<@=on7E:j4:&bb?443`k86=44i`694?=h9>31<75rb0;`>5<4290;w)?79;d:?M2bm2B?i95+ag817>of;3:17do;:188k41>2900qo?6b;297?6=8r.:444i9:J7a`=O<l>0(lh5229je6<722cj87>5;n34=?6=3th:5l4?:283>5}#9131j45G4dg8L1c33-km6?=4i`194?=ni=0;66a>7883>>{e9031<7=50;2x 4>>2o30D9kj;I6f0>"fn3887do<:188md2=831d=:750;9~f4??29086=4?{%3;=?`>3A>ni6F;e59'ec<5;2cj?7>5;hc7>5<<g8=26=44}c3:3?6=;3:1<v*>888e=>N3ml1C8h:4$`d966=ni:0;66gn4;29?j7013:17pl>9783>6<729q/=575f89K0`c<@=o?7)oi:318md5=831bm94?::m23<<722wi=4;50;194?6|,8226k74H5gf?M2b<2.jj7<<;hc0>5<<ah>1<75`16;94?=zj83?6=4<:183!7?13l27E:je:J7a1=#io09?6gn3;29?lg32900c<96:188yg7>;3:1?7>50z&2<<<a12B?ih5G4d68 d`=::1bm>4?::kb0?6=3f;<57>5;|`1b6<72=0;6=u+19;9bd=O<lo0D9k;;%ce>7g<ah91<75fa583>>of=3:17b?89;29?xd5nh0;684?:1y'5=?=nm1C8hk4H5g7?!ga2<h0el=50;9je1<722cj97>5;hc5>5<<g8=26=44}c0e2?6==3:1<v*>888e`>N3ml1C8h:4$`d962=ni:0;66gn4;29?lg22900el850;9l52?=831vn9??:180>5<7s-;357h6;I6fa>N3m=1/mk4=0:kb7?6=3`k?6=44o05:>5<<uk8nj7>54;294~"6000mm6F;ed9K0`2<,hl1>85fa283>>of<3:17do::188k41>2900qo?6e;291?6=8r.:444id:J7a`=O<l>0(lh5399je6<722cj87>5;hc6>5<<ah<1<75`16;94?=zj;on6=4::183!7?13lo7E:je:J7a1=#io09>6gn3;29?lg32900el;50;9je3<722e:;44?::a0fd=8391<7>t$0::>c?<@=on7E:j4:&bb??43`k86=44i`694?=h9>31<75rb2`7>5<4290;w)?79;d:?M2bm2B?i95+ag801>of;3:17do;:188k41>2900qo=6d;297?6=8r.:444i9:J7a`=O<l>0(lh5349je6<722cj87>5;n34=?6=3th8>44?:283>5}#9131j45G4dg8L1c33-km6>;4i`194?=ni=0;66a>7883>>{e;881<7=50;2x 4>>2o30D9kj;I6f0>"fn39>7do<:188md2=831d=:750;9~f6d4290>6=4?{%3;=?`c3A>ni6F;e59'ec<4>2cj?7>5;hc7>5<<ah?1<75fa783>>i6?00;66sm38a94?3=83:p(<66:gf8L1cb3A>n86*nf;15?lg42900el:50;9je0<722cj:7>5;n34=?6=3th8>54?:483>5}#9131ji5G4dg8L1c33-km6>84i`194?=ni=0;66gn5;29?lg12900c<96:188yg5693:197>50z&2<<<al2B?ih5G4d68 d`=;?1bm>4?::kb0?6=3`k>6=44i`494?=h9>31<75rb3a4>5<2290;w)?79;dg?M2bm2B?i95+ag82g>of;3:17do;:188md3=831bm;4?::m23<<722wi?o<50;794?6|,8226kj4H5gf?M2b<2.jj7=9;hc0>5<<ah>1<75fa483>>of>3:17b?89;29?xd41k0;684?:1y'5=?=nm1C8hk4H5g7?!ga2:<0el=50;9je1<722cj97>5;hc5>5<<g8=26=44}c113?6==3:1<v*>888e`>N3ml1C8h:4$`d973=ni:0;66gn4;29?lg22900el850;9l52?=831vn>??:186>5<7s-;357hk;I6fa>N3m=1/mk4<6:kb7?6=3`k?6=44i`794?=ni?0;66a>7883>>{e;k;1<7;50;2x 4>>2on0D9kj;I6f0>"fn39=7do<:188md2=831bm84?::kb2?6=3f;<57>5;|`0=d<72<0;6=u+19;9ba=O<lo0D9k;;%ce>60<ah91<75fa583>>of=3:17do9:188k41>2900qo==6;291?6=8r.:444id:J7a`=O<l>0(lh5379je6<722cj87>5;hc6>5<<ah<1<75`16;94?=zj::m6=4::183!7?13lo7E:je:J7a1=#io08:6gn3;29?lg32900el;50;9je3<722e:;44?::a7g6=83?1<7>t$0::>cb<@=on7E:j4:&bb?513`k86=44i`694?=ni<0;66gn6;29?j7013:17pl<9883>0<729q/=575fe9K0`c<@=o?7)oi:248md5=831bm94?::kb1?6=3`k=6=44o05:>5<<uk9997>55;294~"6000mh6F;ed9K0`2<,hl1?;5fa283>>of<3:17do::188md0=831d=:750;9~f66b290>6=4?{%3;=?`c3A>ni6F;e59'ec<4>2cj?7>5;hc7>5<<ah?1<75fa783>>i6?00;66sm33g94?2=83:p(<66:gc8L1cb3A>n86*nf;;;?lg42900el:50;9je0<722e:;44?::a7gg=83?1<7>t$0::>cb<@=on7E:j4:&bb?313`k86=44i`694?=ni<0;66gn6;29?j7013:17pl<ag83>0<729q/=575fe9K0`c<@=o?7)oi:248md5=831bm94?::kb1?6=3`k=6=44o05:>5<<uk9;h7>55;294~"6000mh6F;ed9K0`2<,hl1?;5fa283>>of<3:17do::188md0=831d=:750;9~f6??290>6=4?{%3;=?`c3A>ni6F;e59'ec<4>2cj?7>5;hc7>5<<ah?1<75fa783>>i6?00;66sm33694?3=83:p(<66:gf8L1cb3A>n86*nf;15?lg42900el:50;9je0<722cj:7>5;n34=?6=3th:m94?:283>5}#9131j45G4dg8L1c33-km6?=4i`194?=ni=0;66a>7883>>{e90n1<7=50;2x 4>>2o30D9kj;I6f0>"fn39>7do<:188md2=831d=:750;9~f7b229086=4?{%3;=?`>3A>ni6F;e59'ec<582cj?7>5;hc7>5<<g8=26=44}c1`=?6=;3:1<v*>888e=>N3ml1C8h:4$`d966=ni:0;66gn4;29?j7013:17pl=d683>1<729q/=575f`9K0`c<@=o?7)oi:0`8md5=831bm94?::kb1?6=3f;<57>5;|`0gd<72=0;6=u+19;9bd=O<lo0D9k;;%ce>7><ah91<75fa583>>of=3:17b?89;29?xd5l00;684?:1y'5=?=nm1C8hk4H5g7?!ga2;>0el=50;9je1<722cj97>5;hc5>5<<g8=26=44}c1`f?6==3:1<v*>888e`>N3ml1C8h:4$`d96<=ni:0;66gn4;29?lg22900el850;9l52?=831vn?h?:180>5<7s-;357h6;I6fa>N3m=1/mk4=3:kb7?6=3`k?6=44o05:>5<<uk8on7>54;294~"6000mm6F;ed9K0`2<,hl1?=5fa283>>of<3:17do::188k41>2900qo<kf;291?6=8r.:444id:J7a`=O<l>0(lh5389je6<722cj87>5;hc6>5<<ah<1<75`16;94?=zj:in6=4<:183!7?13l27E:je:J7a1=#io0896gn3;29?lg32900c<96:188yg5dn3:187>50z&2<<<ai2B?ih5G4d68 d`==h1bm>4?::kb0?6=3`k>6=44o05:>5<<uk;j47>53;294~"6000m56F;ed9K0`2<,hl1>>5fa283>>of<3:17b?89;29?xd6i;0;6>4?:1y'5=?=n01C8hk4H5g7?!ga2;90el=50;9je1<722e:;44?::a5d3=83>1<7>t$0::>cg<@=on7E:j4:&bb?503`k86=44i`694?=ni<0;66a>7883>>{e:m:1<7:50;2x 4>>2ok0D9kj;I6f0>"fn38n7do<:188md2=831bm84?::m23<<722wi>nk50;794?6|,8226kj4H5gf?M2b<2.jj794i`194?=ni=0;66gn5;29?lg12900c<96:188yg4bj3:1?7>50z&2<<<a12B?ih5G4d68 d`=:91bm>4?::kb0?6=3f;<57>5;|`1af<72:0;6=u+19;9b<=O<lo0D9k;;%ce>7b<ah91<75fa583>>i6?00;66sm2df94?3=83:p(<66:gf8L1cb3A>n86*nf;;7?lg42900el:50;9je0<722cj:7>5;n34=?6=3th9ok4?:583>5}#9131jl5G4dg8L1c33-km6;5fa283>>of<3:17do::188k41>2900qo<lb;297?6=8r.:444i9:J7a`=O<l>0(lh52e9je6<722cj87>5;n34=?6=3th9oi4?:483>5}#9131ji5G4dg8L1c33-km64:4i`194?=ni=0;66gn5;29?lg12900c<96:188yg4b;3:197>50z&2<<<al2B?ih5G4d68 d`==:1bm>4?::kb0?6=3`k>6=44i`494?=h9>31<75rb2a`>5<2290;w)?79;dg?M2bm2B?i95+ag81g>of;3:17do;:188md3=831bm;4?::m23<<722wi=5o50;194?6|,8226k74H5gf?M2b<2.jj7<<;hc0>5<<ah>1<75`16;94?=zj:>n6=4=:183!7?13l37E:je:J7a1=#io0:56gn3;29?j7013:17pl<4b83>7<729q/=575f99K0`c<@=o?7)oi:0;8md5=831d=:750;9~f62f29096=4?{%3;=?`?3A>ni6F;e59'ec<612cj?7>5;n34=?6=3th8854?:383>5}#9131j55G4dg8L1c33-km6<74i`194?=h9>31<75rb265>5<5290;w)?79;d;?M2bm2B?i95+ag82=>of;3:17b?89;29?xd4<=0;6?4?:1y'5=?=n11C8hk4H5g7?!ga2830el=50;9l52?=831vn>:=:181>5<7s-;357h7;I6fa>N3m=1/mk4>9:kb7?6=3f;<57>5;|`005<72;0;6=u+19;9b==O<lo0D9k;;%ce>4?<ah91<75`16;94?=zj:9n6=4=:183!7?13l37E:je:J7a1=#io0:56gn3;29?j7013:17pl<3b83>7<729q/=575f99K0`c<@=o?7)oi:0;8md5=831d=:750;9~f14a29096=4?{%3;=?`?3A>ni6F;e59'ec<612cj?7>5;n34=?6=3th?>i4?:383>5}#9131j55G4dg8L1c33-km6<74i`194?=h9>31<75rb50a>5<5290;w)?79;d;?M2bm2B?i95+ag82=>of;3:17b?89;29?xd3:00;6?4?:1y'5=?=n11C8hk4H5g7?!ga2830el=50;9l52?=831vn9<8:181>5<7s-;357h7;I6fa>N3m=1/mk4>9:kb7?6=3f;<57>5;|`760<72;0;6=u+19;9b==O<lo0D9k;;%ce>4?<ah91<75`16;94?=zj=886=4=:183!7?13l37E:je:J7a1=#io0:56gn3;29?j7013:17pl;2083>7<729q/=575f99K0`c<@=o?7)oi:0;8md5=831d=:750;9~f17a29096=4?{%3;=?`?3A>ni6F;e59'ec<612cj?7>5;n34=?6=3th?=i4?:383>5}#9131j55G4dg8L1c33-km6<74i`194?=h9>31<75rb272>5<5290;w)?79;d;?M2bm2B?i95+ag82=>of;3:17b?89;29?xd3;;0;6?4?:1y'5=?=n11C8hk4H5g7?!ga2830el=50;9l52?=831vn<6m:187>5<7s-;357hn;I6fa>N3m=1/mk4<7:kb7?6=3`k?6=44i`794?=h9>31<75rb0:g>5<3290;w)?79;db?M2bm2B?i95+ag803>of;3:17do;:188md3=831d=:750;9~f4>a290?6=4?{%3;=?`f3A>ni6F;e59'ec<4?2cj?7>5;hc7>5<<ah?1<75`16;94?=zj83:6=4;:183!7?13lj7E:je:J7a1=#io08;6gn3;29?lg32900el;50;9l52?=831vn<o>:187>5<7s-;357hn;I6fa>N3m=1/mk4<7:kb7?6=3`k?6=44i`794?=h9>31<75rb3g4>5<2290;w)?79;dg?M2bm2B?i95+ag861>of;3:17do;:188md3=831bm;4?::m23<<722wi?i650;194?6|,8226k74H5gf?M2b<2.jj7<k;hc0>5<<ah>1<75`16;94?=zj;oj6=4::183!7?13lo7E:je:J7a1=#io02;6gn3;29?lg32900el;50;9je3<722e:;44?::a7fb=83>1<7>t$0::>cg<@=on7E:j4:&bb?4b3`k86=44i`694?=ni<0;66a>7883>>{e:jk1<7;50;2x 4>>2on0D9kj;I6f0>"fn38m7do<:188md2=831bm84?::kb2?6=3f;<57>5;|`1`4<72<0;6=u+19;9ba=O<lo0D9k;;%ce>7`<ah91<75fa583>>of=3:17do9:188k41>2900qo<k3;291?6=8r.:444id:J7a`=O<l>0(lh51`9je6<722cj87>5;hc6>5<<ah<1<75`16;94?=zj;o>6=4::183!7?13lo7E:je:J7a1=#io09=6gn3;29?lg32900el;50;9je3<722e:;44?::a6`>=83?1<7>t$0::>cb<@=on7E:j4:&bb?4d3`k86=44i`694?=ni<0;66gn6;29?j7013:17pl=c883>0<729q/=575fe9K0`c<@=o?7)oi:3a8md5=831bm94?::kb1?6=3`k=6=44o05:>5<<uk9o<7>54;294~"6000mm6F;ed9K0`2<,hl1>h5fa283>>of<3:17do::188k41>2900qo=k6;291?6=8r.:444id:J7a`=O<l>0(lh5289je6<722cj87>5;hc6>5<<ah<1<75`16;94?=zj:n:6=4::183!7?13lo7E:je:J7a1=#io09j6gn3;29?lg32900el;50;9je3<722e:;44?::a7a1=83?1<7>t$0::>cb<@=on7E:j4:&bb?4>3`k86=44i`694?=ni<0;66gn6;29?j7013:17pl<d383>0<729q/=575fe9K0`c<@=o?7)oi:3d8md5=831bm94?::kb1?6=3`k=6=44o05:>5<<uk9h97>55;294~"6000mh6F;ed9K0`2<,hl1>k5fa283>>of<3:17do::188md0=831d=:750;9~f6b4290>6=4?{%3;=?`c3A>ni6F;e59'ec<5k2cj?7>5;hc7>5<<ah?1<75fa783>>i6?00;66sm3b594?3=83:p(<66:gf8L1cb3A>n86*nf;1b?lg42900el:50;9je0<722cj:7>5;n34=?6=3th9i94?:483>5}#9131ji5G4dg8L1c33-km6?m4i`194?=ni=0;66gn5;29?lg12900c<96:188yg7f?3:197>50z&2<<<al2B?ih5G4d68 d`=;11bm>4?::kb0?6=3`k>6=44i`494?=h9>31<75rb0cb>5<2290;w)?79;dg?M2bm2B?i95+ag812>of;3:17do;:188md3=831bm;4?::m23<<722wi=4<50;794?6|,8226kj4H5gf?M2b<2.jj7<9;hc0>5<<ah>1<75fa483>>of>3:17b?89;29?xd6i00;694?:1y'5=?=nh1C8hk4H5g7?!ga2:=0el=50;9je1<722cj97>5;n34=?6=3th9i=4?:483>5}#9131ji5G4dg8L1c33-km6?:4i`194?=ni=0;66gn5;29?lg12900c<96:188yg5c=3:197>50z&2<<<al2B?ih5G4d68 d`=:o1bm>4?::kb0?6=3`k>6=44i`494?=h9>31<75rb2f7>5<2290;w)?79;dg?M2bm2B?i95+ag81=>of;3:17do;:188md3=831bm;4?::m23<<722wi=l>50;794?6|,8226kj4H5gf?M2b<2.jj7<9;hc0>5<<ah>1<75fa483>>of>3:17b?89;29?xd61o0;684?:1y'5=?=nm1C8hk4H5g7?!ga2:20el=50;9je1<722cj97>5;hc5>5<<g8=26=44}c3b2?6==3:1<v*>888e`>N3ml1C8h:4$`d963=ni:0;66gn4;29?lg22900el850;9l52?=831vn<7?:186>5<7s-;357hk;I6fa>N3m=1/mk4=6:kb7?6=3`k?6=44i`794?=ni?0;66a>7883>>{e91o1<7;50;2x 4>>2on0D9kj;I6f0>"fn38=7do<:188md2=831bm84?::kb2?6=3f;<57>5;|`2e6<72=0;6=u+19;9bd=O<lo0D9k;;%ce>61<ah91<75fa583>>of=3:17b?89;29?xd60j0;684?:1y'5=?=nm1C8hk4H5g7?!ga2;<0el=50;9je1<722cj97>5;hc5>5<<g8=26=44}c1`<?6=:3:1<v*>888e6>N3ml1C8h:4i`094?=h9>31<75rb5a5>5<3:3:1<v*>8882<4=O<lo0D9k;;[7;>d}f2k08=7==:07953<4;3;<6>:5198~ `c=<2.nj7:4$`590>"f03>0(l754:&be?2<,hh186*nc;68 4>22:1/=5853:&a4?2<,k;186*m2;68 g5=<2.i87:4$c790>"e>3>0(o954:&a<?2<,k3186*ma;68 gd=<2.io7:4$cf90>"em3>0(oh54:&`4?2<,j;186*l2;68 f5=<2.h87:4$b790>"d>3>0(n954:&`<?2<,j3186*la;68 fd=<2.ho7:4$bf90>"dm3>0(nh54:&g4?2<,m;186*k2;68 a5=<2.o87:4$e790>"c>3>0(i954:&g<?2<,m3186*ka;68 ad=<2.oo7:4$ef90>"cm3>0(ih54:&f4?2<,l;186*j2;68 `5=<2.n87:4$d790>"b>3>0(h954:&f<?2<,l3186*ja;68 `d=<2.no7:4$df90>"3mk0?i45+ae87?!7?;3;<46*i0;18 c7=;2.?il4;e89'e`<33`?m6=44i7294?=n1<0;66g66;29?l7??3:17d?78;29?l2b?3:17d:j8;29?l5c290/=:k53b9m52b=821b8;4?:%34a?5d3g;<h7?4;h66>5<#9>o1?n5a16f96>=n<=0;6)?8e;1`?k70l3907d:<:18'52c=;j1e=:j54:9j07<72-;<i7=l;o34`?3<3`>:6=4+16g97f=i9>n1:65f4183>!70m39h7c?8d;58?l5a290/=:k53b9m52b=021b?h4?:%34a?5d3g;<h774;h1a>5<#9>o1?n5a16f9e>=n<00;6)?8e;6;?k70l3:07d;=:18'52c=<11e=:j51:9j14<72-;<i7:7;o34`?4<3`?;6=4+16g90==i9>n1?65f4g83>!70m3>37c?8d;68?l2b290/=:k5499m52b==21b8i4?:%34a?2?3g;<h784;h6`>5<#9>o1855a16f93>=n<k0;6)?8e;6;?k70l3207d:n:18'52c=<11e=:j59:9j02<72-;<i7:7;o34`?g<3`<m6=4+16g92`=i9>n1<65f6e83>!70m3<n7c?8d;38?l0d290/=:k56d9m52b=:21b:o4?:%34a?0b3g;<h7=4;h4b>5<#9>o1:h5a16f90>=n>00;6)?8e;4f?k70l3?07d87:18'52c=>l1e=:j56:9j22<72-;<i78j;o34`?1<3`=36=4+16g932=i9>n1<65f7783>!70m3=<7c?8d;38?l12290/=:k5769m52b=:21b;94?:%34a?103g;<h7=4;h50>5<#9>o1;:5a16f90>=n?;0;6)?8e;54?k70l3?07d9>:18'52c=?>1e=:j56:9j35<72-;<i798;o34`?1<3`=j6=4+16g93<=i9>n1<65f7b83>!70m3=i7c?8d;28?j>2290/=:k5859m52b=821d4>4?:%34a?>33g;<h7?4;n:1>5<#9>o1495a16f96>=h080;6)?8e;:7?k70l3907b6?:18'52c=0=1e=:j54:9l3c<72-;<i76;;o34`?3<3f=n6=4+16g9<1=i9>n1:65`7e83>!70m32?7c?8d;58?j?7290/=:k58g9m52b=821d4h4?:%34a?>c3g;<h7>4;n:`>5<#9>o14i5a16f95>=h0k0;6)?8e;:g?k70l3807b6n:18'52c=0m1e=:j53:9l<<<72-;<i76k;o34`?2<3f236=4+16g9<a=i9>n1965`8683>!70m32o7c?8d;48?j>1290/=:k58e9m52b=?21d5?4?:%34a??63g;<h7>4;|`7g2<72=81<7>t$0::>4>63A>ni6F;e59Y1=<fsh0i6>?533821?712:91=:4<4;3;>x"bm3>0(hh54:&b3?2<,h2186*n9;68 dg=<2.jn7:4$`a90>"60<087)?76;18 g6=<2.i=7:4$c090>"e;3>0(o:54:&a1?2<,k<186*m7;68 g>=<2.i57:4$cc90>"ej3>0(om54:&a`?2<,ko186*mf;68 f6=<2.h=7:4$b090>"d;3>0(n:54:&`1?2<,j<186*l7;68 f>=<2.h57:4$bc90>"dj3>0(nm54:&``?2<,jo186*lf;68 a6=<2.o=7:4$e090>"c;3>0(i:54:&g1?2<,m<186*k7;68 a>=<2.o57:4$ec90>"cj3>0(im54:&g`?2<,mo186*kf;68 `6=<2.n=7:4$d090>"b;3>0(h:54:&f1?2<,l<186*j7;68 `>=<2.n57:4$dc90>"bj3>0(hm54:&f`?2<,=oi69k6;%cg>1=#9191=:64$g297>"a9390(9kn:5g:?!gb2=1b9k4?::k54?6=3`3>6=44i8494?=n91=1<75f19:94?=n<l=1<75f4d:94?=n;m0;6)?8e;1`?k70l3:07d:9:18'52c=;j1e=:j51:9j00<72-;<i7=l;o34`?4<3`>?6=4+16g97f=i9>n1?65f4283>!70m39h7c?8d;68?l25290/=:k53b9m52b==21b8<4?:%34a?5d3g;<h784;h63>5<#9>o1?n5a16f93>=n;o0;6)?8e;1`?k70l3207d=j:18'52c=;j1e=:j59:9j7g<72-;<i7=l;o34`?g<3`>26=4+16g90==i9>n1<65f5383>!70m3>37c?8d;38?l36290/=:k5499m52b=:21b9=4?:%34a?2?3g;<h7=4;h6e>5<#9>o1855a16f90>=n<l0;6)?8e;6;?k70l3?07d:k:18'52c=<11e=:j56:9j0f<72-;<i7:7;o34`?1<3`>i6=4+16g90==i9>n1465f4`83>!70m3>37c?8d;;8?l20290/=:k5499m52b=i21b:k4?:%34a?0b3g;<h7>4;h4g>5<#9>o1:h5a16f95>=n>j0;6)?8e;4f?k70l3807d8m:18'52c=>l1e=:j53:9j2d<72-;<i78j;o34`?2<3`<26=4+16g92`=i9>n1965f6983>!70m3<n7c?8d;48?l00290/=:k56d9m52b=?21b;54?:%34a?103g;<h7>4;h55>5<#9>o1;:5a16f95>=n?<0;6)?8e;54?k70l3807d9;:18'52c=?>1e=:j53:9j36<72-;<i798;o34`?2<3`=96=4+16g932=i9>n1965f7083>!70m3=<7c?8d;48?l17290/=:k5769m52b=?21b;l4?:%34a?1>3g;<h7>4;h5`>5<#9>o1;o5a16f94>=h0<0;6)?8e;:7?k70l3:07b6<:18'52c=0=1e=:j51:9l<7<72-;<i76;;o34`?4<3f2:6=4+16g9<1=i9>n1?65`8183>!70m32?7c?8d;68?j1a290/=:k5859m52b==21d;h4?:%34a?>33g;<h784;n5g>5<#9>o1495a16f93>=h190;6)?8e;:e?k70l3:07b6j:18'52c=0m1e=:j50:9l<f<72-;<i76k;o34`?7<3f2i6=4+16g9<a=i9>n1>65`8`83>!70m32o7c?8d;18?j>>290/=:k58e9m52b=<21d454?:%34a?>c3g;<h7;4;n:4>5<#9>o14i5a16f92>=h0?0;6)?8e;:g?k70l3=07b7=:18'52c=181e=:j50:9~f1e?290?>7>50z&2<<<6081C8hk4H5g7?_3?2hqj6o4<1;11>43=9?08?7?8:2695=<z,lo186*jf;68 d1=<2.j47:4$`;90>"fi3>0(ll54:&bg?2<,82>6>5+19497>"e83>0(o?54:&a6?2<,k9186*m4;68 g3=<2.i:7:4$c590>"e03>0(o754:&ae?2<,kh186*mc;68 gb=<2.ii7:4$cd90>"d83>0(n?54:&`6?2<,j9186*l4;68 f3=<2.h:7:4$b590>"d03>0(n754:&`e?2<,jh186*lc;68 fb=<2.hi7:4$bd90>"c83>0(i?54:&g6?2<,m9186*k4;68 a3=<2.o:7:4$e590>"c03>0(i754:&ge?2<,mh186*kc;68 ab=<2.oi7:4$ed90>"b83>0(h?54:&f6?2<,l9186*j4;68 `3=<2.n:7:4$d590>"b03>0(h754:&fe?2<,lh186*jc;68 `b=<2.?io4;e89'ea<33-;3?7?88:&e4?5<,o;1?6*;e`87a<=#il0?7d;i:188m36=831b584?::k:2?6=3`;3;7>5;h3;<?6=3`>n;7>5;h6f<?6=3`9o6=4+16g97f=i9>n1<65f4783>!70m39h7c?8d;38?l22290/=:k53b9m52b=:21b894?:%34a?5d3g;<h7=4;h60>5<#9>o1?n5a16f90>=n<;0;6)?8e;1`?k70l3?07d:>:18'52c=;j1e=:j56:9j05<72-;<i7=l;o34`?1<3`9m6=4+16g97f=i9>n1465f3d83>!70m39h7c?8d;;8?l5e290/=:k53b9m52b=i21b844?:%34a?2?3g;<h7>4;h71>5<#9>o1855a16f95>=n=80;6)?8e;6;?k70l3807d;?:18'52c=<11e=:j53:9j0c<72-;<i7:7;o34`?2<3`>n6=4+16g90==i9>n1965f4e83>!70m3>37c?8d;48?l2d290/=:k5499m52b=?21b8o4?:%34a?2?3g;<h764;h6b>5<#9>o1855a16f9=>=n<>0;6)?8e;6;?k70l3k07d8i:18'52c=>l1e=:j50:9j2a<72-;<i78j;o34`?7<3`<h6=4+16g92`=i9>n1>65f6c83>!70m3<n7c?8d;18?l0f290/=:k56d9m52b=<21b:44?:%34a?0b3g;<h7;4;h4;>5<#9>o1:h5a16f92>=n>>0;6)?8e;4f?k70l3=07d97:18'52c=?>1e=:j50:9j33<72-;<i798;o34`?7<3`=>6=4+16g932=i9>n1>65f7583>!70m3=<7c?8d;18?l14290/=:k5769m52b=<21b;?4?:%34a?103g;<h7;4;h52>5<#9>o1;:5a16f92>=n?90;6)?8e;54?k70l3=07d9n:18'52c=?01e=:j50:9j3f<72-;<i79m;o34`?6<3f2>6=4+16g9<1=i9>n1<65`8283>!70m32?7c?8d;38?j>5290/=:k5859m52b=:21d4<4?:%34a?>33g;<h7=4;n:3>5<#9>o1495a16f90>=h?o0;6)?8e;:7?k70l3?07b9j:18'52c=0=1e=:j56:9l3a<72-;<i76;;o34`?1<3f3;6=4+16g9<c=i9>n1<65`8d83>!70m32o7c?8d;28?j>d290/=:k58e9m52b=921d4o4?:%34a?>c3g;<h7<4;n:b>5<#9>o14i5a16f97>=h000;6)?8e;:g?k70l3>07b67:18'52c=0m1e=:j55:9l<2<72-;<i76k;o34`?0<3f2=6=4+16g9<a=i9>n1;65`9383>!70m33:7c?8d;28?xd3k00;69<50;2x 4>>282:7E:je:J7a1=]=10jwl4m:23977<6=3;=6>=516800?7?2t.ni7:4$dd90>"f?3>0(l654:&b=?2<,hk186*nb;68 de=<2.:484<;%3;2?5<,k:186*m1;68 g4=<2.i?7:4$c690>"e=3>0(o854:&a3?2<,k2186*m9;68 gg=<2.in7:4$ca90>"el3>0(ok54:&ab?2<,j:186*l1;68 f4=<2.h?7:4$b690>"d=3>0(n854:&`3?2<,j2186*l9;68 fg=<2.hn7:4$ba90>"dl3>0(nk54:&`b?2<,m:186*k1;68 a4=<2.o?7:4$e690>"c=3>0(i854:&g3?2<,m2186*k9;68 ag=<2.on7:4$ea90>"cl3>0(ik54:&gb?2<,l:186*j1;68 `4=<2.n?7:4$d690>"b=3>0(h854:&f3?2<,l2186*j9;68 `g=<2.nn7:4$da90>"bl3>0(9km:5g:?!gc2=1/=5=516:8 c6=;2.m=7=4$5gb>1c>3-kn695f5g83>>o183:17d7::188m<0=831b=5950;9j5=>=831b8h950;9j0`>=831b?i4?:%34a?5d3g;<h7>4;h65>5<#9>o1?n5a16f95>=n<<0;6)?8e;1`?k70l3807d:;:18'52c=;j1e=:j53:9j06<72-;<i7=l;o34`?2<3`>96=4+16g97f=i9>n1965f4083>!70m39h7c?8d;48?l27290/=:k53b9m52b=?21b?k4?:%34a?5d3g;<h764;h1f>5<#9>o1?n5a16f9=>=n;k0;6)?8e;1`?k70l3k07d:6:18'52c=<11e=:j50:9j17<72-;<i7:7;o34`?7<3`?:6=4+16g90==i9>n1>65f5183>!70m3>37c?8d;18?l2a290/=:k5499m52b=<21b8h4?:%34a?2?3g;<h7;4;h6g>5<#9>o1855a16f92>=n<j0;6)?8e;6;?k70l3=07d:m:18'52c=<11e=:j58:9j0d<72-;<i7:7;o34`??<3`><6=4+16g90==i9>n1m65f6g83>!70m3<n7c?8d;28?l0c290/=:k56d9m52b=921b:n4?:%34a?0b3g;<h7<4;h4a>5<#9>o1:h5a16f97>=n>h0;6)?8e;4f?k70l3>07d86:18'52c=>l1e=:j55:9j2=<72-;<i78j;o34`?0<3`<<6=4+16g92`=i9>n1;65f7983>!70m3=<7c?8d;28?l11290/=:k5769m52b=921b;84?:%34a?103g;<h7<4;h57>5<#9>o1;:5a16f97>=n?:0;6)?8e;54?k70l3>07d9=:18'52c=?>1e=:j55:9j34<72-;<i798;o34`?0<3`=;6=4+16g932=i9>n1;65f7`83>!70m3=27c?8d;28?l1d290/=:k57c9m52b=821d484?:%34a?>33g;<h7>4;n:0>5<#9>o1495a16f95>=h0;0;6)?8e;:7?k70l3807b6>:18'52c=0=1e=:j53:9l<5<72-;<i76;;o34`?2<3f=m6=4+16g9<1=i9>n1965`7d83>!70m32?7c?8d;48?j1c290/=:k5859m52b=?21d5=4?:%34a?>a3g;<h7>4;n:f>5<#9>o14i5a16f94>=h0j0;6)?8e;:g?k70l3;07b6m:18'52c=0m1e=:j52:9l<d<72-;<i76k;o34`?5<3f226=4+16g9<a=i9>n1865`8983>!70m32o7c?8d;78?j>0290/=:k58e9m52b=>21d4;4?:%34a?>c3g;<h794;n;1>5<#9>o15<5a16f94>=zj;nh6=4::183!7?13li7E:je:J7a1=#io09n6gn3;29?lg32900el;50;9lb0<722e:;44?::a6`7=83>1<7>t$0::>ce<@=on7E:j4:&bb?4b3`k86=44i`694?=ni<0;66ai5;29?xd5m?0;6;4?:1y'5=?=nl1C8hk4H5g7?!ga2;l0el=50;9je1<722cj97>5;hc5>5<<go?1<75`16;94?=zj;o96=4;:183!7?13lh7E:je:J7a1=#io09i6gn3;29?lg32900el;50;9lb0<722wi>ij50;694?6|,8226km4H5gf?M2b<2.jj7<j;hc0>5<<ah>1<75fa483>>ia=3:17pl=cb83>3<729q/=575fd9K0`c<@=o?7)oi:49je6<722cj87>5;hc6>5<<ah<1<75`f483>>i6?00;66s|61`94?0|V?:i70<i3;c6?84ai3k=70<i6;c5?84bn3k>70<je;c6?xu28>0;65<t^42:?[2a?2T==>5Q6038Z1`13W<;i6P:a79]244<V<k<7S;lc:\7b`=Y<on0R8>9;_731>X28=1U9==4^421?[3792T><=5Q4gd8Z1`d3W>mn63;eg8b4>;3lh0=963;cg851>;3l00=963;d9851>;3l>0=963;e3851>;3m80=963=b`823c=::kh1=:h4=3`f>41a348ij7?8f:?1g5<6?o16>n?516d897e528=m70<l3;34b>;5k=0:;k522b7952`<5;hh6<9i;<0a`?70n278<;496:?040<1>278<9496:?046<1>278<?496:?044<1>278=i496:?05f<1>278=o496:?05d<1>278=4496:?05=<1>2785<496:?0=5<1>2784k496:?0<`<1>2784i496:?0<f<1>278m5496:?0e2<1>278m;496:?0e0<1>278m9496:?0e6<1>2788i496:?00g<1>27884496:?002<1>27888496:?006<1>2788<496:?07c<1>278?i496:?07g<1>27?>h496:?76f<1>27?>l496:?76=<1>27?>;496:?761<1>27?>?496:?765<1>27?=h496:?75f<1>27?o;4>869>0f0=<l2019m9:6:891e12><019m9:67891e12>>019m9:61891e12>8019m9:63891e12>:019m9:6a891e0282<70:l7;6f<>;3k>0<463;c6842>;3k>0<963;c6840>;3k>0<?63;c6846>;3k>0<=63;c6844>;3k>0<o63;c982<2=:<j218h64=5a;>2><5=i36:84=5a;>23<5=i36::4=5a;>25<5=i36:<4=5a;>27<5=i36:>4=5a;>2e<5=i26<68;<6`=?2b027?o4488:?7g<<0>27?o4485:?7g<<0<27?o4483:?7g<<0:27?o4481:?7g<<0827?o448c:p25b=839pR;>k;<6ge?70n27?ok4>7g9~w0?c2909wS;6d:?1b=<6091v;<j:180[05m27?==4n4:?0fd<f=2wx9k?50;:0[3a927?h<4:9:?7`5<2127?ok4:9:?7`<<2127?h54:9:?7`2<21278>n4:9:?1fd<21279no4:9:?1f`<21279nk4:9:?1g5<21279o<4:9:?1g7<21279o>4:9:?1g1<21279o84:9:?1ff<21279ni4:9:?174<21279?44:9:?17=<21279?:4:9:?173<21279?84:9:?171<21279?>4:9:?177<21279?=4:9:?16c<21279;n4:9:?1<1<212794>4:9:?1<7<212794<4:9:?1<5<21279;k4:9:?13`<21279;i4:9:?13g<21279;l4:9:?123<21279:h4:9:?12a<21279:n4:9:?12g<21279:l4:9:?12<<21279:54:9:?122<21279:84:9:?121<2127:i>4:9:?2ag<2127:il4:9:?2a<<2127:i54:9:?2a2<2127:i;4:9:?2a0<2127:i94:9:?2a7<2127:i<4:9:?02=<21278;=4:9:?02`<21278:i4:9:?02c<21278:o4:9:?02d<21278:n4:9:?022<21278:;4:9:?02<<21278;h4:9:?0<3<21278484:9:?0<1<212784>4:9:?0<7<212784<4:9:?0<5<21278;k4:9:?03a<21278;n4:9:?1b1<21279j<4:9:?1bg<21279j54:9:?1b2<2127?5o4:9:?7=d<2127?544:9:?7==<2127?5:4:9:?7=3<2127?594:9:?7=6<2127?5?4:9:?7=4<2127?5=4:9:?7<c<2127?4h4:9:?7<a<2127?4n4:9:?7<g<2127?444:9:?7<=<2127?4:4:9:?7<3<2127?484:9:?7<1<2127?4>4:9:?7<7<2127?4<4:9:?7<5<2127?m<4:9:?7e5<2127?5k4:9:?7=`<2127?5i4:9:?7=f<2127?584:9:?7<d<2127?;k4:9:?73`<2127?o;490:?7g2<1827?o5490:?7g<<182wx9l=50;0xZ0g4349i47?70:p242=83<>wS8>4:?7`f<2127?hl4:9:?7`g<2127?i?4:9:?7a4<21278n54:9:?0f2<21278jl4:9:?0bg<21278jh4:9:?0bc<2127?<=4:9:?744<2127?<?4:9:?746<2127?<94:9:?740<21278jn4:9:?0ba<2127:o94:9:?2gf<2127:oo4:9:?2gd<2127:o44:9:?2g=<2127:o:4:9:?2g3<2127:o84:9:?2g6<2127:o?4:9:?14c<21279=:4:9:?153<21279=84:9:?151<21279=>4:9:?157<21279=<4:9:?155<21279<h4:9:?14a<2127:j44:9:?144<21279<=4:9:?2bc<2127:jh4:9:?2ba<2127:jn4:9:?2bg<2127:jl4:9:?2b=<2127:j:4:9:?115<21279954:9:?112<212799;4:9:?110<21279994:9:?116<212799?4:9:?114<212798k4:9:?10`<2127?844:9:?714<2127?8k4:9:?70`<2127?9=4:9:?70f<2127?8o4:9:?70a<2127?854:9:?702<2127?8l4:9:?725<2127?9i4:9:?71`<2127?:>4:9:?724<2127?:?4:9:?723<2127?:94:9:?720<2127?::4:9:?71c<2127?;84:9:?73a<2127?;n4:9:?73g<2127?;l4:9:?73<<2127?;54:9:?732<2127?;;4:9:?731<2127?;>4:9:?7g3<2n27?o:4:f:?7g=<2n27?o44:f:p272=838pR;?7;<1e`?7?82wx:?<50;0xZ370349mo7?70:p27b=838pR;<?;<631?7?82wx:?m50;0xZ37a34>;87?70:p27d=838pR;?j;<637?7?82wx:?o50;0xZ37c34>;>7?70:p27?=838pR;?l;<635?7?82wx:?650;0xZ37e34>;<7?70:p271=838pR;?n;<1eb?7?82wx:?850;0xZ37>349mi7?70:p273=838pR;?9;<1ef?7?82wx:??50;0xZ372349mm7?70:p1<2=838pR89?;<6:f?7?82wx94<50;0xZ00a34>2m7?70:p1<7=838pR88j;<6:=?7?82wx94>50;0xZ00c34>247?70:p1=`=838pR88l;<6:3?7?82wx95k50;0xZ00e34>2:7?70:p1=e=838pR886;<6:0?7?82wx95l50;0xZ00?34>2?7?70:p1=g=838pR888;<6:6?7?82wx95750;0xZ00134>2=7?70:p1=>=838pR88:;<6:4?7?82wx95950;0xZ00334>3j7?70:p1=0=838pR88<;<6;a?7?82wx95;50;0xZ00534>3h7?70:p1=2=838pR88>;<6;g?7?82wx95=50;0xZ00734>3n7?70:p1=7=838pR8;j;<6;=?7?82wx95>50;0xZ03c34>347?70:p12`=838pR8;l;<6;3?7?82wx9:k50;0xZ03e34>3:7?70:p12b=838pR8;n;<6;1?7?82wx9:m50;0xZ03>34>387?70:p12d=838pR8;7;<6;7?7?82wx9:o50;0xZ03034>3>7?70:p12?=838pR8;9;<6;5?7?82wx9:650;0xZ03234>3<7?70:p1<d=838pR899;<6b5?7?82wx94o50;0xZ01234>j<7?70:p1<?=838pR89;;<6:b?7?82wx94650;0xZ01434>2i7?70:p1<1=838pR89=;<6:`?7?82wx94850;0xZ01634>2o7?70:p1<3=838pR88n;<6:1?7?82wx95j50;0xZ03a34>3m7?70:p1=4=838pR8;;;<64b?7?82wx9:950;0xZ03434><i7?70:p257=838pR8h:;<0a`?7?82wx9kh50;0xZ0`3348io7?70:p25g=838pR8hk;<0`1?7?82wx:=750;0xZ0`d348h87?70:p25>=838pR8hm;<0`7?7?82wx:=950;0xZ0`f348h>7?70:p250=838pR8h6;<0`5?7?82wx:=;50;0xZ0`?348h<7?70:p252=838pR8h8;<0ab?7?82wx:==50;0xZ0`1348ii7?70:p254=838pR8h<;<0af?7?82wx9kk50;0xZ0`5348im7?70:p11d=838pR8<8;<6`=?1f3ty>844?:3y]170<5=i26;h4}r77<?6=:rT>>8524b;92a=z{<><6=4={_710>;3k00=o6s|55494?4|V<8870:l9;4a?xu2<<0;6?uQ530891e>2?k0q~;;3;296~X2:9168n75689~w0252909wS;>f:?7g<<102wx99?50;0xZ07b34>h5788;|q605<72;qU9<j4=5a;>2g<uz?8j7>52z\65f=:<j21:k5rs41f>5<5sW?:n63;c985`>{t=:n1<7<t^43b?82d03<h7p}:3b83>7}Y=83019m7:7`8yv34j3:1>vP:199>0f>=>h1v8=n:181[36?27?o5499:p16>=838pR8?:;<6`<?0?3ty>?:4?:3y]142<5=i36;94}r702?6=:rT>=>524b593d=z{<9>6=4={_726>;3k>0=j6s|52694?4|V<;:70:l7;4g?xu2;:0;6?uQ502891e02?i0q~;<2;296~X28o168n956c9~w0562909wS;?e:?7g2<1i2wx9>>50;0xZ06c34>h;786;|q66c<72;qU9=m4=5a4>3><uz?>>7>52z\66a=:<j=1::5rs472>5<5sW?9o63;c784e>{t=<:1<7<t^40a?82d>3<m7p}:4g83>7}Y=;k019m9:7f8yv33m3:1>vP:289>0f0=>j1v8:k:181[35027?o;49b:p11e=838pR8<>;<6`2?0f3ty>894?:3y]140<5=i=6;74}r70=?6=:rT><o524b492==z{<8n6=4={_73e>;3k?0=;6s|11694?76s4>m<7?8b:?1=<<2m279mh4:e:?0`<<2m278ih4:e:?044<2m278=54:e:?0<f<2m278m>4:e:?00c<2m278?o4:e:?775<2m27?=n4:e:?7g3<>=27?o:465:?7g=<>=27?o4465:p0a6=839p19j>:77891b7282;70:lf;7`?xu3l80;6?u24e395=6<5=n86l=4}r6gf?6=;r7?hn495:?7`d<2k27?ho4>819~w1bd2909w0:kc;3;4>;3ll0j?6s|4bd94?5|5=n;6;;4=5ae>4>734>o?7o;;|q7`d<72:q68io5192891be2??019jj:`68yv2c:3:18v3;d8823c=:<m21=:h4=5f4>41a34>o?7?89:p0a0=838iw0:k9;3;4>;4:j0:;k522cc924=::kh1:<522cg924=::kl1:<522b2924=::j;1:<522b0924=::j91:<522b6924=::j?1:<522ca924=::kn1:<5237:924=:;>:1:<5237g924=:;?n1:<5237d924=:;?h1:<5237c924=:;?i1:<52375924=:;?<1=:h4=24:>37<5:=n6;?4=2:5>37<5:2>6;?4=2:7>37<5:286;?4=2:1>37<5:2:6;?4=2:3>37<5:=m6;?4=25g>37<5:=h6;?4=3d7>37<5;l:6;?4=3da>37<5;l36<9i;<0e3?70n279o:4n4:?1gf<f>2wx8i;50;0g82c03;3<63=30855>;5;00==63=39855>;5;>0==63=37855>;5;<0==63=35855>;5;:0==63=33855>;5;90==63=2g855>;5?j0==63=85855>;50:0==63=83855>;5080==63=81855>;5?o0==63=7d855>;5?m0==63=7c855>;5?h0==63=67855>;5>l0==63=6e855>;5>j0==63=6c855>;5>h0==63=68855>;5>10==63=66855>;5><0==63=65855>;6m:0==63>ec855>;6mh0==63>e8855>;6m10==63>e6855>;6m?0==63>e4855>;6m=0==63>e3855>;6m80==6s|4e694?4fs4>o;7?70:?7=g<1927?5l491:?7=<<1927?55491:?7=2<1927?5;491:?7=1<1927?5>491:?7=7<1927?5<491:?7=5<1927?4k491:?7<`<1927?4i491:?7<f<1927?4o491:?7<<<1927?45491:?7<2<1927?4;491:?7<0<1927?49491:?7<6<1927?4?491:?7<4<1927?4=491:?7e4<1927?m=491:?7=c<1927?5h491:?7=a<1927?5n491:?7=0<1927?4l491:?73c<1927?;h491:?7gg<f<27?o;4>899>0f1=912019m7:0:;?82d13;346s|4ef94?5|5=o96<9i;<6f5?70n27?hh4>789~w1c72908<v3;e382<5=:;k21=:h4=2`4>41a349mm78>;<1ef?06349mi78>;<1eb?0634>;<78>;<635?0634>;>78>;<637?0634>;878>;<631?06349mo78>;<1e`?0634>?578>;<665?0634>?j78>;<67a?0634>><78>;<67g?0634>?n78>;<67`?0634>?47?8f:?702<1927?8l491:?725<1927?9i4>7g9>00c=>8168;=5609>037=>8168;<5609>030=>8168;:5609>033=>8168;95609>00`=>8168:;5609>02b=>8168:m5609>02d=>8168:o5609>02?=>8168:65609>021=>8168:85609>022=>8168:=5609~w1ba2909hv3;e082<5=:9j>1:<521ba924=:9jh1:<521bc924=:9j31:<521b:924=:9j=1:<521b4924=:9j?1:<521b1924=:9j81:<5221d924=::8=1:<52204924=::8?1:<52206924=::891:<52200924=::8;1:<52202924=::9o1:<5221f924=:9o31:<52213924=::9:1:<521gd924=:9oo1:<521gf924=:9oi1:<521g`924=:9ok1:<521g:924=:9o=1:<52242924=::<21:<52245924=::<<1:<52247924=::<>1:<52241924=::<81:<52243924=::=l1:<5225g924=z{:8o6=4={<11g?023499i7?89:p77e=839p1><l:0:3?84a>3k870<je;c0?xu5k?0;6?u22cc920=::j=1=:74}r0g0?6=:r79no495:?1`0<6?01v?j9:18184em3<>70<k7;34=>{t:m21<7<t=3`e>33<5;n26<96;|q1`d<72;q6>n>5649>6ad=9>30q~<ke;296~;5k80=963=dg823<=z{;o;6=4={<0`6?02348n<7?89:p6`5=838p1?m<:77897c428=27p}=e683>7}::j>1:8522d5952?<uz8n57>52z?1g0<1=279ii4>789~w7e?2909w0<mc;46?84dl3;<56s|2e094?4|5;ho6;;4=3f0>41>3ty8n44?:2y>7g>=><16?o95649>7gg=9>30q~=m7;297~;4j>0:4=524029e6=:;kk1m95rs2d:>5<5s49mm78:;<1fa?7012wx8=950;0x96`e2??01>m7:05:?xu3810;6?u23gg920=:;j31=:74}r63=?6=:r78jk495:?0gd<6?01v9>n:18182783<>70=lb;34=>{t<9h1<7<t=522>33<5:in6<96;|q74f<72;q68=<5649>7f`=9>30q~:?d;296~;38:0=963<d5823<=z{=:n6=4={<630?02349o:7?89:p05`=838p19>::77896b028=27p};0783>7}:;oi1:8523b5952?<uz9h87>52z?0ba<1=278o84>789~w743290>w0<n3;c0?84>13<=70<=f;3;4>;48m0j:63<258b2>{t;>;1<76t=3c0>d2<5:=h6<6?;<3:g?g3349;h7o:;<6`2?2034>h;7:8;<6`<?2034>h57:8;|q1e6<72:q6>l=516;897?>282970<ne;d7?xu5100;6>u228;952?<5;3j68k4=3`3>0c<uz8i57>52z?1e`<6?016>n95a79~w741290>w0<n5;c0?84>i3<=70<<0;3;4>;48m0j863<258b0>{t;>91<77t=3c6>d2<5:=o6<6?;<3:g?g434;2n7o;;<13`?g434>h:7:n;<6`3?2f34>h47:n;<6`=?2f3ty9m84?:2y>6d3=9>301?7n:0:1?84e83l?7p}=9`83>6}::0k1=:74=3;a>0c<5;h:68k4}r0:<?6=0r79n=4>789>6a3=i:16>i95a49>6a?=i?16>no5a59>6ae=i=16>h85a59>6ab=i=1v?<8:18684f>3k870<6b;45?844:3;3<63<248b2>;48l0j:6s|36694??|5;k=6l:4=25e>4>734;2n7o<;<3:e?g3349;i7o:;<6`2?2e34>h;7:m;<6`<?2e34>h57:m;|q1e3<72:q6>l8516;897?e282970<m1;d7?xu51k0;6>u228`952?<5;3h68k4=3`1>0c<uz8287>57z?1f4<6?016>i95a59>6a?=i:16>no5a49>6ae=i<16>h85a49>6ab=i<1v?<7:18684f?3k870<6c;45?844;3;3<63<248b0>;48l0j86s|36794??|5;k<6l:4=2:3>4>734;2m7o<;<3:=?g3349;i7o<;<6`2?2d34>h;7:l;<6`<?2d34>h57:l;|q1e2<72:q6>l9516;897?d282970<m2;d7?xu51j0;6>u228a952?<5;3o68k4=3`0>0c<uz8297>56z?1f7<6?016>i75a59>6fg=i:16>h:5a49>6ae=i:16>h85a29~w74>290>w0<n8;c0?84>l3<=70<<4;3;4>;4:?0j:63<0g8b2>{t;><1<77t=3c;>d2<5:2:6<6?;<3:=?g434;247o;;<13b?g234>h:7:k;<6`3?2c34>h47:k;<6`=?2c3ty9m54?:2y>6d>=9>301?7k:0:1?84e;3l?7p}=9e83>6}::0n1=:74=3;f>0c<5;h?68k4}r0:2?6=0r79n>4>789>6ad=i=16>ih5a59>6a6=i=16>h:5a59>6`7=i:16>h85a79>6`4=i:1v?<n:18684f13k870<6e;45?844=3;3<63<278b0>;48o0j86s|36594??|5;k26l:4=2:1>4>734;247o<;<3:3?g3349;j7o<;<6`2?2b34>h;7:j;<6`<?2b34>h57:j;|q1e<<72:q6>l7516;897?b282970<m4;d7?xu51l0;6>u228g952?<5;3m68k4=3`6>0c<uz82;7>59z?1f1<6?016>ih5a49>6a6=i<16>h=5a59>6`3=i<16>h65a49>6`6=i:16>h?5a59>6`4=i=1v?<m:18684fi3k870<6f;45?844>3;3<63<268b2>;4990j:6s|36:94??|5;kj6l:4=2:0>4>734;2;7o<;<3:2?g3349:<7o:;<6`2?2a34>h;7:i;<6`<?2a34>h57:i;|q1ed<72:q6>lo516;897?a282970<m5;d7?xu51o0;6>u228d952?<5;k;68k4=3`5>0c<uz83j7>59z?1f0<6?016>nk5a59>6fg=i?16>i?5a59>6`3=i:16>h65a59>6`2=i:16>h>5a59>6`7=i<1v?<l:18684fj3k870<n0;45?844?3;3<63<268b0>;4990j86s|36;94??|5;ki6l:4=2:7>4>734;2:7o<;<3:1?g3349:<7o<;<6`2?3734>h;7;?;<6`<?3734>h57;?;|q1eg<72:q6>ll516;897g7282970<m6;d7?xu5i90;6>u22`2952?<5;k:68k4=3`4>0c<uz82<7>57z?1f3<6?016>nk5a29>6`5=i:16>ho5a29>6a7=i:16>h65a29>6`4=i<1v?<k:18684fk3k870<n1;45?84403;3<63<298b2>;4980j:6s|36c94??|5;kh6l:4=2:6>4>734;297o<;<3:0?g3349:=7o:;<6`2?3634>h;7;>;<6`<?3634>h57;>;|q1ef<72:q6>lm516;897g6282970<m7;d7?xu5i80;6>u22`3952?<5;k968k4=3`;>0c<uz82=7>57z?1f2<6?016>hl5a59>6f`=i=16>h95a59>6`g=i=16>i?5a79>6f?=i=1v?<j:18684fl3k870<n2;45?84413;3<63<298b0>;4980j86s|36`94??|5;ko6l:4=2:5>4>734;287o<;<3:7?g3349:=7o<;<6`2?3534>h;7;=;<6`<?3534>h57;=;|q1ea<72:q6>lj516;897g5282970<m8;d7?xu5i;0;6?u22`0952?<5;km68k4}r0:6?6=>r79n54>789>6`d=i:16>hm5a59>6f`=i:16>i?5a49>6f?=i:1v?<::18784f<3k870<<1;3;4>;4:00j?63<138b7>{t;>81<77t=3c7>d2<58o86;;4=25f>4>734;2?7o<;<126?g334>h:7:6;<6`3?2>34>h47:6;<6`=?2>3ty9m94?:3y>6d2=9>301?oi:g68yv4>;3:18v3=ag823<=::jl1m8522b`9e1=::m91m>5rs0`4>5<3s49n?7o<;<3`6?7?8278mk4n6:?0==<f>2wx8;650;:x96c42h>01>j6:7489114282;70?m4;c7?82d>39i70:l7;1a?82d039i70:l9;1a?xu4m:0;6>u23d1952?<5:n26<6=;<1fa?`33ty8h44?:2y>7a?=9>301>jn:4g896`72<o0q~?m9;290~;4m<0j?63>c282<5=:;hl1m95238:9e1=z{=<j6=46{<1f1?g3349om789;<640?7?827:n94n3:?2f6<f<27?o;4<e:?7g2<4m27?o54<e:?7g<<4m2wx?h;50;1x96c228=270=ka;3;6>;4n90m86s|3ec94?5|5:nj6<96;<1gf?3b349m=7;j;|q0g3<721q6?k>516;896e>2h>01>mn:`7896ee2h<01>ml:`6896ec2h?01>j::`6896e?2h80q~?ma;290~;4m?0j?63>c482<5=:;k:1m;5238;9e3=z{=<i6=46{<1f2?g3349on789;<642?7?827:n>4n3:?2f7<f<27?o;4<f:?7g2<4n27?o54<f:?7g<<4n2wx?h850;1x96c128=270=kb;3;6>;4n80m86s|3e`94?5|5:ni6<96;<1gg?3b349m>7;j;|q0g5<72>q6?k?516;896e>2h901>mn:`1896ee2h901>ml:`7896ec2h>01>j::`78yv7ej3:18v3<e68b7>;6k?0:4=523c29e1=:;031m95rs54`>5<>s49n;7o;;<1gg?0134><;7?70:?2f7<f;27:n<4n4:?7g3<3827?o:4;0:?7g=<3827?o44;0:p7`1=839p1>k8:05:?85ck3;3>63<f38e0>{t;mi1<7=t=2f`>41>349oh7;j;<1e7?3b3ty8o<4?:7y>7c4=9>301>mn:`6896ee2h?01>ml:`1896ec2h901>j::`18yv7ek3:18v3<e98b7>;6k>0:4=523c39e3=:;0k1m;5rs54g>5<>s49n47o;;<1g`?0134><47?70:?2f4<f;27:n=4n4:?7g3<3927?o:4;1:?7g=<3927?o44;1:p7`>=839p1>k7:05:?85cl3;3>63<f28e0>{t;mn1<7=t=2fg>41>349oi7;j;<1e0?3b3ty8o?4?:9y>7c5=9>301>mm:`6896ed2h<01>j?:`1896b62h901>j=:`1896b42h901>j::`48yv7el3:18v3<e88b7>;6k10:4=523c39e1=:;0k1m95rs54f>5<>s49n57o;;<1ga?0134><57?70:?2f5<f;27:mk4n4:?7g3<3:27?o:4;2:?7g=<3:27?o44;2:p7`?=839p1>k6:05:?85cm3;3>63<f58e0>{t;mo1<7=t=2ff>41>349oj7;j;<1e1?3b3ty8o>4?:9y>7c2=9>301>mj:`1896ea2h901>j?:`6896b62h?01>j=:`6896b42h>01>j;:`78yv7em3:18v3<e`8b7>;6k00:4=523c09e3=:;0h1m;5rs54e>5<>s49nm7o;;<1gb?0134><m7?70:?2ec<f;27:mh4n4:?7g3<3;27?o:4;3:?7g=<3;27?o44;3:p7`g=839p1>kn:05:?85cn3;3>63<f48e0>{t;ml1<7=t=2fe>41>349n<7;j;<1e2?3b3ty8no4?:6y>7c3=9>301>mi:`6896b72h?01>j>:`4896b52h?01>j<:`7896b32h90q~?mf;290~;4mk0j?63>c`82<5=:;k81m95238`9e1=z{==;6=46{<1ff?g3349n<789;<64f?7?827:mh4n3:?2ea<f<27?o;4;4:?7g2<3<27?o54;4:?7g<<3<2wx?hl50;1x96ce28=270=j0;3;6>;4n?0m86s|3d294?5|5:o;6<96;<1f5?3b349m;7;j;|q0ff<72<q6?k8516;896b?2h901>j9:`1896b62h>01>j;:`68yv2093:15v3<eb8b7>;4m80=:63;7b82<5=:9hn1m>521`a9e1=:<j<188524b5900=:<j2188524b;900=z{8i;6=4;{<1fg?g334;hn7?70:?0f6<f>2785n4n6:p7`e=839p1>kl:05:?85b93;3>63<f68e0>{t;l;1<7=t=2g2>41>349n>7;j;<1e<?3b3ty8ni4?:5y>7c1=9>301>j7:`6896b12h>01>j8:`18yv20:3:15v3<ee8b7>;4m;0=:63;7e82<5=:9hi1m>521``9e1=:<j<18;524b5903=:<j218;524b;903=z{8i:6=4;{<1f`?g334;ho7?70:?0f6<f<2785n4n4:p7`b=839p1>kk:05:?85b:3;3>63<f98e0>{t;l81<7<t=2g1>41>349nj7;j;|q0f`<72=q6?k6516;896b02h>01>j=:`4896b42h<0q~:99;29<~;4m=0j?63=51851>;3?<0:4=521``9e6=:<j<1?i524b597a=:<j21?i524b;97a=z{8h36=4;{<1f0?g334;h87?70:?0f1<f<2785i4n4:p7`2=838p1>k;:05:?85bn3l?7p}<bg83>6}:;ll1=:74=2a6>d5<5:i<6l=4}r134?6=:r78<;4:e:?040<6?01v>>l:181857>3;3>63<13823<=z{:8j6=4={<132?701278>h4n5:p6c`=838p1>>::4g8966328=27p}<0c83>7}:;9?1=5<4=232>41>3ty9jh4?:3y>752==l16?==516;8yv57i3:1>v3<0582<7=:;8:1=:74}r0e`?6=:r78<>4:e:?047<6?01v>>6:181857;3;3>63<0g823<=z{;lh6=4={<136?3b349;=7?89:p75>=838p1>>=:0:1?857m3;<56s|31594?4|5:::6<6=;<13`?7012wx?<950;0x967c2<o01>?l:05:?xu4::0;6?u230f95=4<5:826<96;|q06g<72;q6?<j516;8964b2h90q~=>6;296~;49j0>i63<1c823<=z{:896=4={<12g?7?:278>54>789~w6722909w0=>b;7f?856i3;<56s|33394?4|5:;i6<6=;<113?7012wx?<:50;0x967f2<o01>?6:05:?xu4:90;6?u230c95=4<5:8=6<96;|q056<72;q6?<755d9>74>=9>30q~=>f;296~;4900:4?52337952?<uz9:i7>52z?05=<60;16??:516;8yv5?j3:1>v3<9086a>;4190:;45rs2;4>5<5s492=7?72:?0=a<6?01v>l::18185>93;<563<b`8b7>{t;1k1<7<t=2;3>0c<5:2m6<96;|q0=3<72;q6?4>5190896?d28=27p}<8883>7}:;1l19h5239g952?<uz9297>52z?0<c<60;16?4l516;8yv5?03:1>v3<8d86a>;40m0:;45rs2;7>5<5s493i7?72:?0=d<6?01v>68:18185?l3?n70=7c;34=>{t;091<7<t=2:g>4>5349257?89:p7<4=838p1>6l:0:1?85>03;<56s|3`094?4|5:k368k4=2c4>41>3ty8mh4?:3y>7d>=91801>l;:05:?xu4j?0;6?u23`:952?<5:hj6l84}r1b5?6=:r78m:4:e:?0e3<6?01v>ok:18185f?3;3>63<b2823<=z{:k;6=4={<1b2?3b349j97?89:p7de=838p1>o9:0:1?85e:3;<56s|38d94?4|5:k>68k4=2c7>41>3ty8mo4?:3y>7d3=91801>l>:05:?xu41l0;6?u23`691`=:;h91=:74}r1be?6=:r78m94>839>7g6=9>30q~=n9;296~;4i:0:4?523`d952?<uz8;?7>55z?2g1<1=279<k4>819>5=g=i=16=5l5a49>5=e=i=1v<mk:18187dk3<>70?7a;34=>{t9jo1<7:t=0aa>33<582i6<96;<3;`?g234;3i7o<;|q2gc<72;q6=no5649>5=e=9>30q~?k0;290~;6k00=963>8e823<=:91l1m8521829e6=z{8n:6=4={<3`<?0234;3i7?89:p5a4=83>p1<m8:77894>a28=270?61;c6?87>:3k=7p}>d283>7}:9j<1:852182952?<uz;o87>53z?2g0<1=27:5<4>789>5d7=i<1v<j::18187d;3<>70?62;34=>{t:;91<7<t=0a1>33<58k:6<96;|q135<72<q6>>?5649>62e=91:01<o=:`6894gf2h<01<o<:`68yv44i3:1?v3=38851>;6i;0:;45218d9e6=z{;9i6=4={<00<?0234;j?7?89:p614=83<p1?=8:77894g32h901<o::`7894g02h<01<on:05:?87f>3k>7p}=3b83>7}:::<1:8521`6952?<uz88h7>52z?170<1=27:m84>789~w75b2909w0<<4;46?87f>3;<56s|22d94?2|5;986;;4=0c;>d5<58k<6<96;<3b=?g23ty98=4?:3y>664=><16=l6516;8yv4393:1>v3=31851>;6i00:;45rs301>5<5s489j78:;<3:b?7012wx>8o50;0x971d2??01?89:0:3?xu5>:0;6?u2296920=::?o1=5>4}r04=?6=<r79494>819>5d4=i:16=lo5a49>5d5=i:1v?8=:18184?;3<>70<9d;3;4>{t:>21<7:t=3:0>4>734;2i7o;;<3be?g334;j?7o:;|q124<72;q6>5<5649>63e=91:0q~<87;297~;50;0:4=5218g9e6=:9hk1m>5rs343>5<5s483=78:;<05f?7?82wx>:850;4x97>6282;70?6e;c5?87f<3k?70?n5;c7?87f?3k>70?n6;c7?xu5=o0;6?u2292920=::?k1=5>4}r041?6==r794=4>819>5<c=i<16=l;5a29>5d1=i=16=l85a29~w73b2909w0<8f;46?84113;3<6s|26694?2|5;=m6<6?;<3:`?g334;2j7o:;<3b2?g13ty99i4?:3y>62c=><16>;651928yv40;3:1?v3=7d82<5=:90n1m>521`29e6=z{;?h6=4={<04`?02348=;7?70:p624=83>p1?9k:0:3?87f03k?70?n9;c7?87f83k?7p}=5c83>7}::>h1:85227795=6<uz8<=7>53z?13g<60916=l75a29>5d6=i<1v?;6:181840i3<>70<94;3;4>{t:?l1<7<t=35b>4>734;j<7o9;|q2aa<72;q6>=h5649>5c?=91:0q~?i6;296~;59>0=963=0082<5=z{;:h6=4;{<023?7?827:4l4n3:?2<g<f<27:4n4n3:p5c3=838p1??9:7789767282;7p}=0c83>6}::8<1=5>4=0:a>d5<582h6l;4}r3e0?6=:r79=8495:?2bc<6091v?>n:187846=3;3<63>8e8b0>;60l0j863>8b8b2>{t9o91<7<t=337>33<58ln6<6?;|q14<<72:q6><:5192894>c2h901<6j:`78yv7a:3:1>v3=12851>;6nm0:4=5rs32;>5<3s48:?7?70:?2<c<f<27:5=4n4:?2<`<f>2wx=k?50;0x97752??01<hl:0:3?xu58>0;6>u220095=6<582m6l=4=0;3>d3<uz;m<7>52z?154<1=27:jo4>819~w761290?w0<>1;3;4>;6180j863>938b1>;6190j:6s|1dd94?4|5;;;6;;4=0db>4>73ty9<84?:2y>646=91:01<7>:`1894?52h>0q~?je;296~;58l0=963>f982<5=z{;:?6=4<{<03a?7?827:m<4n4:?2=7<f;2wx=hm50;0x976c2??01<h8:0:3?xu58;0;6?u221f95=6<58k:6l=4}r070?6=:r79:;495:?115<6091v?:k:181841m3<>70<:8;3;4>{t:=i1<7<t=34g>33<5;?<6<6?;|q10g<72;q6>;m5649>600=91:0q~<;a;296~;5>k0=963=5482<5=z{;>26=4={<05e?02348>87?70:p61>=838p1?86:7789734282;7p}=4683>7}::?21:85224095=6<uz8?:7>52z?122<1=2799<4>819~w7222909w0<95;46?843n3;3<6s|25194?4|5;<?6;;4=36f>4>73ty:h:4?:3y>5c?=><16=h=51928yv7b83:1>v3=00851>;6mk0:4=5rs0fe>5<5s48;<78:;<3fe?7?82wx=ik50;0x94`a2??01<k6:0:3?xu6lm0;6?u21gg920=:9l21=5>4}r3gg?6=:r7:ji495:?2a2<6091v<jm:18187ak3<>70?j6;3;4>{t9mk1<7<t=0da>33<58o>6<6?;|q2`<<72;q6=ko5649>5`2=91:0q~?k8;296~;6n10=963>e382<5=z{8n=6=4={<3e3?0234;n=7?70:p64>=838p1<km:77894?428=27p}=1883>7}:9lk1:852186952?<uz8:m7>52z?2a<<1=27:584>789~w77e2909w0?j8;46?87>>3;<56s|20a94?4|58o<6;;4=0;4>41>3ty9=i4?:3y>5`0=><16=46516;8yv46m3:1>v3>e4851>;6100:;45rs33e>5<5s4;n878:;<3:e?7012wx>?>50;0x94c52??01<7m:05:?xu5:80;6?u21d3920=:90i1=:74}r0;1?6=:r7995495:?2eg<6?01v?69:181842?3<>70?nc;34=>{t:1=1<7<t=375>33<58ko6<96;|q1<=<72;q6>8;5649>5dc=9>30q~<79;296~;5==0=963>ag823<=z{;2j6=4={<067?0234;i<7?89:p6=d=838p1?;=:77894d628=27p}=8b83>7}::<;1:8521c0952?<uz83h7>52z?10c<1=27:n>4>789~w7>b2909w0<;e;46?87e<3;<56s|4ba94?7>s49=47;l;<144?3d349=i7;l;<15`?3d349=j7;l;<15f?3d349=m7;l;<15g?3d349=;7;l;<152?3d349=57;l;<14a?3d3493:7;l;<1;1?3d349387;l;<1;7?3d3493>7;l;<1;5?3d3493<7;l;<14b?3d349<h7;l;<14g?3d348ni7?89:?7gg<f;278>h4n4:p764=838p1>87:778963728=27p}<5b83>1}:;?21=5>4=25f>33<5:826l:4=272>d5<uz98m7>52z?035<1=2789l4>789~w602290?w0=80;3;4>;40?0=963<298b7>;4<l0j?6s|32:94?4|5:<n6;;4=27;>41>3ty8:>4?:5y>73c=91:01>6;:77896402h901>:n:`18yv54?3:1>v3<6e851>;4=>0:;45rs241>5<3s49=h7?70:?0<6<1=278>:4n5:?00=<f;2wx?>750;0x960a2??01>;6:05:?xu4>=0;69u237d95=6<5:2>6;;4=20;>d3<5:>h6l=4}r101?6=:r78:o495:?010<6?01v>8?:187851j3;3<63<80851>;4:?0j963<458b7>{t;:>1<7<t=24b>33<5:??6<96;|q01c<72=q6?;o5192896>72??01><::`1896252h90q~=<6;296~;4>j0=963<57823<=z{:<:6=4;{<15g?7?82784?495:?063<f;2788;4n3:p767=838p1>88:778963528=27p}<5e83>1}:;?=1=5>4=25g>33<5:8?6l=4=21f>d5<uz98<7>52z?023<1=2788k4>789~w63e290?w0=96;3;4>;4?j0=963<258b1>;4;j0j?6s|32194?4|5:<26;;4=270>41>3ty89h4?:5y>73?=91:01>9i:77896422h?01>:?:`18yv53l3:1>v3<5186a>;4<m0:;45rs272>5<5s49><7h;;<165?7012wx?9l50;1x963f2<o01>:k:4g8962e28=27p}<4d83>6}:;<k1j95235f95=4<5:>n6<96;|q00<<72:q6?8755d9>71d==l16?97516;8yv53k3:1?v3<588e0>;4<k0:4?5235a952?<uz9?;7>53z?01=<2m278844:e:?002<6?01v>:n:18085203l?70=;9;3;6>;4<h0:;45rs266>5<4s49>;7;j;<173?3b349?97?89:p71>=839p1>;8:g689620282970=;8;34=>{t;=91<7=t=275>0c<5:>>68k4=260>41>3ty88;4?:2y>700=n=16?9;51908962128=27p}<4083>6}:;<?19h5235191`=:;=;1=:74}r170?6=;r78984i4:?006<60;16?9:516;8yv54n3:1?v3<5586a>;4<80>i63<3g823<=z{:>96=4<{<160?`3349?=7?72:?007<6?01v>=k:180852;3?n70=<f;7f?854l3;<56s|35294?5|5:?86k:4=21e>4>5349?<7?89:p76d=839p1>;=:4g8965c2<o01>=m:05:?xu4;l0;6>u23409b1=:;:n1=5<4=21f>41>3ty8?n4?:2y>71`=n=16?>l51908965d28=27p}=f483>7}::o>1:8522g4952?<uz8m87>58z?1b1<60916>k=5a59>6cg=i<16>k85a49>6``=i:16>hk5a59>6c6=i=16>nm5a59~w7`52908w0<i1;46?84aj3<>70<i3;34=>{t:o;1<76t=3d2>4>7348m?7o<;<0ee?g3348m:7o;;<0fb?g3348ni7o9;<0e4?g4348ho7o:;|q1bg<72:q6>kl5192897e02h?01?ml:`18yv4a13:1?v3=f9851>;5n>0=963=f`823<=z{;l<6=4={<0e3?7?8279jl4n3:p0fc=838=w0:;9;7`?82293?h70:;f;7`?823m3?h70::0;7`?823k3?h70:;b;7`?823l3?h70:;8;7`?823?3?h70:;a;7`?82183?h70::d;7`?822m3?h70:93;7`?82193?h70:92;7`?821>3?h70:94;7`?821=3?h70:97;7`?822n3?h70:85;7`?820l3?h70:8c;7`?820j3?h70:8a;7`?82013?h70:88;7`?820?3?h70:86;7`?820<3?h70:83;7`?82683;<563;c787a2=:<j=18h94=5a;>1c034>h57:j7:p045=838p19:6:778915628=27p};3e83>1}:<=31=5>4=57e>33<5:h?6l=4=511>d5<uz>:n7>52z?714<1=27??o4>789~w121290?w0::1;3;4>;3>>0=963<b28b7>;3:o0j?6s|40;94?4|5=>m6;;4=51:>41>3ty?894?:5y>01`=91:0198::77896d52h9019<m:`18yv2603:1>v3;4d851>;3;10:;45rs560>5<3s4>?i7?70:?721<1=278n?4n5:?76<<f;2wx8<o50;0x91372??019=n:05:?xu3<<0;69u244295=6<5=<=6;;4=2`0>d3<5=8o6l=4}r622?6=:r7?8n495:?773<6?01v9:>:187823k3;3<63;63851>;4j80j963;248b7>{t<8?1<7<t=56a>33<5=9>6<96;|q705<72=q689l5192891062??01>l?:`1891442h90q~:>7;296~;3<m0=963;36823<=z{=>96=4;{<67`?7?827?:>495:?0f4<f;27?>:4n3:p044=838p19:7:778915428=27p};3d83>1}:<=21=5>4=57f>33<5:km6l=4=53e>d5<uz>:=7>52z?702<1=27??=4>789~w15d290?w0:;7;3;4>;3=m0=963<ag8b1>;39m0j?6s|40694?4|5=>j6;;4=517>41>3ty??k4?:5y>01g=91:0198?:77896d72h?019<>:`18yv25m3:1>v3;3086a>;3:l0:;45rs511>5<5s4>8=7h;;<606?7012wx8?m50;1x915e2<o019<j:4g8914d28=27p};2g83>6}:<:h1j95243g95=4<5=8m6<96;|q76d<72:q68>o55d9>07e==l168?o516;8yv25l3:1?v3;3`8e0>;3:j0:4?5243f952?<uz>947>53z?77<<2m27?>l4:e:?76=<6?01v9<m:18082413l?70:=a;3;6>;3:k0:;45rs505>5<4s4>847;j;<61<?3b34>9:7?89:p07?=839p19=7:g68914?282970:=9;34=>{t<;>1<7=t=514>0c<5=8=68k4=507>41>3ty?>:4?:2y>061=n=168?851908914028=27p};2383>6}:<:<19h5243691`=:<;81=:74}r611?6=;r7??;4i4:?761<60;168?;516;8yv2583:1?v3;3486a>;3:;0>i63;21823<=z{=886=4<{<601?`334>9>7?72:?766<6?01v9?j:180824<3?n70:=0;7f?826m3;<56s|43394?5|5=9?6k:4=503>4>534>9=7?89:p04e=839p19=<:4g8917b2<o019?l:05:?xu39o0;6>u24219b1=:<8o1=5<4=53e>41>3ty?=i4?:2y>066=n=168<m51908917c28=27p};5483>6}:<?:1=5>4=555>33<5:326l;4}r666?6=;r7?9i4>819>025=><16?465a49~w1332908w0::e;3;4>;3?=0=963<998b7>{t<<21<7=t=540>4>734><578:;<1:e?g43ty?9;4?:2y>037=91:01998:77896?>2h90q~::7;297~;3>;0:4=5246:920=:;0k1m85rs57a>5<4s4>=:7?70:?73f<1=2785n4n5:p00?=839p198;:0:3?820i3<>70=6b;c6?xu3=h0;6>u247795=6<5==i6;;4=2;a>d5<uz>>o7>53z?722<609168:j5649>7<e=i:1v9;<:180822n3;3<63;74851>;41m0j?6s|4bf94?42s4>2n7;l;<6:e?3d34>257;l;<6:<?3d34>2;7;l;<6:2?3d34>287;l;<6:7?3d34>2>7;l;<6:5?3d34>2<7;l;<6;b?3d34>3i7;l;<6;`?3d34>3o7;l;<6;f?3d34>357;l;<6;<?3d34>3;7;l;<6;2?3d34>397;l;<6;0?3d34>3?7;l;<6;6?3d34>3=7;l;<6;4?3d34>j=7;l;<6b4?3d34>2j7;l;<6:a?3d34>2h7;l;<6:g?3d34>297;l;<6;e?3d34><j7;l;<64a?3d348nj7?89:p0gc=838p197m:77891e>2080q~:md;296~;31h0=963;c88;a>{t<ki1<7<t=5;:>33<5=i265m4}r6af?6=:r7?55495:?7g<<?j2wx8oo50;0x91?02??019m6:9c8yv2e13:1>v3;97851>;3k00356s|4c594?4|5=3?6;;4=5a:>=><uz>i:7>52z?7=6<1=27?o4477:p0g3=838p197=:77891e>21<0q~:m4;296~;3180=963;c98:6>{t<k91<7<t=5;3>33<5=i365k4}r6a6?6=:r7?4k495:?7g=<?k2wx8o?50;0x91>b2??019m7:9`8yv2e83:1>v3;8e851>;3k103m6s|4`d94?4|5=2h6;;4=5a;>=?<uz>ji7>52z?7<g<1=27?o5478:p0de=838p1966:77891e?21=0q~:nb;296~;3010=963;c98;2>{t<hk1<7<t=5:4>33<5=i<64<4}r6b=?6=:r7?4;495:?7g2<?m2wx8l650;0x91>22??019m8:9a8yv2f?3:1>v3;85851>;3k>03n6s|4`494?4|5=286;;4=5a4>=g<uz>j97>52z?7<7<1=27?o:479:p0d2=838p196>:77891e02120q~:n3;296~;3090=963;c68;3>{t<j?1<7<t=5c2>33<5=i<6584}r6`0?6=:r7?m=495:?7g3<>:2wx8n=50;0x91?a2??019m9:9g8yv2d:3:1>v3;9d851>;3k?03o6s|4b394?4|5=3o6;;4=5a5>=d<uz>h<7>52z?7=f<1=27?o;47a:p0g`=838p197::77891e12130q~:m8;296~;30h0=963;c78;<>{t<hn1<7<t=55e>33<5=i=6594}r6b6?6=:r7?;h495:?7g3<?>2wx=o850;0x94?b28=270?6f;c7?xu3kh0;68u24b`952?<5=i=6484=5a4><0<5=i36484=5a:><0<uz99j7>52z?1g2<f;279j=4>789~w4d22909w0?6d;34=>;6i>0j?6s|17394?e|5;n>6l:4=3f4>d5<5;n26l;4=3fa>d5<5;nm6l=4=3g`>d5<5;ii6l=4=3g4>d5<5;o>6l:4=3g3>d3<5;no6l=4=3a`>41>3ty:::4?:4y>6ad=i<16>ih5a79>6`g=i<16>i=5a59>6ae=9>30q~?94;297~;4kl0j863<cg8b1>;4kj0:;45rs052>5<5s48o<7o<;<0`g?`23ty:<>4?:2y>6a6=9>301?mj:`4897b42h?0q~?9f;296~;5kl0j963=db8e1>{t9?81<7:t=3af>41>348nh7o9;<0``?g1348n;7o9;|q1ag<72;q6>hl516;897cc2h>0q~<jc;296~;5mj0:;4522df9e6=z{;oj6=4={<0f`?g2348nm7?89:p6fc=838p1?mi:05:?84dl3k?7p}=cc83>7}::jh1=:74=3ag>d5<uz8h57>52z?1ga<f=279o44>789~w4142909w0<j3;c6?84b<3;<56s|16694?4|5;o86l84=3g6>41>3ty:<84?:3y>6`1=i<16>h6516;8yv77k3:1?v3<d9823<=:;j?1m;523b59e3=z{88n6=4={<0fe?g1348n=7h:;|q211<72<q6?nj516;896b12h?01>j8:`7896e22h>01>m8:`68yv7203:1>v3=c`823<=::j31m85rs07b>5<5s48o=7?89:?1`6<f>2wx=8m50;1x97c22h<01?k?:`4897c128=27p}>7183>7}::l21m;522d49b0=z{8<;6=4={<0`=?g1348n>7h:;|q220<72;q6?i>516;896b12h<0q~?98;296~;4l80:;4523e59e3=z{8<j6=4={<1g6?701278o84n5:p53d=838p1>j<:05:?85d?3k>7p}>6b83>7}::l>1m;522ef9b0=z{8<o6=4={<1g1?701278h94n6:p53c=838p1<o?:05:?87>n3k=7ps|55`94?4|V<8<70;8:404?!2b;3;<>6s|55;94?4|V<8=70;8:405?!2b;3;<?6s|55:94?4|V<8>70;8:406?!2b;3;<86s|55594?4|V<8?70;8:407?!2b;3;<96s|55494?4|V<8870;8:400?!2b;3;;96s|55794?4|V<8970;8:401?!2b;3;;46s|55194?4|V<8;70;8:403?!2b;3;;o6s|55094?4|V<;m70;8:43e?!2b;3;;h6s|55394?4|V<;n70;8:43f?!2b;3;:46s|55294?4|V<;o70;8:43g?!2b;3;9?6s|52d94?4|V<;h70;8:43`?!2b;3;9i6s|52g94?4|V<;i70;8:43a?!2b;3;8;6s|52f94?4|V<;j70;8:43b?!2b;3;?<6s|52a94?4|V<;270;8:43:?!2b;3;?56s|52`94?4|V<;370;8:43;?!2b;3;>>6s|52c94?4|V<;<70;8:434?!2b;3;>?6s|52:94?4|V<;>70;8:436?!2b;3;>86s|52594?4|V<;?70;8:437?!2b;3;>96s|52494?4|V<;870;8:430?!2b;3;>:6s|52794?4|V<;970;8:431?!2b;3;>;6s|52694?4|V<;:70;8:432?!2b;3;>46s|52194?4|V<;;70;8:433?!2b;3;>56s|52094?4|V<:m70;8:42e?!2b;3;>m6s|52394?4|V<:n70;8:42f?!2b;3;>n6s|52294?4|V<:o70;8:42g?!2b;3;>o6s|53d94?4|V<:h70;8:42`?!2b;3;>h6s|54094?4|V<8o70;8:40g?!2b;3;>i6s|54394?4|V<8h70;8:40`?!2b;3;>j6s|54294?4|V<8i70;8:40a?!2b;3;=<6s|55d94?4|V<8j70;8:40b?!2b;3;==6s|55g94?4|V<8270;8:40:?!2b;3;=>6s|55f94?4|V<8370;8:40;?!2b;3;=?6s|55a94?4|V<8:70;8:402?!2b;3;=86s|55694?4|V<;=70;8:435?!2b;3;=:6s|52;94?4|V<:i70;8:42a?!2b;3;=;6s|53g94?4|V<:j70;8:42b?!2b;3;=56s|5g394?4|V<l:70;8:4d2?!2b;3;;:6s|61`94?4|V?:i70;8:72a?!2b;3;;;6s|61f94?4|V?:o70;8:72g?!2b;3;;56s|60694?4|V?;?70;8:737?!2b;3;;m6s|63g94?4|V?8n70;8:70f?!2b;3;;n6s|58694?4|V<=;70;8:453?!2b;3;;i6s|58094?4|V<<m70;8:44e?!2b;3;;j6s|58394?4|V<<n70;8:44f?!2b;3;:<6s|58294?4|V<<o70;8:44g?!2b;3;:=6s|59d94?4|V<<h70;8:44`?!2b;3;:>6s|59g94?4|V<<i70;8:44a?!2b;3;:?6s|59a94?4|V<<270;8:44:?!2b;3;:86s|59`94?4|V<<370;8:44;?!2b;3;:96s|59c94?4|V<<<70;8:444?!2b;3;::6s|59;94?4|V<<=70;8:445?!2b;3;:;6s|59:94?4|V<<>70;8:446?!2b;3;:56s|59594?4|V<<?70;8:447?!2b;3;:m6s|59494?4|V<<870;8:440?!2b;3;:n6s|59794?4|V<<970;8:441?!2b;3;:o6s|59694?4|V<<:70;8:442?!2b;3;:h6s|59194?4|V<<;70;8:443?!2b;3;:i6s|59394?4|V<?n70;8:47f?!2b;3;:j6s|59294?4|V<?o70;8:47g?!2b;3;9<6s|56d94?4|V<?h70;8:47`?!2b;3;9=6s|56g94?4|V<?i70;8:47a?!2b;3;9>6s|56f94?4|V<?j70;8:47b?!2b;3;986s|56a94?4|V<?270;8:47:?!2b;3;996s|56`94?4|V<?370;8:47;?!2b;3;9:6s|56c94?4|V<?<70;8:474?!2b;3;9;6s|56;94?4|V<?=70;8:475?!2b;3;946s|56:94?4|V<?>70;8:476?!2b;3;956s|58`94?4|V<==70;8:455?!2b;3;9m6s|58c94?4|V<=>70;8:456?!2b;3;9n6s|58;94?4|V<=?70;8:457?!2b;3;9o6s|58:94?4|V<=870;8:450?!2b;3;9h6s|58594?4|V<=970;8:451?!2b;3;9j6s|58494?4|V<=:70;8:452?!2b;3;8<6s|58794?4|V<<j70;8:44b?!2b;3;8=6s|59f94?4|V<?m70;8:47e?!2b;3;8>6s|59094?4|V<??70;8:477?!2b;3;8?6s|56594?4|V<?870;8:470?!2b;3;886s|58f94?4|V<3o70;8:4;g?!2b;3;896s|5`194?4|V<k870;8:4c0?!2b;3;8:6s|61394?4|V<l>70;8:4d6?!2b;3;846s|5gd94?4|V<l?70;8:4d7?!2b;3;856s|61c94?4|V<lo70;8:4dg?!2b;3;8m6s|61;94?4|V<lh70;8:4d`?!2b;3;8n6s|61:94?4|V<li70;8:4da?!2b;3;8o6s|61594?4|V<lj70;8:4db?!2b;3;8h6s|61494?4|V<l270;8:4d:?!2b;3;8i6s|61794?4|V<l370;8:4d;?!2b;3;8j6s|61694?4|V<l<70;8:4d4?!2b;3;?=6s|61194?4|V<l=70;8:4d5?!2b;3;?>6s|61094?4|V<l870;8:4d0?!2b;3;??6s|5gg94?4|V<l970;8:4d1?!2b;3;?86s|63694?4|V?;370;8:73;?!2b;3;?96s|63094?4|V?;<70;8:734?!2b;3;?:6s|63f94?4|V?8;70;8:703?!2b;3;?;6s|63a94?4|V?;m70;8:73e?!2b;3;?46s|63`94?4|V?;n70;8:73f?!2b;3;?m6s|63c94?4|V?;o70;8:73g?!2b;3;?n6s|63;94?4|V?;h70;8:73`?!2b;3;?o6s|63:94?4|V?;i70;8:73a?!2b;3;?h6s|63594?4|V?;j70;8:73b?!2b;3;?i6s|63494?4|V?;270;8:73:?!2b;3;?j6s|63794?4|V?;=70;8:735?!2b;3;><6s|63394?4|V?;>70;8:736?!2b;3;>=6srnd5;>5<5sA>n86sae6;94?4|@=o?7p`j7`83>7}O<l>0qck8b;296~N3m=1vbh9l:181M2b<2wei:j50;0xL1c33tdn;h4?:3yK0`2<ugo<j7>52zJ7a1=zfl2;6=4={I6f0>{im1;1<7<tH5g7?xhb0;0;6?uG4d68ykc?;3:1>vF;e59~j`>32909wE:j4:ma=3=838pD9k;;|lf<3<72;qC8h:4}og;3?6=:rB?i95rnd:;>5<5sA>n86sae9;94?4|@=o?7p`j8`83>7}O<l>0qck7b;296~N3m=1vbh6l:181M2b<2wei5j50;0xL1c33tdn4h4?:3yK0`2<ugo3j7>52zJ7a1=zfl3;6=4={I6f0>{im0;1<7<tH5g7?xhb1;0;6?uG4d68ykc>;3:1>vF;e59~j`?32909wE:j4:ma<3=838pD9k;;|lf=3<72;qC8h:4}og:3?6=:rB?i95rnd;;>5<5sA>n86sae8;94?4|@=o?7p`j9`83>7}O<l>0qck6b;296~N3m=1vbh7l:181M2b<2wei4j50;0xL1c33tdn5h4?:3yK0`2<ugo2j7>52zJ7a1=zflk;6=4={I6f0>{imh;1<7<tH5g7?xhbi;0;6?uG4d68ykcf;3:1>vF;e59~j`g32909wE:j4:mad3=838pD9k;;|lfe3<72;qC8h:4}ogb3?6=:rB?i95rndc;>5<5sA>n86sae`;94?4|@=o?7p`ja`83>7}O<l>0qcknb;296~N3m=1vbhol:181M2b<2weilj50;0xL1c33tdnmh4?:3yK0`2<ugojj7>52zJ7a1=zflh;6=4={I6f0>{imk;1<7<tH5g7?xhbj;0;6?uG4d68ykce;3:1>vF;e59~j`d32909wE:j4:mag3=838pD9k;;|lff3<72;qC8h:4}oga3?6=:rB?i95rnd`;>5<5sA>n86saec;94?4|@=o?7p`jb`83>7}O<l>0qckmb;296~N3m=1vbhll:181M2b<2weioj50;0xL1c33tdnnh4?:3yK0`2<ugoij7>52zJ7a1=zfli;6=4={I6f0>{imj;1<7<tH5g7?xhbk;0;6?uG4d68ykcd;3:1>vF;e59~j`e32909wE:j4:maf3=838pD9k;;|lfg3<72;qC8h:4}og`3?6=:rB?i95rnda;>5<5sA>n86saeb;94?4|@=o?7p`jc`83>7}O<l>0qcklb;296~N3m=1vbhml:181M2b<2weinj50;0xL1c33tdnoh4?:3yK0`2<ugohj7>52zJ7a1=zfln;6=4={I6f0>{imm;1<7<tH5g7?xhbl;0;6?uG4d68ykcc;3:1>vF;e59~j`b32909wE:j4:maa3=838pD9k;;|lf`3<72;qC8h:4}ogg3?6=:rB?i95rndf;>5<5sA>n86saee;94?4|@=o?7p`jd`83>7}O<l>0qckkb;296~N3m=1vbhjl:181M2b<2weiij50;0xL1c33tdnhh4?:3yK0`2<ugi8<7>51zJ7a1=zfj3<6=4>{I6f0>{ik021<7?tH5g7?xhd100;6<uG4d68yke>i3:1=vF;e59~jf?e290:wE:j4:mg<e=83;pD9k;;|l`=a<728qC8h:4}oa:a?6=9rB?i95rnb;e>5<6sA>n86sac`294?7|@=o?7p`la083>4}O<l>0qcmn2;295~N3m=1vbno<:182M2b<2weol:50;3xL1c33tdhm84?:0yK0`2<ugij:7>51zJ7a1=zfjk<6=4>{I6f0>{ikh21<7?tH5g7?xhdi00;6<uG4d68ykefi3:1=vF;e59~jfge290:wE:j4:mgde=83;pD9k;;|l`ea<728qC8h:4}oaba?6=9rB?i95rnbce>5<6sA>n86sacc294?7|@=o?7p`lb083>4}O<l>0qcmm2;295~N3m=1vbnl<:182M2b<2weoo:50;3xL1c33tdhn84?:0yK0`2<ugii:7>51zJ7a1=zfjh<6=4>{I6f0>{ikk21<7?tH5g7?xhdj00;6<uG4d68ykeei3:1=vF;e59~jfde290:wE:j4:mgge=83;pD9k;;|l`fa<728qC8h:4}oaaa?6=9rB?i95rnb`e>5<6sA>n86sacb294?7|@=o?7p`lc083>4}O<l>0qcml2;295~N3m=1vbnm<:182M2b<2weon:50;3xL1c33tdho84?:0yK0`2<ugih:7>51zJ7a1=zfji<6=4>{I6f0>{ikj21<7?tH5g7?xhdk00;6<uG4d68ykedi3:1=vF;e59~jfee290:wE:j4:mgfe=83;pD9k;;|l`ga<728qC8h:4}oa`a?6=9rB?i95rnbae>5<6sA>n86sace294?7|@=o?7p`ld083>4}O<l>0qcmk2;295~N3m=1vbnj<:182M2b<2weoi:50;3xL1c33tdhh84?:0yK0`2<ugio:7>51zJ7a1=zfjn<6=4>{I6f0>{ikm21<7?tH5g7?xhdl00;6<uG4d68ykeci3:1=vF;e59~jfbe290:wE:j4:mgae=83;pD9k;;|l``a<728qC8h:4}oaga?6=9rB?i95rnbfe>5<6sA>n86sacd294?7|@=o?7p`le083>4}O<l>0qcmj2;295~N3m=1vbnk<:182M2b<2weoh:50;3xL1c33tdhi84?:0yK0`2<ugin:7>51zJ7a1=zfjo<6=4>{I6f0>{ikl21<7?tH5g7?xhdm00;6<uG4d68ykebi3:1=vF;e59~jfce290:wE:j4:mg`e=83;pD9k;;|l`aa<728qC8h:4}oafa?6=9rB?i95rnbge>5<6sA>n86sacg294?7|@=o?7p`lf083>4}O<l>0qcmi2;295~N3m=1vbnh<:182M2b<2weok:50;3xL1c33tdhj84?:0yK0`2<ugim:7>51zJ7a1=zfjl<6=4>{I6f0>{iko21<7?tH5g7?xhdn00;6<uG4d68ykeai3:1=vF;e59~jf`e290:wE:j4:mgce=83;pD9k;;|l`ba<728qC8h:4}oaea?6=9rB?i95rnbde>5<6sA>n86sad1294?7|@=o?7p`k0083>4}O<l>0qcj?2;295~N3m=1vbi><:182M2b<2weh=:50;3xL1c33tdo<84?:0yK0`2<ugn;:7>51zJ7a1=zfm:<6=4>{I6f0>{il921<7?tH5g7?xhc800;6<uG4d68ykb7i3:1=vF;e59~ja6e290:wE:j4:m`5e=83;pD9k;;|lg4a<728qC8h:4}of3a?6=9rB?i95rne2e>5<6sA>n86sad0294?7|@=o?7p`k1083>4}O<l>0qcj>2;295~N3m=1vbi?<:182M2b<2weh<:50;3xL1c33tdo=84?:0yK0`2<ugn::7>51zJ7a1=zfm;<6=4>{I6f0>{il821<7?tH5g7?xhc900;6<uG4d68ykb6i3:1=vF;e59~ja7e290:wE:j4:m`4e=83;pD9k;;|lg5a<728qC8h:4}of2a?6=9rB?i95rne3e>5<6sA>n86sad3294?7|@=o?7p`k2083>4}O<l>0qcj=2;295~N3m=1vbi<<:182M2b<2weh?:50;3xL1c33tdo>84?:0yK0`2<ugn9:7>51zJ7a1=zfm8<6=4>{I6f0>{il;21<7?tH5g7?xhc:00;6<uG4d68ykb5i3:1=vF;e59~ja4e290:wE:j4:m`7e=83;pD9k;;|lg6a<728qC8h:4}of1a?6=9rB?i95rne0e>5<6sA>n86sad2294?7|@=o?7p`k3083>4}O<l>0qcj<2;295~N3m=1vbi=<:182M2b<2weh>:50;3xL1c33tdo?84?:0yK0`2<ugn8:7>51zJ7a1=zfm9<6=4>{I6f0>{il:21<7?tH5g7?xhc;00;6<uG4d68ykb4i3:1=vF;e59~ja5e290:wE:j4:m`6e=83;pD9k;;|lg7a<728qC8h:4}of0a?6=9rB?i95rne1e>5<6sA>n86sad5294?7|@=o?7p`k4083>4}O<l>0qcj;2;295~N3m=1vbi:<:182M2b<2weh9:50;3xL1c33tdo884?:0yK0`2<ugn?:7>51zJ7a1=zfm><6=4>{I6f0>{il=21<7?tH5g7?xhc<00;6<uG4d68ykb3i3:1=vF;e59~ja2e290:wE:j4:m`1e=83;pD9k;;|lg0a<728qC8h:4}of7a?6=9rB?i95rne6e>5<6sA>n86sad4294?7|@=o?7p`k5083>4}O<l>0qcj:2;295~N3m=1vbi;<:182M2b<2weh8:50;3xL1c33tdo984?:0yK0`2<ugn>:7>51zJ7a1=zfm?<6=4>{I6f0>{il<21<7?tH5g7?xhc=00;6<uG4d68ykb2i3:1=vF;e59~ja3e290:wE:j4:m`0e=83;pD9k;;|lg1a<728qC8h:4}of6a?6=9rB?i95rne7e>5<6sA>n86sad7294?7|@=o?7p`k6083>4}O<l>0qcj92;295~N3m=1vbi8<:182M2b<2weh;:50;3xL1c33tdo:84?:0yK0`2<ugn=:7>51zJ7a1=zfm<<6=4>{I6f0>{il?21<7?tH5g7?xhc>00;6<uG4d68ykb1i3:1=vF;e59~ja0e290:wE:j4:m`3e=83;pD9k;;|lg2a<728qC8h:4}of5a?6=9rB?i95rne4e>5<6sA>n86sad6294?7|@=o?7p`k7083>4}O<l>0qcj82;295~N3m=1vbi9<:182M2b<2weh::50;3xL1c33tdo;84?:0yK0`2<ugn<:7>51zJ7a1=zfm=<6=4>{I6f0>{il>21<7?tH5g7?xhc?00;6<uG4d68ykb0i3:1=vF;e59~ja1e290:wE:j4:m`2e=83;pD9k;;|lg3a<728qC8h:4}of4a?6=9rB?i95rne5e>5<6sA>n86sad9294?7|@=o?7p`k8083>4}O<l>0qcj72;295~N3m=1vbi6<:182M2b<2weh5:50;3xL1c33tdo484?:0yK0`2<ugn3:7>51zJ7a1=zfm2<6=4>{I6f0>{il121<7?tH5g7?xhc000;6<uG4d68ykb?i3:1=vF;e59~ja>e290:wE:j4:m`=e=83;pD9k;;|lg<a<728qC8h:4}of;a?6=9rB?i95rne:e>5<6sA>n86sad8294?7|@=o?7p`k9083>4}O<l>0qcj62;295~N3m=1vbi7<:182M2b<2weh4:50;3xL1c33tdo584?:0yK0`2<ugn2:7>51zJ7a1=zfm3<6=4>{I6f0>{il021<7?tH5g7?xhc100;6<uG4d68ykb>i3:1=vF;e59~ja?e290:wE:j4:m`<e=83;pD9k;;|lg=a<728qC8h:4}of:a?6=9rB?i95rne;e>5<6sA>n86sad`294?7|@=o?7p`ka083>4}O<l>0qcjn2;295~N3m=1vbio<:182M2b<2wehl:50;3xL1c33tdom84?:0yK0`2<ugnj:7>51zJ7a1=zfmk<6=4>{I6f0>{ilh21<7?tH5g7?xhci00;6<uG4d68ykbfi3:1=vF;e59~jage290:wE:j4:m`de=83;pD9k;;|lgea<728qC8h:4}ofba?6=9rB?i95rnece>5<6sA>n86sadc294?7|@=o?7p`kb083>4}O<l>0qcjm2;295~N3m=1vbil<:182M2b<2weho:50;3xL1c33tdon84?:0yK0`2<ugni:7>51zJ7a1=zfmh<6=4>{I6f0>{ilk21<7?tH5g7?xhcj00;6<uG4d68ykbei3:1=vF;e59~jade290:wE:j4:m`ge=83;pD9k;;|lgfa<728qC8h:4}ofaa?6=9rB?i95rne`e>5<6sA>n86sadb294?7|@=o?7p`kc083>4}O<l>0qcjl2;295~N3m=1vbim<:182M2b<2wehn:50;3xL1c33tdoo84?:0yK0`2<ugnh:7>51zJ7a1=zfmi<6=4>{I6f0>{ilj21<7?tH5g7?xhck00;6<uG4d68ykbdi3:1=vF;e59~jaee290:wE:j4:m`fe=83;pD9k;;|lgga<728qC8h:4}of`a?6=9rB?i95rneae>5<6sA>n86sade294?7|@=o?7p`kd083>4}O<l>0qcjk2;295~N3m=1vbij<:182M2b<2wehi:50;3xL1c33tdoh84?:0yK0`2<ugno:7>51zJ7a1=zfmn<6=4>{I6f0>{ilm21<7?tH5g7?xhcl00;6<uG4d68ykbci3:1=vF;e59~jabe290:wE:j4:m`ae=83;pD9k;;|lg`a<728qC8h:4}ofga?6=9rB?i95rnefe>5<6sA>n86sadd294?7|@=o?7p`ke083>4}O<l>0qcjj2;295~N3m=1vbik<:182M2b<2wehh:50;3xL1c33tdoi84?:0yK0`2<ugnn:7>51zJ7a1=zfmo<6=4>{I6f0>{ill21<7?tH5g7?xhcm00;6<uG4d68ykbbi3:1=vF;e59~jace290:wE:j4:m``e=83;pD9k;;|lgaa<728qC8h:4}offa?6=9rB?i95rnege>5<6sA>n86sadg294?7|@=o?7p`kf083>4}O<l>0qcji2;295~N3m=1vbih<:182M2b<2wehk:50;3xL1c33tdoj84?:0yK0`2<ugnm:7>51zJ7a1=zfml<6=4>{I6f0>{ilo21<7?tH5g7?xhcn00;6<uG4d68ykbai3:1=vF;e59~ja`e290:wE:j4:m`ce=83;pD9k;;|lgba<728qC8h:4}ofea?6=9rB?i95rnede>5<6sA>n86sae1294?7|@=o?7p`j0083>4}O<l>0qck?2;295~N3m=1vbh><:182M2b<2wei=:50;3xL1c33tdn<84?:0yK0`2<ugo;:7>51zJ7a1=zfl:<6=4>{I6f0>{im921<7?tH5g7?xhb800;6<uG4d68ykc7i3:1=vF;e59~j`6e290:wE:j4:ma5e=83;pD9k;;|lf4a<728qC8h:4}og3a?6=9rB?i95rnd2e>5<6sA>n86sae0294?7|@=o?7p`j1083>4}O<l>0qck>2;295~N3m=1vbh?<:182M2b<2wei<:50;3xL1c33tdn=84?:0yK0`2<ugo::7>51zJ7a1=zfl;<6=4>{I6f0>{im821<7?tH5g7?xhb900;6<uG4d68ykc6i3:1=vF;e59~j`7e290:wE:j4:ma4e=83;pD9k;;|lf5a<728qC8h:4}og2a?6=9rB?i95rnd3e>5<6sA>n86sae3294?7|@=o?7p`j2083>4}O<l>0qck=2;295~N3m=1vbh<<:182M2b<2wei?:50;3xL1c33tdn>84?:0yK0`2<ugo9:7>51zJ7a1=zfl8<6=4>{I6f0>{im;21<7?tH5g7?xhb:00;6<uG4d68ykc5i3:1=vF;e59~j`4e290:wE:j4:ma7e=83;pD9k;;|lf6a<728qC8h:4}og1a?6=9rB?i95rnd0e>5<6sA>n86sae2294?7|@=o?7p`j3083>4}O<l>0qck<2;295~N3m=1vbh=<:182M2b<2wei>:50;3xL1c33tdn?84?:0yK0`2<ugo8:7>51zJ7a1=zfl9<6=4>{I6f0>{im:21<7?tH5g7?xhb;00;6<uG4d68ykc4i3:1=vF;e59~j`5e290:wE:j4:ma6e=83;pD9k;;|lf7a<728qC8h:4}og0a?6=9rB?i95rnd1e>5<6sA>n86sae5294?7|@=o?7p`j4083>4}O<l>0qck;2;295~N3m=1vbh:<:182M2b<2wei9:50;3xL1c33tdn884?:0yK0`2<ugo?:7>51zJ7a1=zfl><6=4>{I6f0>{im=21<7?tH5g7?xhb<00;6<uG4d68ykc3i3:1=vF;e59~j`2e290:wE:j4:ma1e=83;pD9k;;|lf0a<728qC8h:4}og7a?6=9rB?i95rnd6e>5<6sA>n86sae4294?7|@=o?7p`j5083>4}O<l>0qck:2;295~N3m=1vbh;<:182M2b<2wei8:50;3xL1c33tdn984?:0yK0`2<ugo>:7>51zJ7a1=zfl?<6=4>{I6f0>{im<21<7?tH5g7?xhb=00;6<uG4d68ykc2i3:1=vF;e59~j`3e290:wE:j4:ma0e=83;pD9k;;|lf1a<728qC8h:4}og6a?6=9rB?i95rnd7e>5<6sA>n86sae7294?7|@=o?7p`j6083>4}O<l>0qck92;295~N3m=1vbh8<:182M2b<2wei;:50;3xL1c33tdn:84?:0yK0`2<ugo=:7>51zJ7a1=zfl<<6=4>{I6f0>{im?21<7?tH5g7?xhb>00;6<uG4d68ykc1i3:1=vF;e59~j`0e290:wE:j4:ma3e=83;pD9k;;|lf2a<728qC8h:4}og5a?6=9rB?i95rnd4e>5<6sA>n86sae6294?7|@=o?7p`j7083>4}O<l>0qck82;295~N3m=1vbh9<:182M2b<2wei::50;3xL1c33tdn;84?:0yK0`2<ugo<:7>51zJ7a1=zfl=<6=4>{I6f0>{zutJKOv?>2985==>6l;3vLMLt0|BCT~{GH
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.sym b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.sym deleted file mode 100644 index 5d56b5c98..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.sym +++ /dev/null @@ -1,74 +0,0 @@ -VERSION 5 -BEGIN SYMBOL fifo_xlnx_2Kx36_2clk -SYMBOLTYPE BLOCK -TIMESTAMP 2009 9 3 17 25 13 -SYMPIN 0 80 Input din[35:0] -SYMPIN 0 144 Input wr_en -SYMPIN 0 176 Input wr_clk -SYMPIN 0 240 Input rd_en -SYMPIN 0 272 Input rd_clk -SYMPIN 144 704 Input rst -SYMPIN 576 80 Output dout[35:0] -SYMPIN 576 208 Output full -SYMPIN 576 368 Output wr_data_count[11:0] -SYMPIN 576 432 Output empty -SYMPIN 576 592 Output rd_data_count[11:0] -BEGIN DISPLAY 32 32 TEXT fifo_xlnx_2Kx36_2clk - FONT 40 "Arial" -END DISPLAY -RECTANGLE N 32 32 544 672 -BEGIN LINE W 0 80 32 80 -END LINE -BEGIN DISPLAY 36 80 PIN din[35:0] ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 144 32 144 -BEGIN DISPLAY 36 144 PIN wr_en ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 176 32 176 -BEGIN DISPLAY 36 176 PIN wr_clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 240 32 240 -BEGIN DISPLAY 36 240 PIN rd_en ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 272 32 272 -BEGIN DISPLAY 36 272 PIN rd_clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 144 704 144 672 -BEGIN DISPLAY 144 668 PIN rst ATTR PinName - ALIGNMENT BCENTER - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 80 544 80 -END LINE -BEGIN DISPLAY 540 80 PIN dout[35:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -LINE N 576 208 544 208 -BEGIN DISPLAY 540 208 PIN full ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 368 544 368 -END LINE -BEGIN DISPLAY 540 368 PIN wr_data_count[11:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -LINE N 576 432 544 432 -BEGIN DISPLAY 540 432 PIN empty ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 592 544 592 -END LINE -BEGIN DISPLAY 540 592 PIN rd_data_count[11:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -END SYMBOL diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v deleted file mode 100644 index 0762b3ae9..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_2Kx36_2clk.v when simulating -// the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_2Kx36_2clk( - din, - rd_clk, - rd_en, - rst, - wr_clk, - wr_en, - dout, - empty, - full, - rd_data_count, - wr_data_count); - - -input [35 : 0] din; -input rd_clk; -input rd_en; -input rst; -input wr_clk; -input wr_en; -output [35 : 0] dout; -output empty; -output full; -output [11 : 0] rd_data_count; -output [11 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V4_3 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(12), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("2kx18"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(2047), - .C_PROG_FULL_THRESH_NEGATE_VAL(2046), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(12), - .C_RD_DEPTH(2048), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(11), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(12), - .C_WR_DEPTH(2048), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(11), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .DIN(din), - .RD_CLK(rd_clk), - .RD_EN(rd_en), - .RST(rst), - .WR_CLK(wr_clk), - .WR_EN(wr_en), - .DOUT(dout), - .EMPTY(empty), - .FULL(full), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .CLK(), - .INT_CLK(), - .BACKUP(), - .BACKUP_MARKER(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .RD_RST(), - .SRST(), - .WR_RST(), - .ALMOST_EMPTY(), - .ALMOST_FULL(), - .DATA_COUNT(), - .OVERFLOW(), - .PROG_EMPTY(), - .PROG_FULL(), - .VALID(), - .UNDERFLOW(), - .WR_ACK(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -// XST black box declaration -// box_type "black_box" -// synthesis attribute box_type of fifo_xlnx_2Kx36_2clk is "black_box" - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.veo deleted file mode 100644 index af9191555..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_2Kx36_2clk YourInstanceName ( - .din(din), // Bus [35 : 0] - .rd_clk(rd_clk), - .rd_en(rd_en), - .rst(rst), - .wr_clk(wr_clk), - .wr_en(wr_en), - .dout(dout), // Bus [35 : 0] - .empty(empty), - .full(full), - .rd_data_count(rd_data_count), // Bus [11 : 0] - .wr_data_count(wr_data_count)); // Bus [11 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_2Kx36_2clk.v when simulating -// the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd deleted file mode 100644 index 53033dc97..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vhd +++ /dev/null @@ -1,156 +0,0 @@ --------------------------------------------------------------------------------- --- This file is owned and controlled by Xilinx and must be used -- --- solely for design, simulation, implementation and creation of -- --- design files limited to Xilinx devices or technologies. Use -- --- with non-Xilinx devices or technologies is expressly prohibited -- --- and immediately terminates your license. -- --- -- --- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- --- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- --- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- --- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- --- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- --- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- --- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- --- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- --- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- --- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- --- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- --- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- --- FOR A PARTICULAR PURPOSE. -- --- -- --- Xilinx products are not intended for use in life support -- --- appliances, devices, or systems. Use in such applications are -- --- expressly prohibited. -- --- -- --- (c) Copyright 1995-2007 Xilinx, Inc. -- --- All rights reserved. -- --------------------------------------------------------------------------------- --- You must compile the wrapper file fifo_xlnx_2Kx36_2clk.vhd when simulating --- the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to --- reference the XilinxCoreLib VHDL simulation library. For detailed --- instructions, please refer to the "CORE Generator Help". - --- The synthesis directives "translate_off/translate_on" specified --- below are supported by Xilinx, Mentor Graphics and Synplicity --- synthesis tools. Ensure they are correct for your synthesis tool(s). - -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; --- synthesis translate_off -Library XilinxCoreLib; --- synthesis translate_on -ENTITY fifo_xlnx_2Kx36_2clk IS - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(11 downto 0); - wr_data_count: OUT std_logic_VECTOR(11 downto 0)); -END fifo_xlnx_2Kx36_2clk; - -ARCHITECTURE fifo_xlnx_2Kx36_2clk_a OF fifo_xlnx_2Kx36_2clk IS --- synthesis translate_off -component wrapped_fifo_xlnx_2Kx36_2clk - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(11 downto 0); - wr_data_count: OUT std_logic_VECTOR(11 downto 0)); -end component; - --- Configuration specification - for all : wrapped_fifo_xlnx_2Kx36_2clk use entity XilinxCoreLib.fifo_generator_v4_3(behavioral) - generic map( - c_has_int_clk => 0, - c_rd_freq => 1, - c_wr_response_latency => 1, - c_has_srst => 0, - c_has_rd_data_count => 1, - c_din_width => 36, - c_has_wr_data_count => 1, - c_full_flags_rst_val => 1, - c_implementation_type => 2, - c_family => "spartan3", - c_use_embedded_reg => 0, - c_has_wr_rst => 0, - c_wr_freq => 1, - c_use_dout_rst => 1, - c_underflow_low => 0, - c_has_meminit_file => 0, - c_has_overflow => 0, - c_preload_latency => 0, - c_dout_width => 36, - c_msgon_val => 1, - c_rd_depth => 2048, - c_default_value => "BlankString", - c_mif_file_name => "BlankString", - c_has_underflow => 0, - c_has_rd_rst => 0, - c_has_almost_full => 0, - c_has_rst => 1, - c_data_count_width => 12, - c_has_wr_ack => 0, - c_use_ecc => 0, - c_wr_ack_low => 0, - c_common_clock => 0, - c_rd_pntr_width => 11, - c_use_fwft_data_count => 1, - c_has_almost_empty => 0, - c_rd_data_count_width => 12, - c_enable_rlocs => 0, - c_wr_pntr_width => 11, - c_overflow_low => 0, - c_prog_empty_type => 0, - c_optimization_mode => 0, - c_wr_data_count_width => 12, - c_preload_regs => 1, - c_dout_rst_val => "0", - c_has_data_count => 0, - c_prog_full_thresh_negate_val => 2046, - c_wr_depth => 2048, - c_prog_empty_thresh_negate_val => 5, - c_prog_empty_thresh_assert_val => 4, - c_has_valid => 0, - c_init_wr_pntr_val => 0, - c_prog_full_thresh_assert_val => 2047, - c_use_fifo16_flags => 0, - c_has_backup => 0, - c_valid_low => 0, - c_prim_fifo_type => "2kx18", - c_count_type => 0, - c_prog_full_type => 0, - c_memory_type => 1); --- synthesis translate_on -BEGIN --- synthesis translate_off -U0 : wrapped_fifo_xlnx_2Kx36_2clk - port map ( - din => din, - rd_clk => rd_clk, - rd_en => rd_en, - rst => rst, - wr_clk => wr_clk, - wr_en => wr_en, - dout => dout, - empty => empty, - full => full, - rd_data_count => rd_data_count, - wr_data_count => wr_data_count); --- synthesis translate_on - -END fifo_xlnx_2Kx36_2clk_a; - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho deleted file mode 100644 index 5165b0bc4..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.vho +++ /dev/null @@ -1,76 +0,0 @@ --------------------------------------------------------------------------------- --- This file is owned and controlled by Xilinx and must be used -- --- solely for design, simulation, implementation and creation of -- --- design files limited to Xilinx devices or technologies. Use -- --- with non-Xilinx devices or technologies is expressly prohibited -- --- and immediately terminates your license. -- --- -- --- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- --- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- --- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- --- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- --- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- --- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- --- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- --- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- --- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- --- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- --- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- --- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- --- FOR A PARTICULAR PURPOSE. -- --- -- --- Xilinx products are not intended for use in life support -- --- appliances, devices, or systems. Use in such applications are -- --- expressly prohibited. -- --- -- --- (c) Copyright 1995-2007 Xilinx, Inc. -- --- All rights reserved. -- --------------------------------------------------------------------------------- --- The following code must appear in the VHDL architecture header: - -------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG -component fifo_xlnx_2Kx36_2clk - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(11 downto 0); - wr_data_count: OUT std_logic_VECTOR(11 downto 0)); -end component; - --- Synplicity black box declaration -attribute syn_black_box : boolean; -attribute syn_black_box of fifo_xlnx_2Kx36_2clk: component is true; - --- COMP_TAG_END ------ End COMPONENT Declaration ------------ - --- The following code must appear in the VHDL architecture --- body. Substitute your own instance name and net names. - -------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG -your_instance_name : fifo_xlnx_2Kx36_2clk - port map ( - din => din, - rd_clk => rd_clk, - rd_en => rd_en, - rst => rst, - wr_clk => wr_clk, - wr_en => wr_en, - dout => dout, - empty => empty, - full => full, - rd_data_count => rd_data_count, - wr_data_count => wr_data_count); --- INST_TAG_END ------ End INSTANTIATION Template ------------ - --- You must compile the wrapper file fifo_xlnx_2Kx36_2clk.vhd when simulating --- the core, fifo_xlnx_2Kx36_2clk. When compiling the wrapper file, be sure to --- reference the XilinxCoreLib VHDL simulation library. For detailed --- instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.xco deleted file mode 100644 index e25ad38da..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk.xco +++ /dev/null @@ -1,82 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version K.39 -# Date: Thu Sep 3 17:25:43 2009 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = False -SET asysymbol = True -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = False -SET designentry = VHDL -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Foundation_iSE -SET formalverification = False -SET foundationsym = False -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = False -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = True -SET vhdlsim = True -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 4.3 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_2Kx36_2clk -CSET data_count=false -CSET data_count_width=12 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=2047 -CSET full_threshold_negate_value=2046 -CSET input_data_width=36 -CSET input_depth=2048 -CSET output_data_width=36 -CSET output_depth=2048 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=12 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=12 -# END Parameters -GENERATE -# CRC: 2ae9f6ef - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso deleted file mode 100644 index f1a6f7899..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.lso +++ /dev/null @@ -1,3 +0,0 @@ -blkmemdp_v6_2 -blk_mem_gen_v2_6 -fifo_generator_v4_3 diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt deleted file mode 100644 index 5108be2c5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +++ /dev/null @@ -1,106 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> -<document OS="lin64" product="ISE" version="10.1.03"> - - <!--The data in this file is primarily intended for consumption by Xilinx tools. - The structure and the elements are likely to change over the next few releases. - This means code written to parse this file will need to be revisited each subsequent release.--> - - <application stringID="Xst" timeStamp="Thu Sep 3 10:25:17 2009"> - <section stringID="XST_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_11BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="31"> - <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/> - <item dataType="int" stringID="XST_11BIT_REGISTER" value="11"/> - <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_36BIT_REGISTER" value="1"/> - </item> - <item dataType="int" stringID="XST_XORS" value="91"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="90"/> - <item dataType="int" stringID="XST_1BIT_XOR6" value="1"/> - </item> - </section> - <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_FSMS" value="1"/> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_11BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="189"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="189"/> - </item> - <item dataType="int" stringID="XST_XORS" value="91"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="90"/> - <item dataType="int" stringID="XST_1BIT_XOR6" value="1"/> - </item> - </section> - <section stringID="XST_FINAL_REGISTER_REPORT"> - <item dataType="int" stringID="XST_REGISTERS" value="222"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="222"/> - </item> - </section> - <section stringID="XST_PARTITION_REPORT"> - <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - </section> - <section stringID="XST_FINAL_REPORT"> - <section stringID="XST_FINAL_RESULTS"> - <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="/home/matt/coregen/tmp/_cg/fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc"/> - <item stringID="XST_OUTPUT_FORMAT" value="NGC"/> - <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/> - <item stringID="XST_KEEP_HIERARCHY" value="no"/> - </section> - <section stringID="XST_DESIGN_STATISTICS"> - <item stringID="XST_IOS" value="198"/> - </section> - <section stringID="XST_CELL_USAGE"> - <item dataType="int" stringID="XST_BELS" value="283"> - <item dataType="int" stringID="XST_GND" value="1"/> - <item dataType="int" stringID="XST_INV" value="1"/> - <item dataType="int" stringID="XST_LUT1" value="22"/> - <item dataType="int" stringID="XST_LUT2" value="63"/> - <item dataType="int" stringID="XST_LUT3" value="19"/> - <item dataType="int" stringID="XST_LUT3D" value="1"/> - <item dataType="int" stringID="XST_LUT3L" value="3"/> - <item dataType="int" stringID="XST_LUT4" value="62"/> - <item dataType="int" stringID="XST_LUT4D" value="2"/> - <item dataType="int" stringID="XST_MUXCY" value="64"/> - <item dataType="int" stringID="XST_VCC" value="1"/> - <item dataType="int" stringID="XST_XORCY" value="44"/> - </item> - <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="222"> - <item dataType="int" stringID="XST_FD" value="4"/> - <item dataType="int" stringID="XST_FDC" value="103"/> - <item dataType="int" stringID="XST_FDCE" value="88"/> - <item dataType="int" stringID="XST_FDP" value="10"/> - <item dataType="int" stringID="XST_FDPE" value="5"/> - </item> - <item dataType="int" stringID="XST_RAMS" value="4"> - <item dataType="int" stringID="XST_RAMB16S9S9" value="4"/> - </item> - </section> - </section> - <section stringID="XST_DEVICE_UTILIZATION_SUMMARY"> - <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/> - <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" value="162"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="222"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="173"/> - <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="198"/> - <item AVAILABLE="333" dataType="int" stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/> - <item AVAILABLE="40" dataType="int" stringID="XST_NUMBER_OF_BRAMS" value="4"/> - </section> - <section stringID="XST_PARTITION_RESOURCE_SUMMARY"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - <section stringID="XST_ERRORS_STATISTICS"> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="196"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="29"/> - </section> - </application> - -</document> diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_flist.txt deleted file mode 100644 index 670d84713..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_flist.txt +++ /dev/null @@ -1,12 +0,0 @@ -# Output products list for <fifo_xlnx_2Kx36_2clk> -fifo_xlnx_2Kx36_2clk.asy -fifo_xlnx_2Kx36_2clk.ngc -fifo_xlnx_2Kx36_2clk.sym -fifo_xlnx_2Kx36_2clk.v -fifo_xlnx_2Kx36_2clk.veo -fifo_xlnx_2Kx36_2clk.vhd -fifo_xlnx_2Kx36_2clk.vho -fifo_xlnx_2Kx36_2clk.xco -fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -fifo_xlnx_2Kx36_2clk_flist.txt -fifo_xlnx_2Kx36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_readme.txt deleted file mode 100644 index 1879503a9..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_readme.txt +++ /dev/null @@ -1,55 +0,0 @@ -The following files were generated for 'fifo_xlnx_2Kx36_2clk' in directory -/home/matt/gnuradio.git/usrp2/fpga/coregen/: - -fifo_xlnx_2Kx36_2clk.asy: - Graphical symbol information file. Used by the ISE tools and some - third party tools to create a symbol representing the core. - -fifo_xlnx_2Kx36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_2Kx36_2clk.sym: - Please see the core data sheet. - -fifo_xlnx_2Kx36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_2Kx36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_2Kx36_2clk.vhd: - VHDL wrapper file provided to support functional simulation. This - file contains simulation model customization data that is passed to - a parameterized simulation model for the core. - -fifo_xlnx_2Kx36_2clk.vho: - VHO template file containing code that can be used as a model for - instantiating a CORE Generator module in a VHDL design. - -fifo_xlnx_2Kx36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: - Please see the core data sheet. - -fifo_xlnx_2Kx36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - -fifo_xlnx_2Kx36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_2Kx36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl deleted file mode 100644 index cac25efd2..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_2Kx36_2clk_xmdf.tcl +++ /dev/null @@ -1,84 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_2Kx36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_2Kx36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_2Kx36_2clk -} -# ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_2Kx36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.asy -utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.sym -utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.vhd -utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.vho -utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_2Kx36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_2Kx36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.gise b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.gise deleted file mode 100644 index 70ee54054..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.gise +++ /dev/null @@ -1,30 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_xlnx_32x36_2clk.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_xlnx_32x36_2clk.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ncf b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ncf deleted file mode 100644 index e69de29bb..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ncf +++ /dev/null diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ngc deleted file mode 100644 index d1ed419a7..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$56140<,[o}e~g`n;"2*726&;$9,)<>;.vnt*Ydo&lbjbQwloz\77~4>V8h`f agn3847=7081:?6?!039244=5<288?>?=;249MKVR\3nbb1=::1<20>512F__\XZ5dnww863=87n0?~<?01dd000*=81?86:?FG6811379l1>6D@_UU8svjaXmdzuRzgrdqk81<76;?097GAPTV9twi`Wlg{xtQ{hsgplZgt{lx094?>3781?OIX\^1|ah_dosp|Ys`{oxdR`jg`vf81<768n097GAPTV9twi`Wog`Rzgrdqk81<76;>097GAPTV9twi`Wog`Rzgrdqk[dutm{~787>12596>LHW]]0{~biPftno[qnumzbTbhintd>7>58602?1CXZ_UU8geqgXkfex1:50?3a?0<H]]Z^X7|k_ecweZeh}g~787>11c96>JSSX\^1{Qkauc\gjsi|5>1<3?46595=<053?K?7;ONA394B1=?:=;?75:=159;A=G630805=:491230>?780805;<4A108E44<I;80M><4A7;8EV_IKVXNK>5MU3:8FPUXAGLD=6M=;BG26>EOMJAT@DMJNRG\P\VB:2IB?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;EK0?AVH=2N[^L>:;ERQE43<LYXJ>85KPSC06>C3<2OHM=<4F308BA5<NMI?7KJLE29E@U2<NMZN=6I<;FLG5>O53@:97D?=;H01?L5>3@DBX^ZNTD18MKP53EC97AA9;MMB@@B03EELENOC4:NVP70<D\^9SA:4LTV02>JR\:UG86BZT548HPR3WE?0A^I@N49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm6>H6<2D:<=:4N0220>H68;>0B<><4:L2412<F8:>86@>0768J460<2D:<5:4N02:7>H69=1E=<>;;O3251=I988?7C?>359M54233G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<<=3:L276=I9=>0B<:>3:L216=I9?90B<9<;O3;7>H61:1E>==4N330?K45;2D9?>5A2518J7343G8=?6@=729M6=5<F;387C=?3:L056=I;;90B>=<;O177>H4=:1E?;=4N250?K5?;2D85>5A4118J1743G>9?6@;329M015<F=?87C:93:L736=I<180B8<4N618J=443G28?6@7429M<05<F1<87C683:L;<6=I0080B4=4N820?K?6;2D2>>5A9218J<243G3>?6@6629M=25<F0287C76f:LA[GSTX@DT\_A_S69MAQQHZB;0C?5@K09S0>VFZ]k0\D@PBTQJ@]d<X@DTNX]AALG0?UTB92[37_OB17Z2@4=T>2YDY_MJ3:QSK1=SQYO8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4567W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;=R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?03]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3455XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:;S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?1^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2347YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt7899T^h}zlu315>S7'nxm"h gbz-gim'{nT|cz}_ckm858592_;#j|i.sd,cf~)keas#jPpovq[goi4849=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<2<15>S7'nxm"h gbz-gim'{nT|cz}_ckm818582_;#j|i.sd,cf~)keas#jPpovq[goiW98;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT=?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ=219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^114>S7'nxm"h gbz-gim'{nT|cz}_ckm[1413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:h1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?3^QT476<]9%l~k }f.e`|+ekcq%yhR~ats]dg969:91^<"i}f/pe+be&jf`t"|k_qlwvZad4849<6[?/fpe*w`(ojr%oaew/sf\tkruWni7>3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2<>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=6=5c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[57a3\:$kh!rg-dg}(ddbr$~iQnup\cfY69o1^<"i}f/pe+be&jf`t"|k_qlwvZadW;;m7X> gsd-vc)`kq$h`fv re]sjqtXojU8=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS9<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4=4996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^211>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV;996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^011>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^61=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0=0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><2<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:090=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W9837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\57><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q=299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V9946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[1423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<=<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2344423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<?<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2346463\:$kh!rg-dg}(ddbr${Qnup\flh;878:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7=3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`32?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?7;463\:$kh!rg-dg}(ddbr${Qnup\flh;<78;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT<?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ>219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^014>S7'nxm"h gbz-gim'~xT|cz}_ckm[6473\:$kh!rg-dg}(ddbr${Qnup\flhX<;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0104?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789::>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123571<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<<?=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4565:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?2005?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt78999m6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0120[VQ7:91^<"i}f/pe+be&jf`t"y}_qlwvZad4949<6[?/fpe*w`(ojr%oaew/vp\tkruWni7=3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2=>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=1=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`8186n2_;#j|i.sd,cf~)keas#z|Ppovq[beX88l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV;:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT><h4U1-dvc(un&mht#mcky-tvZvi|{UloR=>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP4348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;878=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<0<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo585>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>0:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm783<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[5423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS<<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[7423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS><:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[14>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?30?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7=3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;:7827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?7;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?34?0;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T<?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y6:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^01<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S><7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X<;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?0378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv5679;?0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVrd~=>?2378Q5)`zo$yj"ilx/aoo})pzVzexQhc^zlv567;::0Y=!hrg,qb*ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN]8:??5Z0.eqb+ta'nf;"j gs3-vcqa|&GfyuQ]AL]Q@ZCIWO]MX??P13d8Q5)`zo$yj"ic0/pg+bt6&{l|jy!Bmtz\VDKXZLMDYYQJN031`>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj<1<1`>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj<0<1g>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_10`?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykP1278Q5)`zo$yj"ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQbuy2344:76:<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`Yj}q:;<<2?>016?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPmtz3457;979=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXe|r;<=?31?31<>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~Tjo<8;T2,cw`)zo%l`= }d.eq5+tao~$i`~{y^dtbqYn:o1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h]nq}67899;7X> gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]j[hs89:;=?74U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXa::0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;?>5Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYnWfx;<=>>1410?P6(o{l%~k!hl1,q`*auiz$yy} r`o\ahvsqVliSdQ`r1234431;:1^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789::95=<;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?00:077=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np34565>:80Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;:?==;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?07117>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex><;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw064<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?32[LHQW98h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq84869;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?6;76:m1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>0:476:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>0:445k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=6=547f3\:$kh!rg-dh5(ul&x{by| N032b>S7'nxm"h gm2-va)uxg~y#naznu>3:4`<]9%l~k }f.eo4+tc'{zex!lotlw8486n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:568l0Y=!hrg,qb*ak8'xo#~ats-`kphs4:4:j6[?/fpe*w`(oe:%~i!}povq+firf}6?2<k4U1-dvc(un&mg<#|k/srmpw)dg|dS=?j;T2,cw`)zo%l`= }d.psjqt(kfexR?>e:W3+bta&{l$ka>!re-qtkru'je~byQ=1d9V4*aun'xm#jb?.sf,vuhsz&idyczP30g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_501?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64=49=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R>=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^315>S7'nxm"h gm2-va)uxg~y#naznu]g5Z4592_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9V99=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R:=4:W3+bta&{l$ka>!re-qtkru'je~byQaou23445a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}969;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;979m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq585?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7?3=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1:1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<0<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?6;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:46=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5>58=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We080;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|34?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qwos>6:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8185n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:26;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW=8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV?8;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>7:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;080=a:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/qplcZ`rdeUb??5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?3218Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy234674:2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[kis89::>;5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#iazt^k1270<]9%l~k }f.eo4+qu'n}j#y|tr-`6*bh}}Ub:?<9;T2,cw`)zo%l`= xr.etev(p{}y$o?!kotv\m2>582_;#j|i.sd,ci6){%l{l}!wrvp+fijx;8h7X> gsd-vc)`d9$|~"ixar,twqu(zhgTmac`su]eqijXa:>0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUj``a|t^dvhiYnWds<=>?369V4*aun'xm#jb?.vp,crgt&~y"|nm^coijusWog`RgPmtz345668>9=7X> gsd-vc)`d9$|~"ixar,twqu(zhgTmac`su]eqijXaVg~t=>?03402>S7'nxm"h gm2-sw)`hy%{~z|/scn[djjgz~Tjxbc_h]nq}6789<<?;5Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRocmnqw[cskdVcTaxv?0125=63<]9%l~k }f.eo4+qu'n}j#y|tr-qehYfddexxRhzlm]j[kis89::=?64U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\bgYn:<1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf2e9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWds<=>?319V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWds<=>?1171b>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVcTaxv?012137`<]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTeRczx1234355n2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`RgPmtz3456018n0Y=!hrg,qb*ak8'}y#ob_vp\akYn98l0Y=!hrg,qb*ak8'}y#ob_vp\akYn9V;9?6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr6:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by<=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp6433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d8><4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;87;:SD@Y_10`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0<0>13a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7>3?>2e9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{682<?>2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{682<<=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5>5=<?n;T2,cw`)zo%l`= xr.usjqt(F8;:j6[?/fpe*w`(oe:%{!xpovq+firf}6;2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d0<0>f:W3+bta&{l$ka>!ws-ttkru'je~by2=>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<2<2b>S7'nxm"h gm2-sw)pxg~y#naznu>7:4c<]9%l~k }f.eo4+qu'~zex!lotlw[57b3\:$kh!rg-dh5(pz&}{by| cnwmpZ76m2_;#j|i.sd,ci6){%||cz}/bmvjqY59l1^<"i}f/pe+bj7&~x${}`{r.alqkrX;8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW=897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><5<15>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z6592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V;9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^115>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z25:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5:5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?6;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54:49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1:1209V4*aun'xm#jb?.vp,suhsz&idyczPd3]364=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q<209V4*aun'xm#jb?.vp,suhsz&idyczPd3]767=R8&myj#|i/fn3*rt(yd~"m`uov\`6:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm97=3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj<<3<16>S7'nxm"h gm2-sw)pxg~y#naznu]g7959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl:6?2??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1\577<]9%l~k }f.eo4+qu'~zex!lotlw[a5X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm9T???4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=P4368Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_omw45669>1^<"i}f/pe+hcj'me~xRg30?3;?P6(o{l%~k!bel-gkprXa5;;2<64U1-dvc(un&gna"j`uu]j8479911^<"i}f/pe+hcj'me~xRg313<2<>S7'nxm"h mdo,`jssW`6:?3?7;T2,cw`)zo%fi`!kotv\m9736820Y=!hrg,qb*kbe&ndyyQf<07=5==R8&myj#|i/lgn+air|Vc7=;0>8:W3+bta&{l$ahc dnww[l:6?7;37X> gsd-vc)jmd%ocxzPi=3;:4><]9%l~k }f.ofi*bh}}Ub0<71169V4*aun'xm#`kb/emvpZo;97;37X> gsd-vc)jmd%ocxzPi=03:4><]9%l~k }f.ofi*bh}}Ub0??1199V4*aun'xm#`kb/emvpZo;:;4:46[?/fpe*w`(elg$hb{{_h>17;7?3\:$kh!rg-nah)cg|~Te1<;>0:8Q5)`zo$yj"cjm.flqqYn4;?5=55Z0.eqb+ta'dof#iazt^k?638602_;#j|i.sd,i`k(lfSd2=7?3;?P6(o{l%~k!bel-gkprXa5832<64U1-dvc(un&gna"j`uu]j87?99>1^<"i}f/pe+hcj'me~xRg32?3;?P6(o{l%~k!bel-gkprXa59;2<64U1-dvc(un&gna"j`uu]j8679911^<"i}f/pe+hcj'me~xRg333<2<>S7'nxm"h mdo,`jssW`68?3?7;T2,cw`)zo%fi`!kotv\m9536820Y=!hrg,qb*kbe&ndyyQf<27=52=R8&myj#|i/lgn+air|Vc7?3?8;T2,cw`)zo%fi`!kotv\m9299>1^<"i}f/pe+hcj'me~xRg35?34?P6(o{l%~k!bel-gkprXa5<5=:5Z0.eqb+ta'dof#iazt^k?3;703\:$kh!rg-nah)cg|~Te161169V4*aun'xm#`kb/emvpZo;17;=7X> gsd-vc)jmd%ocxzPi^222>S7'nxm"h mdo,`jssW`U:=:5Z0.eqb+ta'dof#iazt^k\55703\:$kh!rg-nah)cg|~TeR?>169V4*aun'xm#`kb/emvpZoX9;;<7X> gsd-vc)jmd%ocxzPi^3052=R8&myj#|i/lgn+air|VcT=9?8;T2,cw`)zo%fi`!kotv\mZ729>1^<"i}f/pe+hcj'me~xRgP1734?P6(o{l%~k!bel-gkprXaV;<=:5Z0.eqb+ta'dof#iazt^k\5=703\:$kh!rg-nah)cg|~TeR?6179V4*aun'xm#`kb/emvpZoX:8=0Y=!hrg,qb*kbe&ndyyQf_3223>S7'nxm"h mdo,`jssW`U9=<94U1-dvc(un&gna"j`uu]j[746?2_;#j|i.sd,i`k(lfSdQ=3058Q5)`zo$yj"cjm.flqqYnW;>:;6[?/fpe*w`(elg$hb{{_h]1141<]9%l~k }f.ofi*bh}}UbS?8>7:W3+bta&{l$ahc dnww[lY5?8=0Y=!hrg,qb*kbe&ndyyQf_3:23>S7'nxm"h mdo,`jssW`U95<84U1-dvc(un&gna"j`uu]j[6703\:$kh!rg-nah)cg|~TeR=?169V4*aun'xm#`kb/emvpZoX;8;<7X> gsd-vc)jmd%ocxzPi^1152=R8&myj#|i/lgn+air|VcT?>?8;T2,cw`)zo%fi`!kotv\mZ539>1^<"i}f/pe+hcj'me~xRgP3435?P6(o{l%~k!bel-gkprXaV>::6[?/fpe*w`(elg$hb{{_h]653=R8&myj#|i/lgn+air|VcT:<84U1-dvc(un&gna"j`uu]j[2713\:$kh!rg-nah)cg|~TeR6>6:W3+bta&{l$ahc dnww[lY>9j1^<"i}f/pe+hcj'me~xRgPnnv34576m2_;#j|i.sd,i`k(omg%h`!Br`o\VDK69o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ99;m7X> gsd-vc)jmd%lh` km.OqehYUID;:=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=??i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@?<1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB153e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL365c=R8&myj#|i/lgn+bbj&mg$Aob_SCN537a3\:$kh!rg-nah)`ld$oa"C}al]QEH709o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ91;m7X> gsd-vc)jmd%lh` km.OqehYUID;2=h5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF><h4U1-dvc(un&gna"ikm/fn+HtfeVXJA?>>f:W3+bta&{l$ahc geo-`h)JzhgT^LC=10d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM302b>S7'nxm"h mdo,cak)ld%F~lcPR@O174`<]9%l~k }f.ofi*ace'nf#@|nm^PBI726n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK5=8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE;<:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG9;<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA?6>f:W3+bta&{l$ahc geo-`h)JzhgT^LC=90g8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM23e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL135c=R8&myj#|i/lgn+bbj&mg$Aob_SCN747a3\:$kh!rg-nah)`ld$oa"C}al]QEH559o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ;:;m7X> gsd-vc)jmd%lh` km.OqehYUID9?=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF?8?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@=91g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB363e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL1;5c=R8&myj#|i/lgn+bbj&mg$Aob_SCN7<7b3\:$kh!rg-nah)`ld$oa"C}al]QEH26n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK388l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE=;:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG?><h4U1-dvc(un&gna"ikm/fn+HtfeVXJA9=>f:W3+bta&{l$ahc geo-`h)JzhgT^LC;40d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM572b>S7'nxm"h mdo,cak)ld%F~lcPR@O724`<]9%l~k }f.ofi*ace'nf#@|nm^PBI116n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK308l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE=3:i6[?/fpe*w`(elg$kic!dl-NvdkXZHG>=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF9=?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@;>1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB533e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL705c=R8&myj#|i/lgn+bbj&mg$Aob_SCN117a3\:$kh!rg-nah)`ld$oa"C}al]QEH329o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ=?;m7X> gsd-vc)jmd%lh` km.OqehYUID?<=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF95?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@;61d9V4*aun'xm#`kb/ffn*ak(E{kfS_OB60d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM722b>S7'nxm"h mdo,cak)ld%F~lcPR@O554`<]9%l~k }f.ofi*ace'nf#@|nm^PBI346n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK1;8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE?>:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG=9<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA;8>f:W3+bta&{l$ahc geo-`h)JzhgT^LC970d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM7:2b>S7'nxm"h mdo,cak)ld%F~lcPR@O5=4c<]9%l~k }f.ofi*ace'nf#@|nm^PBI27a3\:$kh!rg-nah)`ld$oa"C}al]QEH179o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ?8;m7X> gsd-vc)jmd%lh` km.OqehYUID=9=h5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF4<k4U1-dvc(un&gna"ikm/fn+HtfeVXJA4?6;T2,cw`)zo%fi`!hdl,gi*H688;27X> gsd-vc)jmd%lh` km.L2467>3\:$kh!rg-nah)`ld$oa"@>043:?P6(o{l%~k!bel-d`h(ce&D:<:?6;T2,cw`)zo%fi`!hdl,gi*H680;37X> gsd-vc)jmd%lh` km.L254?<]9%l~k }f.ofi*ace'nf#C?>10;8Q5)`zo$yj"cjm.egi+bj'G;:?<74U1-dvc(un&gna"ikm/fn+K76=830Y=!hrg,qb*kbe&moa#jb/O3234?<]9%l~k }f.ofi*ace'nf#C?>90;8Q5)`zo$yj"cjm.egi+bj'G;9=<74U1-dvc(un&gna"ikm/fn+K75;830Y=!hrg,qb*kbe&moa#jb/O3114?<]9%l~k }f.ofi*ace'nf#C?=70;8Q5)`zo$yj"cjm.egi+bj'G;95<64U1-dvc(un&gna"ikm/fn+K74901^<"i}f/pe+hcj'nnf"ic N0125<=R8&myj#|i/lgn+bbj&mg$B<=<189V4*aun'xm#`kb/ffn*ak(F89>=45Z0.eqb+ta'dof#jjb.eo,J450901^<"i}f/pe+hcj'nnf"ic N01:5<=R8&myj#|i/lgn+bbj&mg$B<:>189V4*aun'xm#`kb/ffn*ak(F8>8=45Z0.eqb+ta'dof#jjb.eo,J422901^<"i}f/pe+hcj'nnf"ic N0645==R8&myj#|i/lgn+bbj&mg$B<;>8:W3+bta&{l$ahc geo-`h)I9>;37X> gsd-vc)jmd%lh` km.L2=4><]9%l~k }f.ofi*ace'nf#C<>199V4*aun'xm#`kb/ffn*ak(F;9:46[?/fpe*w`(elg$kic!dl-M607?3\:$kh!rg-nah)`ld$oa"@=70:8Q5)`zo$yj"cjm.egi+bj'G82=55Z0.eqb+ta'dof#jjb.eo,J67602_;#j|i.sd,i`k(omg%h`!A323;?P6(o{l%~k!bel-d`h(ce&D89<64U1-dvc(un&gna"ikm/fn+K50911^<"i}f/pe+hcj'nnf"ic N2;2<>S7'nxm"h mdo,cak)ld%E8<?7;T2,cw`)zo%fi`!hdl,gi*H3;820Y=!hrg,qb*kbe&moa#jb/O665==R8&myj#|i/lgn+bbj&mg$B99>8:W3+bta&{l$ahc geo-`h)I<0;<7X> gsd-vc)jmd%lh` km.L65==R8&myj#|i/lgn+bbj&mg$B8?>8:W3+bta&{l$ahc geo-`h)I=:;37X> gsd-vc)jmd%lh` km.L614><]9%l~k }f.ofi*ace'nf#C;8199V4*aun'xm#`kb/ffn*ak(F<3:46[?/fpe*w`(elg$kic!dl-M247?3\:$kh!rg-nah)`ld$oa"@930:8Q5)`zo$yj"cjm.egi+bj'G<>=55Z0.eqb+ta'dof#jjb.eo,J31602_;#j|i.sd,i`k(omg%h`!A6834?P6(o{l%~k!bel-d`h(ce&D<=55Z0.eqb+ta'dof#jjb.eo,J27602_;#j|i.sd,i`k(omg%h`!A723;?P6(o{l%~k!bel-d`h(ce&D<9<64U1-dvc(un&gna"ikm/fn+K10911^<"i}f/pe+hcj'nnf"ic N6;2<>S7'nxm"h mdo,cak)ld%E4<?7;T2,cw`)zo%fi`!hdl,gi*H?;820Y=!hrg,qb*kbe&moa#jb/O:65==R8&myj#|i/lgn+bbj&mg$B59>8:W3+bta&{l$ahc geo-`h)I00;<7X> gsd-vc)jmd%lh` km.L:5==R8&myj#|i/lgn+bbj&mg$B4?>8:W3+bta&{l$ahc geo-`h)I1:;37X> gsd-vc)jmd%lh` km.L:14><]9%l~k }f.ofi*ace'nf#C78199V4*aun'xm#`kb/ffn*ak(F03996[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<1<12>S7'nxm"h mdo,cak)ld%Tzl|fneg{456748:5>;5Z0.eqb+ta'dof#jjb.eo,[sguagnnt=>?0=32:70<]9%l~k }f.ofi*ace'nf#Rxnrhlga}67896:>3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?5685>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0<:1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349726;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2>6?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;9>49:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<0:=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|56785;22?;4U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>2:70<]9%l~k }f.ofi*ace'nf#Rxnrhlga}678969<3<9;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?6485>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0?<1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349446;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2=4?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;:<49:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<34=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|567858<2?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>1<;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7>40=5:W3+bta&{l$ahc geo-`h)X~hxbbikw01238785>2_;#j|i.sd,i`k(omg%h`!Pv`pjjac89:;0>>1279V4*aun'xm#`kb/ffn*ak(Wkyecjjx12349566;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2<2?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;;:49:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<26=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|567859>2?;4U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>0:73<]9%l~k }f.ofi*ace'nf#Rxnrhlga}67896?2?;4U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>6:73<]9%l~k }f.ofi*ace'nf#Rxnrhlga}67896=2?;4U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>4:73<]9%l~k }f.ofi*ace'nf#Rxnrhlga}6789632?;4U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>::4c<]9%l~k }f.ofi*ace'nf#iazt^k?4;7a3\:$kh!rg-nah)`ld$oa"j`uu]j84699o1^<"i}f/pe+hcj'nnf"ic dnww[l:697;m7X> gsd-vc)jmd%lh` km.flqqYn4885=k5Z0.eqb+ta'dof#jjb.eo,`jssW`6:?3?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0<:11g9V4*aun'xm#`kb/ffn*ak(lfSd2>5?3e?P6(o{l%~k!bel-d`h(ce&ndyyQf<04=5c=R8&myj#|i/lgn+bbj&mg$hb{{_h>23;7a3\:$kh!rg-nah)`ld$oa"j`uu]j84>99o1^<"i}f/pe+hcj'nnf"ic dnww[l:617;n7X> gsd-vc)jmd%lh` km.flqqYn484:j6[?/fpe*w`(elg$kic!dl-gkprXa58;2<h4U1-dvc(un&gna"ikm/fn+air|Vc7><0>f:W3+bta&{l$ahc geo-`h)cg|~Te1<=>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg322<2b>S7'nxm"h mdo,cak)ld%ocxzPi=07:4`<]9%l~k }f.ofi*ace'nf#iazt^k?6086n2_;#j|i.sd,i`k(omg%h`!kotv\m94168l0Y=!hrg,qb*kbe&moa#jb/emvpZo;:>4:j6[?/fpe*w`(elg$kic!dl-gkprXa5832<h4U1-dvc(un&gna"ikm/fn+air|Vc7>40>e:W3+bta&{l$ahc geo-`h)cg|~Te1<11g9V4*aun'xm#`kb/ffn*ak(lfSd2<0?3e?P6(o{l%~k!bel-d`h(ce&ndyyQf<23=5c=R8&myj#|i/lgn+bbj&mg$hb{{_h>06;7a3\:$kh!rg-nah)`ld$oa"j`uu]j86599o1^<"i}f/pe+hcj'nnf"ic dnww[l:4<7;m7X> gsd-vc)jmd%lh` km.flqqYn4:?5=h5Z0.eqb+ta'dof#jjb.eo,`jssW`682<k4U1-dvc(un&gna"ikm/fn+air|Vc783?j;T2,cw`)zo%fi`!hdl,gi*bh}}Ub080>e:W3+bta&{l$ahc geo-`h)cg|~Te1811d9V4*aun'xm#`kb/ffn*ak(lfSd28>0g8Q5)`zo$yj"cjm.egi+bj'me~xRg38?3f?P6(o{l%~k!bel-d`h(ce&ndyyQf<8<2`>S7'nxm"h mdo,cak)ld%ocxzPi^22`>S7'nxm"h mdo,cak)ld%ocxzPi^32a>S7'nxm"h mdo,cak)ld%ocxzPi^335`=R8&myj#|i/lgn+bbj&mg$hb{{_h]254c<]9%l~k }f.ofi*ace'nf#iazt^k\577b3\:$kh!rg-nah)`ld$oa"j`uu]j[456m2_;#j|i.sd,i`k(omg%h`!kotv\mZ739l1^<"i}f/pe+hcj'nnf"ic dnww[lY6=8o0Y=!hrg,qb*kbe&moa#jb/emvpZoX9?;n7X> gsd-vc)jmd%lh` km.flqqYnW8=:i6[?/fpe*w`(elg$kic!dl-gkprXaV;3=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U:5<j4U1-dvc(un&gna"ikm/fn+air|VcT><k4U1-dvc(un&gna"ikm/fn+air|VcT>=?j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS??>e:W3+bta&{l$ahc geo-`h)cg|~TeR<=1d9V4*aun'xm#`kb/ffn*ak(lfSdQ=30g8Q5)`zo$yj"cjm.egi+bj'me~xRgP253f?P6(o{l%~k!bel-d`h(ce&ndyyQf_372a>S7'nxm"h mdo,cak)ld%ocxzPi^055`=R8&myj#|i/lgn+bbj&mg$hb{{_h]134c<]9%l~k }f.ofi*ace'nf#iazt^k\6=7b3\:$kh!rg-nah)`ld$oa"j`uu]j[7?6l2_;#j|i.sd,i`k(omg%h`!kotv\mZ56m2_;#j|i.sd,i`k(omg%h`!kotv\mZ579l1^<"i}f/pe+hcj'nnf"ic dnww[lY498o0Y=!hrg,qb*kbe&moa#jb/emvpZoX;;;n7X> gsd-vc)jmd%lh` km.flqqYnW:9:i6[?/fpe*w`(elg$kic!dl-gkprXaV9?=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U89<j4U1-dvc(un&gna"ikm/fn+air|VcT8<j4U1-dvc(un&gna"ikm/fn+air|VcT9<j4U1-dvc(un&gna"ikm/fn+air|VcT:<j4U1-dvc(un&gna"ikm/fn+air|VcT;<j4U1-dvc(un&gna"ikm/fn+air|VcT4<j4U1-dvc(un&gna"ikm/fn+air|VcT5?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX022575<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP8;996[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV>10312>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^698;:>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W133260=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_9:;:>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W153260=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_9<;:>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W173260=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_9>;:>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W193260=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_90;:>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W213260=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_:8;:>;5Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W2032573<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;8:=?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX312573<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;>:=?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX372573<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;<:=?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX352573<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;2:=?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX3;2573<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP:::=?;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX232570<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP:;:=<<:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY1154423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q98=<<:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY1754423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q9>=<<;;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY62572<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP<;:>95Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W60310>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^0988?7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU6>1368Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\<769o1^<"i}f/pe+hcj'nnf"ic wskwaZesze8;7X> gsd-vc)jmd%lh` km.uqmqcXk}xg=<j4U1-dvc(un&xxxobd/SQW[CSKDVMNB<j4U1-dvc(un&xxxobd/sf\vvrXizxnk?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj>219V4*aun'xm#}{bmi,vaYu{}Ujkh_e02g>S7'nxm"h rrvahn)ulVxxxRm`mc3g?P6(o{l%~k!}su`oo*tcW{ySnabb03f?P6(o{l%~k!}su`oo*tcW{ySkh<1<2a>S7'nxm"h rrvahn)ulVxxxR|jg=3=5`=R8&myj#|i/sqwfim(zmUyyQ}ef>1:4b<]9%l~k }f.pppgjl'{nT~~zPrde\44b<]9%l~k }f.pppgjl'{nT~~zPrde\54b<]9%l~k }f.pppgjl'{nT~~zPrde\641<]9%l~k }f.pppgjl'{ySi?>7:W3+bta&{l$~~zmlj-qwqYc:8=0Y=!hrg,qb*tt|kf`#}{_e12`>S7'nxm"h rrvahn)pzVxxxRo|rde14>S7'nxm"h rrvahn)pzVxxxRo|rde\`4473\:$kh!rg-qwqdkc&}yS}{_`qqabYc:8i0Y=!hrg,qb*tt|kf`#z|Prrv\gjke9m1^<"i}f/pe+wusjea${Q}su]`khd69l1^<"i}f/pe+wusjea${Q}su]qab:768o0Y=!hrg,qb*tt|kf`#z|Prrv\v`a;97;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W9;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W8k0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF0>QDDB80[H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBN:5WSU]DJA1<PZ^TZNMm;Y]@KWCXAGLD:6Vkb^Kgb>^c`VZye`Xjrrklj46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafdh7lbborv\ahvsqk1j``a|t^dvhi0<jhi`y}j4b`ahquYji{an~>5lljf8`drfWje~by&?)e9geqgXkfex%?&d:fbpdYdg|d$?'k;ecweZeh}g~#?$j4d`vb[firf}"?%k5kauc\gjsi|5>1<394dckwawt13mce$='9;ekm,4/03mce$<>&7:fjj-76!>1oec&>2(58`lh/9:#<7iga(06*3>bnf!;>%:5kio*22,1<l`d#=:'8;ekm,4>.?2nbb%?6)79gmk.5!>1oec&=0(58`lh/:8#<7iga(30*3>bnf!88%:5kio*10,1<l`d#>8'8;ekm,70.?2nbb%<8)69gmk.50 =0hd`'28+5?aoi :#<7iga(22*3>bnf!9:%:5kio*06,1<l`d#?>'8;ekm,62.?2nbb%=:)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm8409?2nbb1?8>69gmk:607=0hd`318<5?aoi484<7iga<32=3>bnf58:2:5kio>16;1<l`d7>>08;ekm8729?2nbb1<:>69gmk:5>7=0hd`326<4?aoi4;25;6jfn=0::3=cag692:5kio>04;1<l`d7?<08;ekm8649?2nbb1=<>69gmk:4<730hd`33483:2=cag689384dhl?7;0<l`d78384dhl?1;0<l`d7:384dhl?3;0<l`d74384dhl?=;1<lf$='8;emvp-7.02ndyy&>0(:8`jss 8;"46j`uu*26,><lf$<=&8:flqq.6< 20hb{{(07*<>bh}}"::$64dnww,41.02ndyy&>8(:8`jss 83";6j`uu*1-==cg|~#>='7;emvp-46!11ocxz'23+;?air|!88%55kotv+61/?3me~x%<:)99gkpr/:?#37iazt)04-==cg|~#>5'7;emvp-4>!>1ocxz'3(:8`jss ::"46j`uu*05,><lf$><&8:flqq.4; 20hb{{(26*<>bh}}"89$94dnww,1/03me~x%;&7:flqq.1!>1ocxz'7(58`jss 1#<7iazt);*3>bh}}6;255kotv?558?3me~x1?>>99gkpr;9;437iazt=30:==cg|~7=907;emvp972611ocxz317<;?air|5;<255kotv?5=8?3me~x1?6>69gkpr;9720hb{{<32=<>bh}}69=364dnww874902ndyy2=3?:8`jss4;>546j`uu>11;><lf0?818:flqq:5?720hb{{<3:=<>bh}}695394dnww878?3me~x1=?>99gkpr;;8437iazt=11:==cg|~7?>07;emvp9536h1ocxz33483:==cg|~7?808;emvp959?2ndyy2;>69gkpr;=7=0hb{{<7<4?air|5=5;6j`uu>;:2=cg|~75364eeke6kac=2of|yw=f:djbjY`mgoymya}_w4\5)&_aecet-M@RD"Dakcui}ey,<<!2068bl`hWqfetR==x24\6fjl;;1mekaPxml{[64;?U9oae#fhdl[bcim{kcQy6^3/JJHB$GEEI>kn;gkekZ~kfqU8>u=9_3aoo)`nnfUlick}aumq[s0X9%qhSeo|_hlw[fjl59&hSeo|_rppp86+kVxoSk|jq<3/gZciikfnS}{_r{mg87+kVbjR|k_ecweZeh}g~6<!mPdhl\slbs`49= nQgar]tvZbf|hUhcx`{=1.`[cskdVlgmj}Prrv\rdj:9%iTe`~celgmpdrnggUu}k22-a\qvcXmdhnhikk_sgd95*dW~xTjk~=0.`[pubWme~xR||t<3/gZsillxm`byPlnu>4)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~59&hSiazt^uj`qn:;?&hS`}hoo]uei;6$jUyhRjjpuj>77*dWlxycQfnkg`pliiW}s{i0>#c^jbwZsillxm`by20-a\lduXiegdyQiumn>5)eX`hyT~~z21-a\`drfWje~byQxievk90*dWakxSz|Pabi>4)eX}zoTinm20-a\gjkjggUh`bmd=1.`[rtXijaT`by20-a\vaYwf}xT{dj{h<7/gZstmVl|jyQkauc\gjsi|4:'oRfns^coijusWlg{xt3?,b]q`Zbf|hUhcx`{_vkgpm;2$jUdzh|ilnu\hjq:8%iT{Qnup\slbs`4?'oR~}of]fiur~W}s{i0>#c^uq[agsiVidyczPwhfwl83+kVzyiaand^pfcv;6$jUocxzPrrv\rdj:8%iTdl}Pd`vb[firf}7; nQrne\bpjkW}byi~fPndebp`Yqie79?!mPws]gauro5:8'oR~}of]fiur~W}byi~fPndebp`Yqie7> nQrne\ahvsqV~c~h}g_`qpawrX~hf68!mPh`q\rdjnl4:'oR~}of]eqijX|axneQnsrgqpZpfd48? nabpnlfjqYiido6jdh`_ynm|Z55p:<T>nbd,b]ueiocWee|1="l_qpjiZ`nnfUu}k2500{73*dWyxdkRhzlm]w}uc:9%iTahc`rx]w}uc::%w9i6hffn]{hk~X;;r8:R<llj]emciXoldn~lz`r^t5[4Y{}U:86hzlmf8mklbk}nieyk}re9jjocd|zhbxh|}7:kmpZekc11eknlzimf;?jpbzofd{l5rne\ahvsq8>0|ah_dosp|Ys`{oxd%>&159svjaXmdzuRzgrdqk,4/6<2zycjQjmqvz[qnumzb#>$?;;qplcZcjx}sTxe|jsi*0-42<x{elShctx]wlwct`!>"=;5rne\ahvsqV~c~h}g<583:4d<x{elShctx]wlwct`Vkxh|{(1+2f>vugnUna}zv_ujqavnXizyn~y&>)0`8twi`Wlg{xtQ{hsgplZgt{lx$?'>b:rqkbYbey~rSyf}erj\evubz}"8%<l4psmd[`kw|pUdk|h^cpw`ts =#:h6~}of]fiur~W}byi~fParqfvq:3294:n6~}of]fiur~W}byi~fPndebp`.7!8h0|ah_dosp|Ys`{oxdR`jg`vf,4/6j2zycjQjmqvz[qnumzbTbhintd*1-4d<x{elShctx]wlwct`Vdnklzj(2+2f>vugnUna}zv_ujqavnXflmjxh&;)0f8twi`Wlg{xtQ{hsgplZhboh~n094?>89svjaXn|fg=>5rne\bpjkW}byi~f'0(30?uthoVl~`aQ{hsgpl-7.9:1{~biPftno[qnumzb#>$?<;qplcZ`rdeUdk|h)1*56=wzfmTjxbc_ujqavn/< ;>7}|`g^dvhiYs`{oxd1:50?3b?uthoVl~`aQ{hsgplZgt{lx$='>a:rqkbYa}efTxe|jsi]bwvcu|!;"=l5rne\bpjkW}byi~fParqfvq.5!8k0|ah_gwohZrozlycSl}|esv+7,7f3yxdkRhzlm]wlwct`Vkxh|{(5+2g>vugnUmyabPtipfwmYf{zoyx1:50?3b?uthoVl~`aQ{hsgplZhboh~n$='>a:rqkbYa}efTxe|jsi]mabgsm!;"=l5rne\bpjkW}byi~fPndebp`.5!8k0|ah_gwohZrozlycSckhaug+7,7f3yxdkRhzlm]wlwct`Vdnklzj(5+2g>vugnUmyabPtipfwmYimnki1:50?48vaYddb;;7jPd`vb[firf}";%<>4re]geqgXkfex%?&119q`Zbf|hUhcx`{(3+24>tcWmkmRm`uov+7,773{nThlzn_bmvjq.3!8:0~iQkauc\gjsi|5:5=?5}d^fbpdYdg|d094?>49q`Zci>2xoS}{3:ppp2=tj`~n~:4ssqw0>ru}l30ycjjrgnls0=qieco:6y}_`ah2>quWjf`==5xr^fbpdYdg|d$='>0:uq[agsiVidycz'1(33?rtXlh~jSnaznu*1-46<{UomyoPcnwmp-5.991|~Rjnt`]`kphs =#:>6y}_ecweZeh}g~787>15:uq[`h13~xT~~zr@Arf5==GHq;1J7:51zQ00?5a=3?1=><m7859572alrd8984>;o162?0<,:?86>:k;|Q06?5a=3?1=><m7859572al2Y?j7:?0;29564e?0=1=?:ie:Q06?2783:1=><m7859572an2n8j54?:082V532:l>684>33`4=2<6:=lo7{Zm9;295?7=913p_>:53g791?74:k=2;7?=4gf8 62f2<:0Z>;;:3yv1<<63|?j6=5r$c09f>d4n10;68?53;72M5302P9o7<ta;;9y!de2:l37)=:2;1e3>o3;90;66a<5983>>i39<0;66g;4183>>i4nj0;66a<f783>>o3;00;66g;3383>>i4k00;6)l;:2g`?kd42910c>m7:18'f1<4mj1en>4>;:m0g2<72-h?6>kl;o`0>7=<g:i=6=4+b580af=ij:0876a<c483>!d32:oh7cl<:598k6e3290/n94<eb9mf6<232e8o?4?:%`7>6cd3gh86;54o2a2>5<#j=08in5ab284?>i4k90;6)l;:2g`?kd42110c>li:18'f1<4mj1en>46;:m0f`<72-h?6>kl;o`0>d=<g:ho6=4+b580af=ij:0i76a<bb83>!d32:oh7cl<:b98k6de290/n94<eb9mf6<c32e8nl4?:%`7>6cd3gh86h54o2`:>5<#j=08in5ab28e?>i4j>0;6)l;:2g`?kd428:07b=m6;29 g2=;li0bo=51098k6d2290/n94<eb9mf6<6:21d?o:50;&a0?5bk2di?7?<;:m0f6<72-h?6>kl;o`0>42<3f9i>7>5$c697`e<fk91=854o2`2>5<#j=08in5ab2822>=h;k:1<7*m4;1fg>he;3;<76a<ag83>!d32:oh7cl<:0:8?j5fm3:1(o:53da8jg5=9010c>mi:18'f1<4mj1en>4>a:9l7fc=83.i87=jc:la7?7e32e8oi4?:%`7>6cd3gh86<m4;n1`g?6=,k>1?hm4nc195a=<g:ii6=4+b580af=ij:0:i65`3bc94?"e<39no6`m3;3e?>i4k:0;6)l;:2g`?kd42;:07b=m8;29 g2=;li0bo=52098k6gc290/n94<eb9mf6<5:21d?lm50;&a0?5bk2di?7<<;:k0<5<72-h?6>o<;o`0>5=<a:=m6=4+b580e6=ij:0:76g<7d83>!d32:k87cl<:398m61c290/n94<a29mf6<432c8;n4?:%`7>6g43gh86954i25a>5<#j=08m>5ab286?>o4?00;6)l;:2c0?kd42?10e>97:18'f1<4i:1en>48;:k032<72-h?6>o<;o`0>==<a:==6=4+b580e6=ij:0276g<7483>!d32:k87cl<:`98m613290/n94<a29mf6<e32c8;>4?:%`7>6g43gh86n54i251>5<#j=08m>5ab28g?>o4?80;6)l;:2c0?kd42l10e>9?:18'f1<4i:1en>4i;:k02`<72-h?6>o<;o`0>46<3`9=h7>5$c697d5<fk91=<54i24`>5<#j=08m>5ab2826>=n;?h1<7*m4;1b7>he;3;876g<6`83>!d32:k87cl<:068?l5113:1(o:53`18jg5=9<10e>87:18'f1<4i:1en>4>6:9j731=83.i87=n3:la7?7032c8:;4?:%`7>6g43gh86<64;h151?6=,k>1?l=4nc195<=<a:2=6=4+b580e6=ij:0:m65f39794?"e<39j?6`m3;3a?>o40=0;6)l;:2c0?kd428i07d=73;29 g2=;h90bo=51e98m6>5290/n94<a29mf6<6m21b?5?50;&a0?5f;2di?7?i;:k03d<72-h?6>o<;o`0>76<3`9=j7>5$c697d5<fk91><54i247>5<#j=08m>5ab2816>=n;?91<7*m4;1b7>he;38876g;2983>>d4<00;6<4?:1yK71><,kh1?974oc394?=zj=?1<7?50;2xL62?3-hi69;4o5694?=zj;h1<76i:043>4>>sA9?46T=c;3546=n3;:6<;513827?732h026<8516821?702h0::7??:8820?742881=<4i:|&af?5aj2.9j7=i9:&07?5ai2.jh7l?;h63`?6=,k>18=k4nc194>=n<9i1<7*m4;63a>he;3;07d:?b;29 g2=<9o0bo=52:9j05g=83.i87:?e:la7?5<3`>;57>5$c6905c<fk91865f3gg94?=n<:;1<75f42694?=h<:=1<75f41594?"e<3>;46`m3;28?l27>3:1(o:541:8jg5=921b8=;50;&a0?2702di?7<4;h630?6=,k>18=64nc197>=n<991<7*m4;63<>he;3>07d:<0;29?j2793:17d:>3;29 g2=<8>0bo=50:9j044=83.i87:>4:la7?7<3`>:=7>5$c69042<fk91>65f40294?"e<3>:86`m3;18?l27n3:1(o:54068jg5=<21d?8650;9l736=83.i87=91:la7?6<3f9>j7>5$c69737<fk91=65`34g94?"e<39==6`m3;08?j52l3:1(o:53738jg5=;21d?8m50;&a0?5192di?7:4;n621?6=3`>?<7>5;h62e?6=,k>18<l4nc194>=n<831<7*m4;62f>he;3;07d:>8;29 g2=<8h0bo=52:9j041=83.i87:>b:la7?5<3`>::7>5$c6904d<fk91865`3ga94?=h;o<1<75f42;94?=n;<k1<75f43294?"e<3>9=6`m3;28?l26n3:1(o:54338jg5=921b8<k50;&a0?2592di?7<4;h62`?6=,k>18??4nc197>=n<8i1<7*m4;615>he;3>07d:=6;29 g2=<;=0bo=50:9j073=83.i87:=7:la7?7<3`>987>5$c69071<fk91>65f43194?"e<3>9;6`m3;18?l25:3:1(o:54358jg5=<21d?8950;9j7c`=831b?8l50;9j017=831d8>=50;9l06>=831b8><50;9l7f?=83.i87=jc:la7?6<3f9h47>5$c697`e<fk91=65`3b594?"e<39no6`m3;08?j5d>3:1(o:53da8jg5=;21d?n;50;&a0?5bk2di?7:4;n1`0?6=,k>1?hm4nc191>=h;j81<7*m4;1fg>he;3<07b=l1;29 g2=;li0bo=57:9l7f6=83.i87=jc:la7?><3f9ij7>5$c697`e<fk91565`3cg94?"e<39no6`m3;c8?j5el3:1(o:53da8jg5=j21d?om50;&a0?5bk2di?7m4;n1af?6=,k>1?hm4nc19`>=h;kk1<7*m4;1fg>he;3o07b=m9;29 g2=;li0bo=5f:9l7g1=83.i87=jc:la7?7732e8n;4?:%`7>6cd3gh86<?4;n1a1?6=,k>1?hm4nc1957=<g:h?6=4+b580af=ij:0:?65`3c194?"e<39no6`m3;37?>i4j;0;6)l;:2g`?kd428?07b=m1;29 g2=;li0bo=51798k6d7290/n94<eb9mf6<6?21d?lh50;&a0?5bk2di?7?7;:m0e`<72-h?6>kl;o`0>4?<3f9hj7>5$c697`e<fk91=l54o2af>5<#j=08in5ab282f>=h;jn1<7*m4;1fg>he;3;h76a<cb83>!d32:oh7cl<:0f8?j5dj3:1(o:53da8jg5=9l10c>mn:18'f1<4mj1en>4>f:9l7f5=83.i87=jc:la7?4732e8n54?:%`7>6cd3gh86??4;n1b`?6=,k>1?hm4nc1967=<g:kh6=4+b580af=ij:09?65f39294?"e<39j?6`m3;28?l50n3:1(o:53`18jg5=921b?:k50;&a0?5f;2di?7<4;h14`?6=,k>1?l=4nc197>=n;>i1<7*m4;1b7>he;3>07d=8b;29 g2=;h90bo=55:9j72?=83.i87=n3:la7?0<3`9<47>5$c697d5<fk91;65f36594?"e<39j?6`m3;:8?l50>3:1(o:53`18jg5=121b?:;50;&a0?5f;2di?7o4;h140?6=,k>1?l=4nc19f>=n;>91<7*m4;1b7>he;3i07d=82;29 g2=;h90bo=5d:9j727=83.i87=n3:la7?c<3`9<<7>5$c697d5<fk91j65f37g94?"e<39j?6`m3;33?>o4>m0;6)l;:2c0?kd428;07d=9c;29 g2=;h90bo=51398m60e290/n94<a29mf6<6;21b?;o50;&a0?5f;2di?7?;;:k02<<72-h?6>o<;o`0>43<3`9=47>5$c697d5<fk91=;54i244>5<#j=08m>5ab2823>=n;?<1<7*m4;1b7>he;3;376g<6483>!d32:k87cl<:0;8?l5?>3:1(o:53`18jg5=9h10e>6::18'f1<4i:1en>4>b:9j7=2=83.i87=n3:la7?7d32c84>4?:%`7>6g43gh86<j4;h1;6?6=,k>1?l=4nc195`=<a:2:6=4+b580e6=ij:0:j65f36c94?"e<39j?6`m3;03?>o4>o0;6)l;:2c0?kd42;;07d=94;29 g2=;h90bo=52398m604290/n94<a29mf6<5;21b?8750;9l07b=83.i87:=f:la7?6<3f>9o7>5$c6907`<fk91=65`43`94?"e<3>9j6`m3;08?j25i3:1(o:543d8jg5=;21d8?750;&a0?25n2di?7:4;n60a?6=,k>18>h4nc194>=h<:n1<7*m4;60b>he;3;07b:<c;29 g2=<:l0bo=52:9l06d=83.i87:<f:la7?5<3f>8m7>5$c6906`<fk91865`41094?=n;on1<75`37094?=n<;21<75`42494?=e;<:1<7?50;2x gd=<<1C?9h4H26;?j232900qo=:1;295?6=8r.in7=;9:J00c=O;=20co?50;9~f45e290?6=4?{%`a>14<@:>m7E=;8:&7f?2<a;n1<75f3483>>oe=3:17bl9:188yg55n3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xd4;m0;694?:1y'fg<3:2B88k5G35:8L7g<,<=18>;4$5`90>o5l3:17d=::188mg3=831dn;4?::a764=8391<7>t$c`97c=O;=l0D>:7;I0b?!302=9>7):m:39j6a<722c897>5;n`5>5<<uk9?<7>53;294~"ej39m7E=;f:J00==O:h1/9:4;349'0g<53`8o6=44i2794?=hj?0;66sm32a94?2=83:p(ol5439K71`<@:>37E<n;%74>1523->i695f2e83>>o4=3:17dl::188kg0=831vn>=>:180>5<7s-hi6>h4H26e?M5302B9m6*:7;601>"3j380e?j50;9j70<722ei:7>5;|`07`<72<0;6=u+bc877>N4<o1C?964H3c8 01=<:?0e?j50;9j6`<722c897>5;h`6>5<<gk<1<75rb21e>5<4290;w)lm:2d8L62a3A9?46F=a:&63?24=2.?n7<4i3f94?=n;<0;66am6;29?xd4;90;684?:1y'fg<3;2B88k5G35:8L7g<,<=18>;4i3f94?=n:l0;66g<5;29?ld22900co850;9~f65e290?6=4?{%`a>14<@:>m7E=;8:J1e>"2?3>896*;b;68m7b=831b?84?::ka1?6=3fh=6=44}c10e?6=<3:1<v*mb;61?M53n2B8855+4c87?l4c2900e>;50;9jf0<722ei:7>5;|`07<<72=0;6=u+bc876>N4<o1C?964$5`90>o5l3:17d=::188mg3=831dn;4?::a76>=83>1<7>t$c`907=O;=l0D>:7;%6a>1=n:m0;66g<5;29?ld22900co850;9~f621290?6=4?{%`a>14<@:>m7E=;8:&7f?2<a;n1<75f3483>>oe=3:17bl9:188yg53=3:187>50z&af?253A9?j6F<499'0g<33`8o6=44i2794?=nj<0;66am6;29?xddl3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xddk3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xddj3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xddi3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xdd13:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xd6880;694?:1y'fg<382B88k5G35:8 1d=:2c9h7>5;h12>5<<a:?1<75`b783>>{e99:1<7:50;2x gd=<91C?9h4H26;?!2e2;1b>i4?::k05?6=3`9>6=44oc494?=zjol1<7:50;2x gd=<91C?9h4H26;?!2e2;1b>i4?::k05?6=3`9>6=44oc494?=zjoo1<7:50;2x gd=<91C?9h4H26;?!2e2;1b>i4?::k05?6=3`9>6=44oc494?=zjon1<7:50;2x gd=<91C?9h4H26;?!2e2;1b>i4?::k05?6=3`9>6=44oc494?=zj88<6=4;:183!de2=:0D>:i;I17<>"3j380e?j50;9j74<722c897>5;n`5>5<<uk;9:7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3th:>84?:583>5}#jk0?<6F<4g9K71><,=h1>6g=d;29?l562900e>;50;9lf3<722wi=?:50;694?6|,kh18=5G35d8L62?3->i6?5f2e83>>o493:17d=::188kg0=831vn<<<:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qoh<:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qoh=:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qoh>:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qoh?:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qoki:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qo?>d;290?6=8r.in7:?;I17b>N4<11C>l5+568770=#<k097d<k:188m67=831b?84?::ma2?6=3th:=n4?:583>5}#jk0?<6F<4g9K71><@;k0(8954278 1d=:2c9h7>5;h12>5<<a:?1<75`b783>>{e98h1<7:50;2x gd=<91C?9h4H26;?M4f3-?<69=:;%6a>7=n:m0;66g<1;29?l522900co850;9~f47f290?6=4?{%`a>16<@:>m7E=;8:J1e>"2?3>896*;b;08m7b=831b?<4?::k01?6=3fh=6=44}c32=?6=<3:1<v*mb;63?M53n2B8855G2`9'12<3;<1/8o4=;h0g>5<<a:;1<75f3483>>ie>3:17plj9;290?6=8r.in7:?;I17b>N4<11C>l5+568770=#<k097d<k:188m67=831b?84?::ma2?6=3thn47>54;294~"ej3>;7E=;f:J00==O:h1/9:4;349'0g<53`8o6=44i2394?=n;<0;66am6;29?xdb?3:187>50z&af?273A9?j6F<499K6d=#=>0??85+4c81?l4c2900e>?50;9j70<722ei:7>5;|`f2?6=<3:1<v*mb;63?M53n2B8855G2`9'12<3;<1/8o4=;h0g>5<<a:;1<75f3483>>ie>3:17plj5;290?6=8r.in7:?;I17b>N4<11C>l5+568770=#<k097d<k:188m67=831b?84?::ma2?6=3thoj7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3thoi7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3thoh7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3thoo7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3thon7>54;294~"ej3>;7E=;f:J00==#<k097d<k:188m67=831b?84?::ma2?6=3th:=>4?:583>5}#jk0?<6F<4g9K71><,=h1>6g=d;29?l562900e>;50;9lf3<722wi=<<50;694?6|,kh18=5G35d8L62?3->i6?5f2e83>>o493:17d=::188kg0=831vn<?>:187>5<7s-hi69>4H26e?M5302.?n7<4i3f94?=n;80;66g<5;29?jd12900qo?>0;290?6=8r.in7:?;I17b>N4<11/8o4=;h0g>5<<a:;1<75f3483>>ie>3:17pl>0g83>1<729q/no4;0:J00c=O;=20(9l52:k1`?6=3`9:6=44i2794?=hj?0;66sm14;94?3=83:p(ol5409K71`<@:>37):m:39j6a<722c9i7>5;h12>5<<a:?1<75`b783>>{e9<21<7;50;2x gd=<81C?9h4H26;?!2e2;1b>i4?::k1a?6=3`9:6=44i2794?=hj?0;66sm14494?3=83:p(ol5409K71`<@:>37):m:39j6a<722c9i7>5;h12>5<<a:?1<75`b783>>{e9<?1<7;50;2x gd=<:1C?9h4H26;?!2e2=1b>i4?::k1a?6=3`9>6=44ic794?=hj?0;66sm14594?3=83:p(ol5409K71`<@:>37):m:39j6a<722c9i7>5;h12>5<<a:?1<75`b783>>{e9?91<7;50;2x gd=<81C?9h4H26;?!2e2;1b>i4?::k1a?6=3`9:6=44i2794?=hj?0;66sm17094?3=83:p(ol5409K71`<@:>37):m:39j6a<722c9i7>5;h12>5<<a:?1<75`b783>>{e9?;1<7;50;2x gd=<81C?9h4H26;?!2e2;1b>i4?::k1a?6=3`9:6=44i2794?=hj?0;66sm17294?3=83:p(ol5409K71`<@:>37):m:39j6a<722c9i7>5;h12>5<<a:?1<75`b783>>{e9<l1<7;50;2x gd=<81C?9h4H26;?!2e2;1b>i4?::k1a?6=3`9:6=44i2794?=hj?0;66sm12594?2=83:p(ol5439K71`<@:>37):m:59j6a<722c897>5;h`6>5<<gk<1<75rb01;>5<3290;w)lm:508L62a3A9?46*;b;68m7b=831b?84?::ka1?6=3fh=6=44}c306?6=<3:1<v*mb;63?M53n2B8855+4c81?l4c2900e>?50;9j70<722ei:7>5;|`274<72=0;6=u+bc874>N4<o1C?964$5`96>o5l3:17d=>:188m63=831dn;4?::a526=8391<7>t$c`971c<@:>m7E=;8:k04?6=3`>o6=44o`d94?=zj8<m6=4<:183!de2:>n7E=;f:J00==n;90;66g;d;29?jga2900qo?99;290?6=8r.in7;7;I17b>N4<11b?=4?::k02?6=3`h36=44o`d94?=zj8<36=4;:183!de2<20D>:i;I17<>o483:17d=9:188mg>=831dmk4?::a531=83>1<7>t$c`91==O;=l0D>:7;h13>5<<a:<1<75fb983>>ifn3:17pl>6783>1<729q/no4:8:J00c=O;=20e>>50;9j73<722ci47>5;nce>5<<uk;=97>54;294~"ej3?37E=;f:J00==n;90;66g<6;29?ld?2900clh50;9~f413290?6=4?{%`a>16<@:>m7E=;8:&7f?4<a;n1<75f3083>>o4=3:17bl9:188yg70;3:187>50z&af?273A9?j6F<499'0g<53`8o6=44i2394?=n;<0;66am6;29?xd6?>0;684?:1y'fg<3;2B88k5G35:8 1d=<2c9h7>5;h0f>5<<a:?1<75fb483>>ie>3:17pl>a583>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6i:0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>a083>0<729q/no4;3:J00c=O;=20(9l54:k1`?6=3`8n6=44i2794?=nj<0;66am6;29?xd6i90;684?:1y'fg<3;2B88k5G35:8 1d=<2c9h7>5;h0f>5<<a:?1<75fb483>>ie>3:17pl>a383>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6ij0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>a`83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6ik0;684?:1y'fg<3;2B88k5G35:8 1d=<2c9h7>5;h0f>5<<a:?1<75fb483>>ie>3:17pl>ae83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6il0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>b783>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6j=0;684?:1y'fg<3;2B88k5G35:8 1d=<2c9h7>5;h0f>5<<a:?1<75fb483>>ie>3:17pl>b483>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6j>0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>b983>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6k;0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>c083>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6k90;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>bg83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6jl0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=e483>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5m?0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f083>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5nj0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl<0283>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd48=0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl<0483>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd48?0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl<0683>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd4810;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=e683>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5m10;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=e883>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5mh0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=ec83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5mj0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=ee83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5ml0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=eg83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5n90;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f383>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5n:0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f583>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5n<0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f783>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5n>0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f983>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5n00;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=f`83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5nk0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=fe83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5nl0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=fg83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd4890;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl<0083>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd48;0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0e83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9=54?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5::0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`101<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2d83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9?44?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5<o0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`116<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=5583>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9<h4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5980;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`14c<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=1183>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9=?4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd59:0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`151<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=1483>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9=44?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd59?0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`152<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=1`83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9=o4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd59j0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`15a<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2183>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9=h4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd59o0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`164<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2383>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9>94?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5:<0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`16=<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2783>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9>:4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5:00;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`16d<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2c83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9>n4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5;90;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`16a<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=2g83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9?<4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5;;0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`176<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=3583>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9?:4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5;<0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`173<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=3c83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9?54?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5;h0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`17`<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=3b83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th9?i4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5;o0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`105<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=4083>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th98?4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5<?0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`106<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=4483>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th98:4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5<10;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`10<<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=4`83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th98i4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5<k0;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`10f<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=4d83>a<729q/no4m7:J00c=O;=20e?;50;9j63<722c9;7>5;h0;>5<<a:?1<75f3983>>o413:17d=n:188m6d=831b?9l50;9j71e=831dnl4?::m03?6=3th99=4?:e83>5}#jk0i;6F<4g9K71><a;?1<75f2783>>o5?3:17d<7:188m63=831b?54?::k0=?6=3`9j6=44i2`94?=n;=h1<75f35a94?=hjh0;66a<7;29?xd5=80;6i4?:1y'fg<e?2B88k5G35:8m73=831b>;4?::k13?6=3`836=44i2794?=n;10;66g<9;29?l5f2900e>l50;9j71d=831b?9m50;9lfd<722e8;7>5;|`117<72m0;6=u+bc8a3>N4<o1C?964i3794?=n:?0;66g=7;29?l4?2900e>;50;9j7=<722c857>5;h1b>5<<a:h1<75f35`94?=n;=i1<75`b`83>>i4?3:17pl=0483>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd58=0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0283>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd58;0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0083>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5890;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>fd83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6nm0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>fb83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6nk0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>f`83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n00;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>f983>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n>0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>f783>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n<0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>f283>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n;0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>f083>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n90;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>eg83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6ml0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>ee83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6mj0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>ec83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6mh0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0c83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd58h0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0883>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd5810;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl=0683>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd58?0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>fg83>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6n=0;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>e883>0<729q/no4;1:J00c=O;=20(9l52:k1`?6=3`8n6=44i2394?=n;<0;66am6;29?xd6m10;684?:1y'fg<392B88k5G35:8 1d=:2c9h7>5;h0f>5<<a:;1<75f3483>>ie>3:17pl>8783>1<729q/no4;2:J00c=O;=20(9l54:k1`?6=3`9>6=44ic794?=hj?0;66sm19594?2=83:p(ol5439K71`<@:>37):m:59j6a<722c897>5;h`6>5<<gk<1<75rb05e>5<2290;w)lm:518L62a3A9?46*;b;68m7b=831b>h4?::k01?6=3`h>6=44oc494?=zj:>96=4<:183!de2<;0D>:i;I17<>"3j3i0e9950;9j0=<722ejj7>5;|`071<72:0;6=u+bc865>N4<o1C?964$5`9g>o3?3:17d:7:188kd`=831vn<><:180>5<7s-hi68?4H26e?M5302.?n7?7;h64>5<<a=21<75`ag83>>{eko0;6>4?:1y'fg<292B88k5G35:8 1d=911b8:4?::k7<?6=3fkm6=44}c3:1?6=;3:1<v*mb;72?M53n2B8855+4c82<>o3?3:17d:7:188kd`=831vn<:n:180>5<7s-hi68?4H26e?M5302.?n7?7;h64>5<<a=21<75`ag83>>{ek:0;6>4?:1y'fg<292B88k5G35:8 1d=911b8:4?::k7<?6=3fkm6=44}ca1>5<4290;w)lm:438L62a3A9?46*;b;3;?l202900e9650;9lec<722wio<4?:283>5}#jk0>=6F<4g9K71><,=h1=55f4683>>o303:17boi:188yge729086=4?{%`a>07<@:>m7E=;8:&7f?7?3`><6=44i5:94?=hio0;66smbg83>6<729q/no4:1:J00c=O;=20(9l5199j02<722c?47>5;nce>5<<ukhn6=4<:183!de2<;0D>:i;I17<>"3j3;37d:8:188m1>=831dmk4?::afa<72:0;6=u+bc865>N4<o1C?964$5`95==n<>0;66g;8;29?jga2900qoll:180>5<7s-hi68?4H26e?M5302.?n7?7;h64>5<<a=21<75`ag83>>{e9>31<7:50;2x gd==:1C?9h4H26;?!2e2:1b8:4?::k7<?6=3`>26=44o`d94?=zj89=6=4;:183!de2<90D>:i;I17<>"3j39n7d:8:188m1>=831b844?::mbb?6=3th:<84?:583>5}#jk0>?6F<4g9K71><,=h1>95f4683>>o303:17d:6:188kd`=831vni?50;694?6|,kh19>5G35d8L62?3->i6?:4i5594?=n<10;66g;9;29?jga2900qo?66;290?6=8r.in7;<;I17b>N4<11/8o4>a:k73?6=3`>36=44i5;94?=hio0;66sm15`94?2=83:p(ol5529K71`<@:>37):m:0c8m11=831b854?::k7=?6=3fkm6=44}c300?6==3:1<v*mb;76?M53n2B8855+4c8g?l202900e9650;9j0<<722c?m7>5;nce>5<<uk;;;7>55;294~"ej3?>7E=;f:J00==#<k0:56g;7;29?l2?2900e9750;9j0d<722ejj7>5;|`g7?6==3:1<v*mb;76?M53n2B8855+4c82=>o3?3:17d:7:188m1?=831b8l4?::mbb?6=3th:?l4?:483>5}#jk0>96F<4g9K71><,=h1=k5f4683>>o303:17d:6:188m1g=831dmk4?::a5=3=8391<7>t$c`914=O;=l0D>:7;%6a>63<a==1<75f4983>>ifn3:17pl>2d83>1<729q/no4:3:J00c=O;=20(9l5269j02<722c?47>5;h6:>5<<ghl1<75rb02;>5<2290;w)lm:478L62a3A9?46*;b;3:?l202900e9650;9j0<<722c?m7>5;nce>5<<ukn?6=4::183!de2<?0D>:i;I17<>"3j3;27d:8:188m1>=831b844?::k7e?6=3fkm6=44}c11g?6=<3:1<v*mb;70?M53n2B8855+4c80g>o3?3:17d:7:188m1?=831dmk4?::a77d=83>1<7>t$c`916=O;=l0D>:7;%6a>6e<a==1<75f4983>>o313:17boi:188yg55i3:187>50z&af?343A9?j6F<499'0g<4k2c?;7>5;h6;>5<<a=31<75`ag83>>{e;;31<7:50;2x gd==:1C?9h4H26;?!2e2:i0e9950;9j0=<722c?57>5;nce>5<<uk9947>54;294~"ej3?87E=;f:J00==#<k08o6g;7;29?l2?2900e9750;9lec<722wi??950;694?6|,kh19>5G35d8L62?3->i6>m4i5594?=n<10;66g;9;29?jga2900qo==6;290?6=8r.in7;<;I17b>N4<11/8o4<c:k73?6=3`>36=44i5;94?=hio0;66sm33794?2=83:p(ol5529K71`<@:>37):m:2a8m11=831b854?::k7=?6=3fkm6=44}c110?6=<3:1<v*mb;70?M53n2B8855+4c80g>o3?3:17d:7:188m1?=831dmk4?::a775=83>1<7>t$c`916=O;=l0D>:7;%6a>6e<a==1<75f4983>>o313:17boi:188yg55:3:187>50z&af?343A9?j6F<499'0g<4k2c?;7>5;h6;>5<<a=31<75`ag83>>{e;;;1<7:50;2x gd==:1C?9h4H26;?!2e2:i0e9950;9j0=<722c?57>5;nce>5<<uk99<7>54;294~"ej3?87E=;f:J00==#<k08o6g;7;29?l2?2900e9750;9lec<722wi?<h50;694?6|,kh19>5G35d8L62?3->i6>m4i5594?=n<10;66g;9;29?jga2900qo=>e;290?6=8r.in7;<;I17b>N4<11/8o4<c:k73?6=3`>36=44i5;94?=hio0;66sm30f94?2=83:p(ol5529K71`<@:>37):m:2a8m11=831b854?::k7=?6=3fkm6=44}c12g?6=<3:1<v*mb;70?M53n2B8855+4c80g>o3?3:17d:7:188m1?=831dmk4?::a74d=83>1<7>t$c`916=O;=l0D>:7;%6a>6e<a==1<75f4983>>o313:17boi:188yg56i3:187>50z&af?343A9?j6F<499'0g<4k2c?;7>5;h6;>5<<a=31<75`ag83>>{e;831<7:50;2x gd==:1C?9h4H26;?!2e2:i0e9950;9j0=<722c?57>5;nce>5<<uk9:47>54;294~"ej3?87E=;f:J00==#<k08o6g;7;29?l2?2900e9750;9lec<722wi?<950;694?6|,kh19>5G35d8L62?3->i6>m4i5594?=n<10;66g;9;29?jga2900qo=>6;290?6=8r.in7;<;I17b>N4<11/8o4<c:k73?6=3`>36=44i5;94?=hio0;66sm30794?2=83:p(ol5529K71`<@:>37):m:2a8m11=831b854?::k7=?6=3fkm6=44}c120?6=<3:1<v*mb;70?M53n2B8855+4c80g>o3?3:17d:7:188m1?=831dmk4?::a745=83>1<7>t$c`916=O;=l0D>:7;%6a>6e<a==1<75f4983>>o313:17boi:188yg56:3:187>50z&af?343A9?j6F<499'0g<4k2c?;7>5;h6;>5<<a=31<75`ag83>>{e;8;1<7:50;2x gd==:1C?9h4H26;?!2e2:i0e9950;9j0=<722c?57>5;nce>5<<uk9:<7>54;294~"ej3?87E=;f:J00==#<k08o6g;7;29?l2?2900e9750;9lec<722wi?=h50;694?6|,kh19>5G35d8L62?3->i6>m4i5594?=n<10;66g;9;29?jga2900qo=?e;290?6=8r.in7;<;I17b>N4<11/8o4<c:k73?6=3`>36=44i5;94?=hio0;66sm31f94?2=83:p(ol5529K71`<@:>37):m:2a8m11=831b854?::k7=?6=3fkm6=44}c13g?6=<3:1<v*mb;70?M53n2B8855+4c80g>o3?3:17d:7:188m1?=831dmk4?::a75d=83>1<7>t$c`916=O;=l0D>:7;%6a>6e<a==1<75f4983>>o313:17boi:188yg5713:187>50z&af?343A9?j6F<499'0g<4k2c?;7>5;h6;>5<<a=31<75`ag83>>{e;9k1<7:50;2x gd==:1C?9h4H26;?!2e2:i0e9950;9j0=<722c?57>5;nce>5<<uk;257>54;294~"ej3?87E=;f:J00==#<k0956g;7;29?l2?2900e9750;9lec<722wi=9k50;694?6|,kh19>5G35d8L62?3->i6?74i5594?=n<10;66g;9;29?jga2900qo?=c;291?6=8r.in7;:;I17b>N4<11/8o47;h64>5<<a=21<75f4883>>o3i3:17boi:188yg7393:197>50z&af?323A9?j6F<499'0g<6l2c?;7>5;h6;>5<<a=31<75f4`83>>ifn3:17pl>4383>0<729q/no4:5:J00c=O;=20(9l51d9j02<722c?47>5;h6:>5<<a=k1<75`ag83>>{e9:n1<7;50;2x gd==<1C?9h4H26;?!2e2;90e9950;9j0=<722c?57>5;h6b>5<<ghl1<75rb063>5<2290;w)lm:478L62a3A9?46*;b;1g?l202900e9650;9j0<<722c?m7>5;nce>5<<uk;3h7>53;294~"ej3?:7E=;f:J00==#<k0<7d:8:188m1>=831dmk4?::a5=4=83?1<7>t$c`910=O;=l0D>:7;%6a>75<a==1<75f4983>>o313:17d:n:188kd`=831vn<6<:186>5<7s-hi68;4H26e?M5302.?n7<<;h64>5<<a=21<75f4883>>o3i3:17boi:188yg7?93:197>50z&af?323A9?j6F<499'0g<b3`><6=44i5:94?=n<00;66g;a;29?jga2900qo?8b;291?6=8r.in7;:;I17b>N4<11/8o4>e:k73?6=3`>36=44i5;94?=n<h0;66anf;29?xd60h0;684?:1y'fg<2=2B88k5G35:8 1d=::1b8:4?::k7<?6=3`>26=44i5c94?=hio0;66sm19`94?3=83:p(ol5549K71`<@:>37):m:318m11=831b854?::k7=?6=3`>j6=44o`d94?=zj8226=4::183!de2<?0D>:i;I17<>"3j3o0e9950;9j0=<722c?57>5;h6b>5<<ghl1<75rb04b>5<4290;w)lm:438L62a3A9?46*;b;03?l202900e9650;9lec<722wi=;l50;194?6|,kh19<5G35d8L62?3->i6?>4i5594?=n<10;66anf;29?xd6>j0;6>4?:1y'fg<292B88k5G35:8 1d=:91b8:4?::k7<?6=3fkm6=44}c35`?6=;3:1<v*mb;72?M53n2B8855+4c814>o3?3:17d:7:188kd`=831vn<=i:186>5<7s-hi68;4H26e?M5302.?n7<=;h64>5<<a=21<75f4883>>o3i3:17boi:188yg70l3:197>50z&af?323A9?j6F<499'0g<5:2c?;7>5;h6;>5<<a=31<75f4`83>>ifn3:17pl>6d83>6<729q/no4:1:J00c=O;=20(9l5219j02<722c?47>5;nce>5<<uk99h7>54;294~"ej3?87E=;f:J00==#<k0=7d:8:188m1>=831b844?::mbb?6=3th8>h4?:583>5}#jk0>?6F<4g9K71><,=h1?6g;7;29?l2?2900e9750;9lec<722wi=4650;794?6|,kh1985G35d8L62?3->i6<l4i5594?=n<10;66g;9;29?l2f2900clh50;9~f42c290>6=4?{%`a>03<@:>m7E=;8:&7f?7e3`><6=44i5:94?=n<00;66g;a;29?jga2900qo?=d;291?6=8r.in7;:;I17b>N4<11/8o47;h64>5<<a=21<75f4883>>o3i3:17boi:188yg7?m3:1?7>50z&af?363A9?j6F<499'0g<03`><6=44i5:94?=hio0;66sm18694?4=83:p(ol54b9K71`<@:>37d:9:188kd`=831vn<:6:181>5<7s-hi69m4H26e?M5302c?:7>5;nce>5<<uk;;57>53;294~"ej3?97E=;f:J00==#<k0:46g;7;29?l2?2900c9k50;9~fa3=8391<7>t$c`917=O;=l0D>:7;%6a>4><a==1<75f4983>>i3m3:17pl>9683>1<729q/no4:4:J00c=O;=20(9l51b9j02<722c?47>5;h6:>5<<g=o1<75rb06`>5<3290;w)lm:468L62a3A9?46*;b;3`?l202900e9650;9j0<<722e?i7>5;|`27`<72<0;6=u+bc862>N4<o1C?964$5`95a=n<>0;66g;8;29?l2>2900e9o50;9l0`<722wi=:m50;794?6|,kh19;5G35d8L62?3->i6<k4i5594?=n<10;66g;9;29?l2f2900c9k50;9~f4>3290>6=4?{%`a>00<@:>m7E=;8:&7f?453`><6=44i5:94?=n<00;66g;a;29?j2b2900qo?7c;291?6=8r.in7;9;I17b>N4<11/8o4=2:k73?6=3`>36=44i5;94?=n<h0;66a;e;29?xd6<:0;694?:1y'fg<2<2B88k5G35:8 1d=:81b8:4?::k7<?6=3`>26=44o5g94?=z{=9;6=48{_604>;6;?0?463>35873>;6;h0?m63>2d87=>;6:j0?;63>2e873>{t<;o1<7<>{_156>X3;11U8>84^274?[24;2T?<<5Q4258Z1653W9=<6P<5g9]70c<V:?o7S=:c:\77`=Y<:n0R9=l;_60f>X3;h1U8?j4^50`?[25j2T?>l5Q43;896372=>01>=j:27896572:?01>=m:278965f2:?01>=6:278965?2:?01>:9:27896222:?01<8::c:8yv70n3:1>vP<599>52`=j?1v9==:184[24:278>k4<1:?07a<e=278?n4m5:?07`<e=278?=4m5:?07g<e=2wx=>650;0xZ6`134;847l9;|q705<72<qU89>4=0:g>11<5:8o6974=20f>11<582n6994}r61<?6=?;qU8?64=01a>7b<5:996?j4=212>7b<5:9;6?j4=21b>7b<5:926?j4=21;>7b<58::6?j4=023>7b<5ol1>i52fd81`>;al38o70?=7;0g?875>38o70?=5;0g?875<38o70?=3;0g?876l38o70?>c;0g?876j38o70?>a;0g?876138o70ji:3f89ac=:m16hi4=d:?gg?4c34ni6?j4=07:>7b<58?36?j4=075>7b<58?>6?j4=074>7b<58<86?j4=041>7b<58<:6?j4=043>7b<58?m6?j4=014>7b<58936?j4=011>7b<589:6?j4=3g6>7b<5;o=6?j4=3d2>7b<5;lh6?j4=220>7b<5::?6?j4=226>7b<5::=6?j4=224>7b<5::36?j4=3g4>7b<5;o36?j4=3g:>7b<5;oj6?j4=3ga>7b<5;oh6?j4=3gg>7b<5;on6?j4=3ge>7b<5;l;6?j4=3d1>7b<5;l86?j4=3d7>7b<5;l>6?j4=3d5>7b<5;l<6?j4=3d;>7b<5;l26?j4=3db>7b<5;li6?j4=3dg>7b<5;ln6?j4=3de>7b<5::;6?j4=222>7b<5::96?j4=326>7b<5;:?6?j4=320>7b<5;:96?j4=322>7b<5;:;6?j4=0df>7b<58lo6?j4=0d`>7b<58li6?j4=0db>7b<58l26?j4=0d;>7b<58l<6?j4=0d5>7b<58l>6?j4=0d0>7b<58l96?j4=0d2>7b<58l;6?j4=0ge>7b<58on6?j4=0gg>7b<58oh6?j4=0ga>7b<58oj6?j4=32a>7b<5;:j6?j4=32:>7b<5;:36?j4=324>7b<5;:=6?j4=0de>7b<58l?6?j4=0g:>7b<58o36?j4}r3;3?6=:rT8jn521959f3=z{=926=470z\77<=:;;l1>i5232f96a=:;=:1>i5232a96a=:;:o1>i5232d96a=:;:h1>i5235496a=:;=?1>i52ce81`>;dk38o70mm:3f89fg=:m16o44=d:?e7?4c34l96?j4=g396a=:n909h63jf;0g?8c>2;n01h652e9>a2<5l27n:7<k;<g6>7b<58;86?j4=031>7b<58;:6?j4=033>7b<58:m6?j4=057>7b<58=86?j4=054>7b<58k?6?j4=0c0>7b<58k:6?j4=0c3>7b<58k96?j4=0c`>7b<58kj6?j4=0ca>7b<58ko6?j4=0cf>7b<58h=6?j4=0`7>7b<58h>6?j4=0`4>7b<58h36?j4=0a1>7b<58i:6?j4=0a3>7b<58hm6?j4=0`f>7b<5;:o6>:m;<02<?53j279>>4<4c9>612=;=h01?<j:26a?844139?n63=4g800g=::<91?9l4=377>62e348;i7=;b:?154<4<k16>=h535`897772:>i70<>2;17f>;59:088o52206971d<5;;>6>:m;<02=?53j279=;4<4c9>641=;=h01??n:26a?846j39?n63=1b800g=::8n1?9l4=303>62e348:i7=;b:?15c<4<k16>??535`897452:>i70<=4;17f>;5:<088o5223:971d<5;8=6>:m;<013?53j279>44<4c9>67g=;=h01?<m:26a?845k39?n63=31800g=::;n1?9l4=30e>62e3488=7=;b:?177<4<k16>>=535`897532:>i70<<7;17f>;5;<088o52224971d<5;9i6>:m;<00<?53j279?l4<4c9>66c=;=h01?=l:26a?844l39?n63=3g800g=::=:1?9l4=362>62e348?>7=;b:?103<4<k16>9=535`897222:>i70<;7;17f>;5<1088o5225;971d<5;>j6>:m;<07`?53j2798o4<4c9>61e=;=h01?:j:26a?842839?n63=50800g=::<81?9l4=0:5>7b<582<6?j4=05e>7b<uz;<;7>52z\750=:9>=1n;5rs0g3>5<5sW9h563=048a2>{t9ml1<7<t^2a;?847<3h=7p}>dd83>7}Y;j=01?><:c48yv7cl3:1>vP<c79>654=j?1v<jl:181[5d=279<<4m6:p5ad=838pR>m;;<034?d13ty:h44?:3y]7f4<58ln6o84}r3g<?6=:rT8o<521gf9f3=z{8n<6=4={_1`4>;6nj0i:6s|1e494?4|V:hm70?ib;`5?xu6l<0;6?uQ3cg894`f2k<0q~?k4;296~X4jm16=k75b79~w4b42909wS=mc:?2b=<e>2wx=i<50;0xZ6de34;m;7l9;|q2`4<72;qU?oo4=0d5>g0<uz;o<7>52z\0f<=:9o?1n;5rs0af>5<5sW9i;63>f28a2>{t9jn1<7<t^2`5?87a:3h=7p}>cb83>7}Y;k?01<h>:c48yv7dj3:1>vP<b59>5c6=j?1v<mn:181[5e;27:ik4m6:p5f?=838pR>l=;<3fa?d13ty:o54?:3y]7g7<58oo6o84}r3`3?6=:rT8n=521da9f3=z{8i=6=4={_1bb>;6mk0i:6s|1b794?4|V:kn70?ja;`5?xu6m>0;6?uQ3bd8976e2k<0q~?j6;296~X4kl16>=o5b79~w4c22909wS=ld:?14<<e>2wx=h:50;0xZ6ed348;47l9;|q2a6<72;qU?nl4=324>g0<uz;n>7>52z\0gd=::9<1n;5rs0g2>5<5sW9h?63>fg8a2>{t9mk1<7<t^2`;?87a<3h=7p}>cg83>7}Y;hn01<k6:c48yv7d<3:1>vP<ab9>5`>=j?1v>o;:180[5?82799<4<5:?117<4=2wx?l<50;1xZ61a348?i7=:;<064?523ty8m<4?:2y]72c<5;>o6>;4=36`>63<uz9j<7>53z\03a=::=k1?85225`970=z{:3m6=4<{_14g>;5<108963=48801>{t;0o1<7=t^25a?843>39>70<;7;16?xu41j0;6>uQ36;897242:?01?:::278yv5>j3:1?vP<799>617=;<16>9<5349~w6?f2908wS=87:?17c<4=2798=4<5:p7<?=839pR>99;<00a?523488h7=:;|q0==<72:qU?:;4=31a>63<5;9h6>;4}r1:3?6=;rT8;95222:970=:::k1?85rs2;5>5<4sW9<?63=36801>;5;?0896s|38794?5|V:=970<<4;16?844=39>7p}<9583>6}Y;>;01?==:27897542:?0q~=63;297~X4?916>>>5349>667=;<1v>7>:180[51m279>i4<5:?16c<4=2wx?4>50;1xZ60c3489n7=:;<01g?523ty84k4?:2y]73e<5;826>;4=30b>63<uz93i7>53z\02g=::;21?852235970=z{:2o6=4<{_15e>;5:<08963=27801>{t;1i1<7=t^24:?845:39>70<=4;16?xu40k0;6>uQ37:897472:?01?<>:278yv5?i3:1?vP<669>64c=;<16><h5349~w6>>2908wS=96:?15f<4=279=i4<5:p7=>=839pR>8:;<02e?52348:n7=:;|q0eg<72:qU?584=33:>63<5;;<6>;4}r1be?6=;rT84852207970=::8<1?85rs2c:>5<4sW93863=12801>;59=0896s|3`:94?5|V:2870<>1;16?846:39>7p}<a683>6}Y;1801?>i:27897772:?0q~=n6;297~X40816>8:5349>65c=;<1v>o::180[50i2798k4<5:?116<4=2wx?4j50;1xZ60a348?87=:;<00=?523ty85?4?:2y]732<5;886>;4=30f>63<uz93;7>53z\026=::9n1?85220:970=z{<h1<7<t=272>g7<58<>6>>4}r30g?6=:r7:?o4<5:?205<fn2wx?>950;33874j3h>70=<a;`5?872139:70?:8;12?872>39:70?:5;`6?872?39:70?93;12?871:39:70?91;12?871839:70?:f;12?874?3h>70?<8;`6?874:39:70?<1;12?xu6;k0;69u212`9f3=:9:>18l5213a90==:9;n1855rs21g>5<5s499j7=:;<10`?d13ty8>k4?:4y>77`=j?16=:75489>5=3=<116=5?5469>5=?=<>1v>=l:185854l39>70=<c;`5?870?3h>70?76;`6?87??3h>70?8f;`6?xu4;80;6>u2320970=:;:;1n;5232296`=z{:996=4={<106?d1349887:8;|q07c<72:q6?9>5349>76c=:l16?>h5b79~w6272909w0=;0;`5?853:3><7p}<3c83>7}:;:i1?85232`9f3=z{:9;6=4<{<105?523498<7l9;<100?2?3ty8?h4?:2y>76c=j?16?>h5349>714=<11v>=<:187854i3h>70=<9;`6?85403h>70=<4;ce?xu4;?0;6<;t=21:>g0<58::6>?4=023>67<5ol1?<52fd805>;al39:70?=7;12?875>39:70?=5;12?875<39:70?=3;12?876l39:70?>c;12?876j39:70?>a;12?876139:70ji:2389ac=;816hi4<1:?gg?5634ni6>?4}r101?6=<0q6?>65b79>6`3=;816>h85309>6c7=;816>km5309>755=;816?=:5309>753=;816?=85309>751=;816?=65309>6`1=;816>h65309>6`?=;816>ho5309>6`d=;816>hm5309>6`b=;816>hk5309>6``=;816>k>5309>6c4=;816>k=5309>6c2=;816>k;5309>6c0=;816>k95309>6c>=;816>k75309>6cg=;816>kl5309>6cb=;816>kk5309>6c`=;816?=>5309>757=;816?=<5309>653=;816>=:5309>655=;816>=<5309>657=;816>=>5309>5cc=;816=kj5309>5ce=;816=kl5309>5cg=;816=k75309>5c>=;816=k95309>5c0=;816=k;5309>5c5=;816=k<5309>5c7=;816=k>5309>5``=;816=hk5309>5`b=;816=hm5309>5`d=;816=ho5309>65d=;816>=o5309>65?=;816>=65309>651=;816>=85309>5c`=;816=k:5309>5`?=;816=h65309~w6262908w0=;6;`6?853=3h>70=;2;ce?xu4<=0;6<9t=265>g0<58=?6>?4=050>67<58k?6>?4=0c0>67<58k:6o;4=0c3>g3<58k96>?4=0c`>67<58kj6>?4=0ca>g3<58ko6>?4=0cf>67<58h=6>?4=0`7>g3<58h>6>?4=0`4>67<58h36>?4=0a1>67<58i:6>?4=0a3>67<58hm6>?4=0`f>67<uz9??7>514y>713=j?16oi4<1:?`g?5634ii6>?4=bc974=:k008=63i3;12?8`52:;01k?5309>b5<4927nj7=>;<g:>67<5l21?<52e6805>;b>39:70k::23894742:;01<?=:23894762:;01<??:238946a2:;0q~kj:1858ec2:?01k=5b79>gc<3027o=7:8;<f0>1?<5m>1845rsb:94?3|5jn1n;52190902=:9>h18l5219c902=:9?o1855rsbg94?4|5ji1?852cg8bb>{tk>0;68u2cb8a2>;60;0?563>8c873>;6>m0?463>7b87e>{tl90;6?u2cc801>;c93km7p}l6;291~;dj3h=70?73;64?87?j3>270?9c;6;?870k3>27p}k2;296~;di39>70j<:`d8yve2290>w0mn:c4894>42=301<9m:5;894>f2=301<8m:5:8yvb32909w0m6:2789a2=io1vn:50;7x9f?=j?16=;o5499>52b=<116=5:5469>5=e=<11v<<=:185877939>70?=7;`5?877;3>370??5;64?877?3>270??8;6:?xuak3:1?v3>008a2>;6<80?463>3e87<>{t9981<7<t=023>63<58:86lh4}rda>5<4s4;;<7l9;<376?2?34;8h7:n;|q241<72;q6jk4<5:?240<fn2wxjl4?:2y>bc<e>27:8?4;7:?27`<302wx==850;0x9cc=;<16==95ag9~wc?=839p1kk5b79>517=<>16=>k5469~w46?2909w0hk:278946?2hl0q~h7:1808`c2k<01<=i:55894242==0q~?>8;296~;6:>08963>1e8a2>{t98=1<7<t=005>63<58;h6o84}r315?6==r7:>;4m6:?246<3?27:<84;8:?242<3i27:<44;7:p540=838p1<<::278947e2k<0q~?=0;290~;6:<0i:63>0487=>;68>0?;63>0887<>{t98?1<7<t=007>63<58;j6o84}r32b?6=;r7:>94m6:?242<3027:<54;7:p542=838p1<<<:278947>2k<0q~?>e;296~;6::0i:63>0987<>{tm=0;6?u2f2801>;b13h=7p}j3;296~;a:39>70k7:c48yvcc290>w0h=:c489f`=<>16h<4;8:?g7?2f34n>6994}rg1>5<5s4l:6>;4=d59f3=z{li1<7:t=g39f3=:l80?563k3;64?8b22=20q~k>:1818`72:?01h85b79~w`d=839p1k>5b79>`6<3027o87:8;|qf4?6=:r7nj7=:;<g6>g0<uzoj6=4={<ge>g0<5m>1855rs02f>5<5s4;:h7=:;<327?d13ty:<i4?:3y>54e=;<16=<<5b79~w46d2909w0?>b;16?87693h=7p}>0c83>7}:98k1?8521029f3=z{8:j6=4={<32=?5234;;j7l9;|qge?6=:r7n57=:;<fe>g0<uzn26=4={<g;>63<5mo1n;5rse:94?4|5l=1?852de8a2>{tl>0;6?u2e7801>;ck3h=7p}k6;296~;b=39>70jm:c48yv72m3:1>5u2dg801>;6>:0i:63mc;64?855k3><70==b;64?855i3><70==9;64?85503><70==7;64?855>3><70==5;64?855<3><70==3;64?855:3><70==1;64?85583><70=>f;64?856m3><70=>d;64?856k3><70=>b;64?856i3><70=>9;64?85603><70=>7;64?856>3><70=>5;64?856<3><70=>3;64?856:3><70=>1;64?85683><70=?f;64?857m3><70=?d;64?857k3><70=?b;64?85713><70=?a;64?87393>27p}i4;296~;cm39>70ll:`d8yv`22909w0jk:2789gb=io1vk850;0x9ae=;<16nh4nf:pb2<72;q6ho4<5:?ab?ga3ty:ni4?:4y>545=;<16=n<5b79>g5<3?278>i4;8:?06`<302wx=?650;0x94752:?01n>5ag9~w44>2909w0?>1;16?8e62hl0q~?=a;296~;69908963l2;ce?xu6:k0;6?u211d970=:k:0jj6s|13a94?4as4;>57<j;<36<?4b34;>:7<j;<361?4b34;>;7<j;<357?4b34;=>7<j;<355?4b34;=<7<j;<36b?4b348n97<j;<0f2?4b348m=7<j;<0eg?4b349;?7<j;<130?4b349;97<j;<132?4b349;;7<j;<13<?4b348n;7<j;<0f<?4b348n57<j;<0fe?4b348nn7<j;<0fg?4b348nh7<j;<0fa?4b348nj7<j;<0e4?4b348m>7<j;<0e7?4b348m87<j;<0e1?4b348m:7<j;<0e3?4b348m47<j;<0e=?4b348mm7<j;<0ef?4b348mh7<j;<0ea?4b348mj7<j;<134?4b349;=7<j;<136?4b34;9h7oi;|q20=<72;q6=875349>51c=io1v<;;:18787213h=70?93;16?873m3><70?<d;64?xu6<>0;6?u214:970=:9=n1mk5rs070>5<2s4;>47l9;<356?5234;?i7:7;<30`?2>34;?h7:8;|q200<72;q6=885349>51g=io1v<;>:184872>3h=70?90;16?873i3>370?;b;6:?873l3>270?;c;6;?874m3>j7p}>4583>7}:9<?1?85215;9ec=z{8?;6=47{<361?d134;>j7=:;<37e?2034;?n7:7;<30b?2?34;?h7:7;<37=?2134;?o7:8;|q203<72;q6=895349>51d=io1v<;=:185872?3h=70?91;16?873j3><70?;d;6b?873k3>270?<e;6:?xu6=m0;69mt=041>g0<5;:o6>l4=33;>6d<5;886>l4=367>6d<5;8n6>l4=31:>6d<5;>m6>l4=370>6d<5;??6>l4=32f>6d<5;;:6>l4=32e>6d<5;;;6>l4=331>6d<5;;86>l4=337>6d<5;;>6>l4=33:>6d<5;;=6>l4=334>6d<5;;j6>l4=33a>6d<5;;h6>l4=33g>6d<5;8;6>l4=33f>6d<5;;m6>l4=302>6d<5;896>l4=307>6d<5;8>6>l4=30;>6d<5;8=6>l4=304>6d<5;826>l4=30b>6d<5;8i6>l4=30`>6d<5;9;6>l4=30g>6d<5;8m6>l4=312>6d<5;996>l4=310>6d<5;9?6>l4=314>6d<5;9>6>l4=315>6d<5;9i6>l4=31;>6d<5;9j6>l4=31f>6d<5;9h6>l4=31g>6d<5;9m6>l4=363>6d<5;>:6>l4=361>6d<5;>=6>l4=360>6d<5;>>6>l4=364>6d<5;>36>l4=36:>6d<5;>j6>l4=36g>6d<5;>i6>l4=36`>6d<5;>n6>l4=373>6d<5;?:6>l4=371>6d<5kn18:52bb87<>;6<;0?m6s|14a94?2ds4;==7l9;<03`?5f348:47=n;<017?5f348?87=n;<01a?5f348857=n;<07b?5f348>?7=n;<060?5f348;i7=n;<025?5f348;j7=n;<024?5f348:>7=n;<027?5f348:87=n;<021?5f348:57=n;<022?5f348:;7=n;<02e?5f348:n7=n;<02g?5f348:h7=n;<014?5f348:i7=n;<02b?5f3489=7=n;<016?5f348987=n;<011?5f348947=n;<012?5f3489;7=n;<01=?5f3489m7=n;<01f?5f3489o7=n;<004?5f3489h7=n;<01b?5f3488=7=n;<006?5f3488?7=n;<000?5f3488;7=n;<001?5f3488:7=n;<00f?5f348847=n;<00e?5f3488i7=n;<00g?5f3488h7=n;<00b?5f348?<7=n;<075?5f348?>7=n;<072?5f348??7=n;<071?5f348?;7=n;<07<?5f348?57=n;<07e?5f348?h7=n;<07f?5f348?o7=n;<07a?5f348><7=n;<065?5f348>>7=n;<`f>11<5kn1855215090<=z{8?i6=4;cz?225<e>279<i4<9:?15=<41279>>4<9:?101<41279>h4<9:?17<<412798k4<9:?116<41279994<9:?14`<41279=<4<9:?14c<41279==4<9:?157<41279=>4<9:?151<41279=84<9:?15<<41279=;4<9:?152<41279=l4<9:?15g<41279=n4<9:?15a<41279>=4<9:?15`<41279=k4<9:?164<41279>?4<9:?161<41279>84<9:?16=<41279>;4<9:?162<41279>44<9:?16d<41279>o4<9:?16f<41279?=4<9:?16a<41279>k4<9:?174<41279??4<9:?176<41279?94<9:?172<41279?84<9:?173<41279?o4<9:?17=<41279?l4<9:?17`<41279?n4<9:?17a<41279?k4<9:?105<412798<4<9:?107<412798;4<9:?106<41279884<9:?102<41279854<9:?10<<412798l4<9:?10a<412798o4<9:?10f<412798h4<9:?115<412799<4<9:?117<4127ij7:8;<`f>1><58>:69o4}r36e?6=<kq6=8h5b79>65b=;116><65399>675=;116>9:5399>67c=;116>>75399>61`=;116>8=5399>602=;116>=k5399>647=;116>=h5399>646=;116><<5399>645=;116><:5399>643=;116><75399>640=;116><95399>64g=;116><l5399>64e=;116><j5399>676=;116><k5399>64`=;116>??5399>674=;116>?:5399>673=;116>?65399>670=;116>?95399>67?=;116>?o5399>67d=;116>?m5399>666=;116>?j5399>67`=;116>>?5399>664=;116>>=5399>662=;116>>95399>663=;116>>85399>66d=;116>>65399>66g=;116>>k5399>66e=;116>>j5399>66`=;116>9>5399>617=;116>9<5399>610=;116>9=5399>613=;116>995399>61>=;116>975399>61g=;116>9j5399>61d=;116>9m5399>61c=;116>8>5399>607=;116>8<5399>fc<3027:8>4;8:p56?=839p1<=8:278945?2:?01<=n:`d8yv74?3:1>v3>368a2>;6;h0?;6s|12194?4|58996>;4=017>d`<uz;8<7>57z?277<e>27:?;4;9:?271<3127:?l4;9:?26`<3?27:>n4;9:?26a<312wx=>;50;0x94562:?01<=9:`d8yv75n3:1;v3>308a2>;6;?0?;63>3587<>;6;h0?463>2d87<>;6:j0?m63>2e87e>{t9?31<7<t=053>66<58<26lh4}r35a?6=:r7:;=4;d:?22`<fn2wx=:850;0x94172hl01<9;:278yv7103:1?v3>6g804>;6>008<63>698bb>{t9?n1<7=t=04e>1b<58<26o64=04g>d`<uz;<97>52z?22c<fn27:;>4<5:p5g4=83?p1<86:24894d02k<01<m>:27894>e2=201<8k:558yv71?3:1>v3>69804>;6>>0jj6s|1c394?3|58<36>84=0`5>g0<58i;6>;4=0:a>1g<58<h6994}r35g?6=:r7::54m8:?22f<fn2wx=;850;0x94002::01<89:`d8yv7e83:19v3>66802>;6j<0i:63>bg801>;60h0?m63>6c873>{t9?h1<7<t=044>g><58<i6lh4}r351?6=:r7::;4<0:?220<fn2wx=lh50;7x94012:<01<l;:c4894db2:?01<8n:55894>d2==0q~?9a;296~;6>?0i463>6`8bb>{t91n1<7?8{<351?5134;j87<j;<3b7?4b34;j=7<j;<3b4?4b34;j>7<j;<3bg?4b34;jm7<j;<3bf?4b34;jh7<j;<3ba?4b34;i:7<j;<3a0?4b34;i97<j;<3a3?4b34;i47<j;<3`6?4b34;h=7<j;<3`4?4b34;ij7<j;<3aa?4b34;3i7oi;<3;0?2f3ty:;?4?:3y>522=j?16=:75499~w4162909w0?83;`5?87013><7p}>7`83>6}:9>=1>h5216d96`=:91?1mk5rs05;>5<5s4;<;7=:;<34=?ga3ty:5>4?:3y>5d2=;<16=475ag9~w4?a290?w0?n4;`5?87fm39>70?69;64?87?:3>37p}>9383>7}:9h91?85218:9ec=z{83n6=4:{<3b7?d134;jh7=:;<3:=?2?34;3>7:n;<3:<?203ty:5=4?:3y>5d7=;<16=4;5ag9~w4?d290<w0?n1;`5?87fj39>70?65;64?87>>3>270?73;6b?87>03>270?67;6;?xu60o0;6?u21`2970=:90>1mk5rs0;a>5<?s4;j<7l9;<3be?5234;297:7;<3:2?2?34;247:7;<3:0?2134;2;7:8;<3;0?2?3ty:5<4?:3y>5d4=;<16=485ag9~w4?c290=w0?n2;`5?87fk39>70?66;64?87?;3>370?68;6b?87>?3>27p}>a683>6}:9hi1n;521c4970=:9>i18:5rs0c6>5<4s4;jm7l9;<3a0?5234;<h7:8;|q2e3<72:q6=ll5b79>5g3=;<16=:l5469~w4g?2908w0?nd;`5?87e?39>70?8c;6;?xu6i00;6>u21`g9f3=:9k21?85216`90==z{8h86=4;{<3a<?d134;h>7=:;<3;e?2?34;=i7:8;|q2ff<72=hp1<m>:c48976c2;201??7:3:897442;201?:;:3:8974b2;201?=6:3:8972a2;201?;<:3:897332;201?>j:3:897762;201?>i:3:897772;201??=:3:897742;201??;:3:897722;201??6:3:897712;201??8:3:8977f2;201??m:3:8977d2;201??k:3:897472;201??j:3:8977a2;201?<>:3:897452;201?<;:3:897422;201?<7:3:897412;201?<8:3:8974>2;201?<n:3:8974e2;201?<l:3:897572;201?<k:3:8974a2;201?=>:3:897552;201?=<:3:897532;201?=8:3:897522;201?=9:3:8975e2;201?=7:3:8975f2;201?=j:3:8975d2;201?=k:3:8975a2;201?:?:3:897262;201?:=:3:897212;201?:<:3:897222;201?:8:3:8972?2;201?:6:3:8972f2;201?:k:3:8972e2;201?:l:3:8972b2;201?;?:3:897362;201?;=:3:89f7=<>16o=4;8:p5gd=83>iw0?l0;`5?847l38<70<>8;04?845;38<70<;4;04?845m38<70<<9;04?843n38<70<:3;04?842<38<70<?e;04?846938<70<?f;04?846838<70<>2;04?846;38<70<>4;04?846=38<70<>9;04?846>38<70<>7;04?846i38<70<>b;04?846k38<70<>d;04?845838<70<>e;04?846n38<70<=1;04?845:38<70<=4;04?845=38<70<=8;04?845>38<70<=7;04?845138<70<=a;04?845j38<70<=c;04?844838<70<=d;04?845n38<70<<1;04?844:38<70<<3;04?844<38<70<<7;04?844=38<70<<6;04?844j38<70<<8;04?844i38<70<<e;04?844k38<70<<d;04?844n38<70<;0;04?843938<70<;2;04?843>38<70<;3;04?843=38<70<;7;04?843038<70<;9;04?843i38<70<;d;04?843j38<70<;c;04?843m38<70<:0;04?842938<70<:2;04?8e52==01n?5499~w4df290?nv3>bg8a2>;58m09:63=19812>;5::09:63=45812>;5:l09:63=38812>;5<o09:63=52812>;5==09:63=0d812>;59809:63=0g812>;59909:63=13812>;59:09:63=15812>;59<09:63=18812>;59?09:63=16812>;59h09:63=1c812>;59j09:63=1e812>;5:909:63=1d812>;59o09:63=20812>;5:;09:63=25812>;5:<09:63=29812>;5:?09:63=26812>;5:009:63=2`812>;5:k09:63=2b812>;5;909:63=2e812>;5:o09:63=30812>;5;;09:63=32812>;5;=09:63=36812>;5;<09:63=37812>;5;k09:63=39812>;5;h09:63=3d812>;5;j09:63=3e812>;5;o09:63=41812>;5<809:63=43812>;5<?09:63=42812>;5<<09:63=46812>;5<109:63=48812>;5<h09:63=4e812>;5<k09:63=4b812>;5<l09:63=51812>;5=809:63=53812>;d;3><70m=:5:8yv7e13:18lu21cg9f3=::9n1>85220:960=::;91>852256960=::;o1>85222;960=::=l1>852241960=::<>1>85221g960=::8;1>85221d960=::8:1>852200960=::891>852206960=::8?1>85220;960=::8<1>852205960=::8k1>85220`960=::8i1>85220f960=::;:1>85220g960=::8l1>852233960=::;81>852236960=::;?1>85223:960=::;<1>852235960=::;31>85223c960=::;h1>85223a960=::::1>85223f960=::;l1>852223960=:::81>852221960=:::>1>852225960=:::?1>852224960=:::h1>85222:960=:::k1>85222g960=:::i1>85222f960=:::l1>852252960=::=;1>852250960=::=<1>852251960=::=?1>852255960=::=21>85225;960=::=k1>85225f960=::=h1>85225a960=::=o1>852242960=::<;1>852240960=:k:0?46s|28f94?4|5;o>6>;4=22b>d`<uz8h=7>52z?1a0<e>27:i54<5:p6d>=838p1?k9:278966e2hl0q~<lc;296~;5m?0i:63>e8801>{t:k91<7<t=3d2>63<5:;=6lh4}r0g3?6=:r79j<4m6:?2b1<4=2wx>oo50;0x97`d2:?01><>:`d8yv4cm3:1>v3=fb8a2>;6no0896s|2c`94?4|5::86>;4=204>d`<uz8oj7>52z?046<e>279<;4<5:p6ge=838p1>>;:278964?2hl0q~<j0;296~;48=0i:63=06801>{t:kn1<7<t=226>63<5:826lh4}r0f5?6=:r78<84m6:?14=<4=2wx>ok50;0x96612:?01><n:`d8yv4b:3:1>v3<078a2>;5800896s|2cd94?4|5::<6>;4=20a>d`<uz8n?7>52z?042<e>279<l4<5:p6f6=838p1>>7:278964d2hl0q~<j4;296~;4810i:63=0c801>{t:0o1<7<t=3g4>63<5::26lh4}r0`6?6=:r79i:4m6:?2ad<4=2wx>4h50;0x97c?2:?01>>l:`d8yv4d;3:1>v3=e98a2>;6mk0896s|2`294?4|5;o26>;4=22g>d`<uz8h87>52z?1a<<e>27:in4<5:p6d7=838p1?kn:278966b2hl0q~<l5;296~;5mh0i:63>ee801>{t:h81<7<t=3ga>63<5::m6lh4}r0`2?6=:r79io4m6:?2a`<4=2wx>l=50;0x97cd2:?01>??:`d8yv4d?3:1>v3=eb8a2>;6mo0896s|2`694?4|5;oo6>;4=232>d`<uz8h47>52z?1aa<e>27:j=4<5:p6d3=838p1?kj:27896752hl0q~<l9;296~;5ml0i:63>f0801>{t:h<1<7<t=3ge>63<5:;86lh4}r0`e?6=:r79ik4m6:?2b7<4=2wx>l950;0x97`72:?01>?;:`d8yv4dj3:1>v3=f18a2>;6n:0896s|2`;94?4|5;l96>;4=236>d`<uz8hh7>52z?1b7<e>27:j84<5:p6dg=838p1?h<:27896702hl0q~<le;296~;5n:0i:63>f7801>{t:hh1<7<t=3d7>63<5:;36lh4}r0`b?6=:r79j94m6:?2b2<4=2wx>lm50;0x97`22:?01>?6:`d8yv4c83:1>v3=f48a2>;6n10896s|2`f94?4|5;l=6>;4=23b>d`<uz8o=7>52z?1b3<e>27:j44<5:p6dc=838p1?h8:278967e2hl0q~<k2;296~;5n>0i:63>f`801>{t:hl1<7<t=3d;>63<5:;h6lh4}r0g7?6=:r79j54m6:?2bg<4=2wx>o>50;0x97`>2:?01>?k:`d8yv4c<3:1>v3=f88a2>;6nj0896s|2c394?4|5;lj6>;4=23f>d`<uz8o97>52z?1bd<e>27:ji4<5:p6g4=838p1?hm:278967a2hl0q~<k6;296~;5nk0i:63>fd801>{t:k>1<7<t=3dg>63<5:8;6lh4}r0g<?6=:r79ji4m6:?145<4=2wx>o;50;0x97`b2:?01><=:`d8yv4c13:1>v3=fd8a2>;5880896s|2c494?4|5;lm6>;4=200>d`<uz8om7>52z?1bc<e>279<?4<5:p6g1=838p1>>?:27896432hl0q~<kb;296~;4890i:63=02801>{t:k21<7<t=222>63<5:8>6lh4}r0gg?6=:r78<<4m6:?141<4=2wx>o750;0x96652:?01><9:`d8yv4cl3:1>v3<038a2>;58<0896s|33f94?42s48;h7=;c:?166<4<j16>>7535a8972a2:>h70<:4;17g>;598088n5221d971e<5;;86>:l;<021?53k279=:4<4b9>64g=;=i01??l:26`?845839?o63=1d800f=::;81?9m4=306>62d3489;7=;c:?16<<4<j16>?l535a897572:>h70<=d;17g>;5;;088n52226971e<5;9=6>:l;<00f?53k279?54<4b9>66b=;=i01?=i:26`?843939?o63=47800f=::=91?9m4=36;>62d348?m7=;c:?10f<4<j16>9k535a897362:>h70==d;ce?xu5080;6?u221f972=:;9k1855rs20f>5<5=r79=54<4b9>612=;=i01?<j:26`?842;39?o63=0d800f=::8:1?9m4=331>62d348:87=;c:?15<<4<j16><8535a8977e2:>h70<>d;17g>;59o088n52233971e<5;8?6>:l;<01<?53k279>;4<4b9>67g=;=i01?<l:26`?845n39?o63=30800f=:::91?9m4=314>62d348897=;c:?17d<4<j16>>k535a8975d2:>h70<;0;17g>;5<;088n52257971e<5;><6>:l;<07=?53k2798i4<4b9>61d=;=i01?;?:26`?842:39?o63<2d8bb>{t:1i1<7<t=33;>61<5::j6974}r0:3?6=:r79>>4<7:?04g<302wx>;h50;0x97232:=01>?9:5;8yv42i3:1>v3=2d803>;48k0?56s|27794?4|5;926>94=235>1><uz8<<7>52z?10c<4?278><4;8:p627=838p1?;<:25896462=30q~<82;296~;5==08;63<2687<>{t:>91<7<t=32f>61<5:8<6974}r042?6=:r79=<4<7:?06<<302wx>::50;0x976a2:=01><7:5:8yv40=3:1>v3=11803>;4:10?56s|26594?4|5;;96>94=20:>1?<uz8<47>52z?156<4?278>l4;8:p62?=838p1??;:258964f2=30q~<8a;296~;59<08;63<2c87<>{t:>n1<7<t=33:>61<5:8h6974}r04f?6=:r79=;4<7:?06g<312wx>:m50;0x97702:=01><l:5:8yv40m3:1>v3=1`803>;4800?46s|26d94?4|5;;i6>94=22:>1?<uz83<7>52z?15f<4?278<n4;8:p6=4=838p1??k:258966d2=30q~<75;296~;5:908;63<0d87<>{t:191<7<t=33f>61<5::o6964}r0;0?6=:r79=k4<7:?04a<312wx>5850;0x97462:=01>>j:5;8yv4??3:1>v3=23803>;48o0?46s|29:94?4|5;8?6>94=22e>1?<uz8357>52z?160<4?278==4;8:p6=b=838p1?<7:25896762=30q~<7a;296~;5:?08;63<1187=>{t:1h1<7<t=304>61<5:;:6964}r0;a?6=:r79>44<7:?057<302wx>5h50;0x974f2:=01>?=:5;8yv4>83:1>v3=2c803>;49:0?46s|28394?4|5;8h6>94=230>1?<uz8287>52z?175<4?278=84;8:p6<4=838p1?<k:25896732=20q~<63;296~;5:o08;63<1587=>{t:0?1<7<t=312>61<5:;>6974}r0:2?6=:r79??4<7:?052<302wx>4650;0x97542:=01>?8:5;8yv4>13:1>v3=35803>;4910?46s|28a94?4|5;9<6>94=23:>1?<uz82m7>52z?170<4?278=54;9:p6<d=838p1?=9:258967>2=20q~<:7;296~;5;k08;63<1c87<>{t:<?1<7<t=31;>61<5:;j6964}r062?6=:r79?l4<7:?05d<312wx>8l50;0x975b2:=01>?l:5;8yv4203:1>v3=3b803>;49k0?56s|24;94?4|5;9o6>94=23`>1><uz8>o7>52z?17c<4?278=i4;8:p60b=838p1?:?:258967c2=30q~<:e;296~;5<808;63<1d87<>{t:<l1<7<t=361>61<5:;n6974}r056?6=:r798;4<7:?065<302wx>;>50;0x97242:=01>?i:5:8yv4193:1>v3=44803>;49o0?56s|27194?4|5;><6>94=203>1?<uz8=87>52z?10=<4?278>?4;8:p630=838p1?:6:25896452=30q~<97;296~;5<h08;63<2287<>{t:?k1<7<t=36g>61<5:8?6974}r05<?6=:r798o4<7:?066<312wx>;750;0x972d2:=01><;:5:8yv41j3:1>v3=4d803>;4:<0?46s|27a94?4|5;?;6>94=206>1?<uz8=h7>52z?114<4?278>;4;8:p63c=838p1?;=:25896412=30q~<?c;2960}::9?1>h5221696`=::991>h5221096`=::9;1>h5221296`=:9oo1>h521gf96`=:9oi1>h521g`96`=:9ok1>h521g;96`=:9o21>h521g596`=:9o<1>h521g796`=:9o91>h521g096`=:9o;1>h521g296`=:9ll1>h521dg96`=:9ln1>h521da96`=:9lh1>h521dc96`=::9h1>h5221c96`=::931>h5221:96`=::9=1>h5221496`=:9ol1>h521g696`=:9l31>h521d:96`=:9;o1mk5rs0:;>5<4s4;3:7=:;<3;3?5234;357oi;|q2<3<72?q6=585b79>5=3=<>16=5j5499>77b=<>16??k5489>5=c=<11v<6?:181870n39>70?71;ce?xu0<3:1>v3>0987e>;6800?i6s|7683>7}:l=0?m63k5;6f?xu61h0;6?u218;90<=:90=18h5rs06e>5<5s4;?i7:6;<37g?2b3ty::94?:3y>57e=io16=>h54`9~w4262909w0?;1;ce?87383>37p}>4383>7}:9=81mk5215190<=z{89o6=4={<30`?ga34;?<7:8;|q27c<72;q6=9>5489>56`=io1v<:<:18187383>j70?;3;6f?xu6k:0;6?u219f9ec=:9131855rs0:1>5<5s4;3>7oi;<3;5?2?3ty:4>4?:3y>5=5=io16=5:5489~w41b2908w0?71;6:?87?13>j70?8d;ce?xu60=0;6?u219390d=:91>18h5rs05a>5<5s4;<n7oi;<34`?2f3ty:4l4?:3y>5=g=io16=5m54`9~w4>e2909w0?7b;ce?87?k3>27p}>8b83>7}:9131845219a90`=z{89n6=4={<30b?2>34;8i7:j;|q23f<72;q6=:j5489>52e=<l1vq~:<2;296~X3;;16>o4;339'711=111v9=6:181[241279n7:<9:&002<>12wx8?650;0xZ14?348i69<7;%173?g53ty8m94?:3y]7=6<5;h1?5>4$264>30<uz9j>7>52z\03c=::k08;k5+355934=z{:k:6=4={_14a>;5j39<i6*<46847>{t;h:1<7<t^25g?84e2:=o7)=;7;57?xu41o0;6?uQ36a897d=;>i0(>:8:648yv5>m3:1>vP<7c9>6g<4?k1/?995769~w6?d2909wS=89:?1f?5012.88:488:p7<d=838pR>97;<0a>61?3-9?;796;|q0=d<72;qU?:94=3`9721<,:><6:o4}r1:=?6=:rT8;;522c8033=#;==1;o5rs2;;>5<5sW9<963=b;141>"4<>0<o6s|38594?4|V:=?70<m:257?!53?3=o7p}<9783>7}Y;>901?l53618 6202>o0q~=65;296~X4?;16>o4<739'711=?o1v>7;:181[509279n7=81:&002<?82wx?4=50;0xZ617348i6>9?;%173?>63ty85<4?:3y]73c<5;h1?;k4$264>=4<uz92<7>52z\02a=::k08:i5+3559<6=z{:2m6=4={_15g>;5j39=o6*<468;0>{t;1o1<7<t^24a?84e2:<i7)=;7;:6?xu40m0;6?uQ37c897d=;?k0(>:8:948yv5?k3:1>vP<689>6g<4>01/?995869~w6>e2909wS=98:?1f?5102.88:478:p7=g=838pR>88;<0a>6003-9?;766;|q0<<<72;qU?;84=3`9730<,:><65o4}r1;<?6=:rT8:8522c8020=#;==14o5rs2ca>5<5sW93:63=b;1;2>"4<>03o6s|3`c94?4|V:2>70<m:2:6?!53?32o7p}<a883>7}Y;1>01?l53968 62021o0q~=n8;296~X40:16>o4<829'711=0o1v>o8:181[5?:279n7=72:&002<>82wx?l850;0xZ6>6348i6>6>;%173??63ty8m84?:3y]72g<5;h1?:o4$264><4<uz92h7>52z\02c=::k08:k5+3559=6=z{:396=4={_150>;5j39=86*<468:0>{t;1=1<7<t^240?84e2:<87)=;7;;6?xu3<90;6?uQ452897d=<=:0(>:8:848yv2483:1>vP;319>6g<3;91/?995969~w6cc2909wS=l9:?1f?5d12.88:46a:p7`d=838pR>m7;<0a>6e?3-9?;77m;|q0ad<72;qU?n94=3`97f1<,:><64m4}r1f=?6=:rT8o;522c80g3=#;==15i5rs2g;>5<5sW9h963=b;1`1>"4<>02i6s|3d594?4|V:i?70<m:2a7?!53?33m7p}<e483>7}Y;j801?l53b08 6202h:0q~=j4;296~X4k816>o4<c09'711=i81v>k<:181[5d8279n7=l0:&002<f;2wx?h<50;0xZ6da348i6>li;%173?g33ty8i<4?:3y]7gc<5;h1?ok4$264>d3<uz9n<7>52z\0fa=::k08ni5+3559e3=z{:nm6=4={_1ag>;5j39io6*<468b3>{t;mo1<7<t^2`a?84e2:hi7)=;7;c;?xu4lm0;6?uQ3cc897d=;kk0(>:8:`;8yv5ck3:1>vP<b89>6g<4j01/?995a`9~w6bf2909wS=m7:?1f?5e?2.88:4nb:p7a?=838pR>l9;<0a>6d13-9?;7ol;|q0`=<72;qU?o;4=3`97g3<,:><68m4}r1g3?6=:rT8n9522c80f1=#;==19i5rs2f5>5<5sW9i?63=b;1a7>"4<>0>i6s|3e794?4|V:h970<m:2`1?!53?3?m7p}<d583>7}Y;k;01?l53c38 6202?:0q~=k3;296~X4j916>o4<b19'711=>81v>j=:181[5fn279n7=nf:&002<1:2wx?i?50;0xZ6gb348i6>oj;%173?043ty8j94?:3y]7f`<5;h1?nh4$264>32<uz9m?7>52z\0g`=::k08oh5+355920=z{:l96=4={_1``>;5j39hh6*<46853>{t;o;1<7<t^2a`?84e2:ih7)=;7;4;?xu4n90;6?uQ3b`897d=;jh0(>:8:7;8yv5bn3:1>vP<c`9>6g<4kh1/?9956`9~w6cb2909wS=l3:?1f?5d;2.88:49b:p7`0=838pR>l7;<0a>6d?3-9?;78l;|q0`g<72;qU?lj4=3`97db<,:><6;j4}r1g4?6=:rT8mn522c80ef=#;==1:h5rs2d`>5<5sW9mo63=b;1eg>"4<>0=j6s|34:94?4|V:?370<m:27;?!53?3=;7p}<f783>7}Y;o<01?l53g48 6202>80q~:>5;296~X39<16>o4;149'711=?<1vqcm<e;296~N4<11vbn=i:181M5302weo9>50;0xL62?3tdh8<4?:3yK71><ugi?>7>52zJ00==zfj>86=4={I17<>{ik=>1<7<tH26;?xhd<<0;6?uG35:8yke3>3:1>vF<499~jf202909wE=;8:mg1>=838pD>:7;|l`0<<72;qC?964}oa7e?6=:rB8855rnb6a>5<5sA9?46sac5a94?4|@:>37p`l4e83>7}O;=20qcm;e;296~N4<11vbn:i:181M5302weo8>50;0xL62?3tdh9<4?:3yK71><ugi>>7>52zJ00==zfj?86=4={I17<>{ik<>1<7<tH26;?xhd=<0;6?uG35:8yke2>3:1>vF<499~jf302909wE=;8:mg0>=838pD>:7;|l`1<<72;qC?964}oa6e?6=:rB8855rnb7a>5<5sA9?46sac4a94?4|@:>37p`l5e83>7}O;=20qcm:e;296~N4<11vbn;i:181M5302weo;>50;0xL62?3tdh:<4?:3yK71><ugi=>7>52zJ00==zfj<86=4={I17<>{ik?>1<7<tH26;?xhd><0;6?uG35:8yke1>3:1>vF<499~jf002909wE=;8:mg3>=838pD>:7;|l`2<<72;qC?964}oa5e?6=:rB8855rnb4a>5<5sA9?46sac7a94?4|@:>37p`l6e83>7}O;=20qcm9e;296~N4<11vbn8i:181M5302weo:>50;0xL62?3tdh;<4?:3yK71><ugi<>7>52zJ00==zfj=86=4={I17<>{ik>>1<7<tH26;?xhd?<0;6?uG35:8yke0>3:1>vF<499~jf102909wE=;8:mg2>=838pD>:7;|l`3<<72;qC?964}oa4e?6=:rB8855rnb5a>5<5sA9?46sac6a94?4|@:>37p`l7e83>7}O;=20qcm8e;296~N4<11vbn9i:181M5302weo5>50;0xL62?3tdh4<4?:3yK71><ugi3>7>52zJ00==zfj286=4={I17<>{ik1>1<7<tH26;?xhd0<0;6?uG35:8yke?>3:1>vF<499~jf>02909wE=;8:mg=>=838pD>:7;|l`<<<72;qC?964}oa;e?6=:rB8855rnb:a>5<5sA9?46sac9a94?4|@:>37p`l8e83>7}O;=20qcm7e;296~N4<11vbll9:182M5302wen=950;3xL62?3tdi<54?:0yK71><ugh;57>51zJ00==zfk:j6=4>{I17<>{ij9h1<7?tH26;?xhe8j0;6<uG35:8ykd7l3:1=vF<499~jg6b290:wE=;8:mf5`=83;pD>:7;|la55<728qC?964}o`25?6=9rB8855rnc31>5<6sA9?46sab0194?7|@:>37p`m1583>4}O;=20qcl>5;295~N4<11vbo?9:182M5302wen<950;3xL62?3tdi=54?:0yK71><ugh:57>51zJ00==zfk;j6=4>{I17<>{ij8h1<7?tH26;?xhe9j0;6<uG35:8ykd6l3:1=vF<499~jg7b290:wE=;8:mf4`=83;pD>:7;|la65<728qC?964}o`15?6=9rB8855rnc01>5<6sA9?46sab3194?7|@:>37p`m2583>4}O;=20qcl=5;295~N4<11vbo<9:182M5302wen?950;3xL62?3tdi>54?:0yK71><ugh957>51zJ00==zfk8j6=4>{I17<>{ij;h1<7?tH26;?xhe:j0;6<uG35:8ykd5l3:1=vF<499~jg4b290:wE=;8:mf7`=83;pD>:7;|la75<728qC?964}o`05?6=9rB8855rnc11>5<6sA9?46sab2194?7|@:>37p`m3583>4}O;=20qcl<5;295~N4<11vbo=9:182M5302wen>950;3xL62?3tdi?54?:0yK71><ugh857>51zJ00==zfk9j6=4>{I17<>{ij:h1<7?tH26;?xhe;j0;6<uG35:8ykd4l3:1=vF<499~jg5b290:wE=;8:mf6`=83;pD>:7;|la05<728qC?964}o`75?6=9rB8855rnc61>5<6sA9?46sab5194?7|@:>37p`m4583>4}O;=20qcl;5;295~N4<11vbo:9:182M5302wen9950;3xL62?3tdi854?:0yK71><ugh?57>51zJ00==zfk>j6=4>{I17<>{ij=h1<7?tH26;?xhe<j0;6<uG35:8ykd3l3:1=vF<499~jg2b290:wE=;8:mf1`=83;pD>:7;|la15<728qC?964}o`65?6=9rB8855rnc71>5<6sA9?46sab4194?7|@:>37p`m5583>4}O;=20qcl:5;295~N4<11vbo;9:182M5302wen8950;3xL62?3tdi954?:0yK71><ugh>57>51zJ00==zfk?j6=4>{I17<>{ij<h1<7?tH26;?xhe=j0;6<uG35:8ykd2l3:1=vF<499~jg3b290:wE=;8:mf0`=83;pD>:7;|la25<728qC?964}o`55?6=9rB8855rnc41>5<6sA9?46sab7194?7|@:>37p`m6583>4}O;=20qcl95;295~N4<11vbo89:182M5302wen;950;3xL62?3tdi:54?:0yK71><ugh=57>51zJ00==zfk<j6=4>{I17<>{ij?h1<7?tH26;?xhe>j0;6<uG35:8ykd1l3:1=vF<499~jg0b290:wE=;8:mf3`=83;pD>:7;|la35<728qC?964}o`45?6=9rB8855rnc51>5<6sA9?46sab6194?7|@:>37p`m7583>4}O;=20qcl85;295~N4<11vbo99:182M5302wen:950;3xL62?3tdi;54?:0yK71><ugh<57>51zJ00==zfk=j6=4>{I17<>{ij>h1<7?tH26;?xhe?j0;6<uG35:8ykd0l3:1=vF<499~jg1b290:wE=;8:mf2`=83;pD>:7;|la<5<728qC?964}o`;5?6=9rB8855rnc:1>5<6sA9?46sab9194?7|@:>37p`m8583>4}O;=20qcl75;295~N4<11vbo69:182M5302wen5950;3xL62?3tdi454?:0yK71><ugh357>51zJ00==zfk2j6=4>{I17<>{ij1h1<7?tH26;?xhe0j0;6<uG35:8ykd?l3:1=vF<499~jg>b290:wE=;8:mf=`=83;pD>:7;|la=5<728qC?964}o`:5?6=9rB8855rnc;1>5<6sA9?46sab8194?7|@:>37p`m9583>4}O;=20qcl65;295~N4<11vbo79:182M5302wen4950;3xL62?3tdi554?:0yK71><ugh257>51zJ00==zfk3j6=4>{I17<>{ij0h1<7?tH26;?xhe1j0;6<uG35:8ykd>l3:1=vF<499~jg?b290:wE=;8:mf<`=83;pD>:7;|lae5<728qC?964}o`b5?6=9rB8855rncc1>5<6sA9?46sab`194?7|@:>37p`ma583>4}O;=20qcln5;295~N4<11vboo9:182M5302wenl950;3xL62?3tdim54?:0yK71><ughj57>51zJ00==zfkkj6=4>{I17<>{ijhh1<7?tH26;?xheij0;6<uG35:8ykdfl3:1=vF<499~jggb290:wE=;8:mfd`=83;pD>:7;|laf5<728qC?964}o`a5?6=9rB8855rnc`1>5<6sA9?46sabc194?7|@:>37p`mb583>4}O;=20qclm5;295~N4<11vbol9:182M5302weno950;3xL62?3tdin54?:0yK71><ughi57>51zJ00==zfkhj6=4>{I17<>{ijkh1<7?tH26;?xhejj0;6<uG35:8ykdel3:1=vF<499~jgdb290:wE=;8:mfg`=83;pD>:7;|lag5<728qC?964}o``5?6=9rB8855rnca1>5<6sA9?46sabb194?7|@:>37p`mc583>4}O;=20qcll5;295~N4<11vbom9:182M5302wenn950;3xL62?3tdio54?:0yK71><ughh57>51zJ00==zfkij6=4>{I17<>{ijjh1<7?tH26;?xhekj0;6<uG35:8ykddl3:1=vF<499~jgeb290:wE=;8:mff`=83;pD>:7;|la`5<728qC?964}o`g5?6=9rB8855rncf1>5<6sA9?46sabe194?7|@:>37p`md583>4}O;=20qclk5;295~N4<11vboj9:182M5302weni950;3xL62?3tdih54?:0yK71><ugho57>51zJ00==zfknj6=4>{I17<>{ijmh1<7?tH26;?xhelj0;6<uG35:8ykdcl3:1=vF<499~jgbb290:wE=;8:mfa`=83;pD>:7;|laa5<728qC?964}o`f5?6=9rB8855rncg1>5<6sA9?46sabd194?7|@:>37p`me583>4}O;=20qclj5;295~N4<11vbok9:182M5302wenh950;3xL62?3tdii54?:0yK71><ughn57>51zJ00==zfkoj6=4>{I17<>{ijlh1<7?tH26;?xhemj0;6<uG35:8ykdbl3:1=vF<499~jgcb290:wE=;8:mf``=83;pD>:7;|lab5<728qC?964}o`e5?6=9rB8855rncd1>5<6sA9?46sabg194?7|@:>37p`mf583>4}O;=20qcli5;295~N4<11vboh9:182M5302wenk950;3xL62?3tdij54?:0yK71><ughm57>51zJ00==zfklj6=4>{I17<>{ijoh1<7?tH26;?xhenj0;6<uG35:8ykdal3:1=vF<499~jg`b290:wE=;8:mfc`=83;pD>:7;|l`45<728qC?964}oa35?6=9rB8855rnb21>5<6sA9?46sac1194?7|@:>37p`l0583>4}O;=20qcm?5;295~N4<11vbn>9:182M5302weo=950;3xL62?3tdh<54?:0yK71><ugi;57>51zJ00==zfj:j6=4>{I17<>{ik9h1<7?tH26;?xhd8j0;6<uG35:8yke7l3:1=vF<499~jf6b290:wE=;8:mg5`=83;pD>:7;|l`55<728qC?964}oa25?6=9rB8855rnb31>5<6sA9?46sac0194?7|@:>37p`l1583>4}O;=20qcm>5;295~N4<11vbn?9:182M5302weo<950;3xL62?3tdh=54?:0yK71><ugi:57>51zJ00==zfj;j6=4>{I17<>{ik8h1<7?tH26;?xhd9j0;6<uG35:8yke6l3:1=vF<499~jf7b290:wE=;8:mg4`=83;pD>:7;|l`65<728qC?964}oa15?6=9rB8855rnb01>5<6sA9?46sac3194?7|@:>37p`l2583>4}O;=20qcm=5;295~N4<11vbn<9:182M5302weo?950;3xL62?3tdh>54?:0yK71><ugi957>51zJ00==zfj8j6=4>{I17<>{ik;h1<7?tH26;?xhd:j0;6<uG35:8yke5l3:1=vF<499~jf4b290:wE=;8:mg7`=83;pD>:7;|l`75<728qC?964}oa05?6=9rB8855rnb11>5<6sA9?46sac2194?7|@:>37p`l3583>4}O;=20qcm<5;295~N4<11vbn=9:182M5302weo>950;3xL62?3tdh?54?:0yK71><ugi857>51zJ00==zfj9j6=4>{I17<>{ik:h1<7?tH26;?xhd;j0;6<uG35:8yke4l3:1=vF<499~yx{GHJqn=546ee65e44zHIHp<pNOPzCD
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v deleted file mode 100644 index 68a8caaf6..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.v +++ /dev/null @@ -1,3839 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -//////////////////////////////////////////////////////////////////////////////// -// ____ ____ -// / /\/ / -// /___/ \ / Vendor: Xilinx -// \ \ \/ Version: M.63c -// \ \ Application: netgen -// / / Filename: fifo_xlnx_32x36_2clk.v -// /___/ /\ Timestamp: Fri Oct 15 00:50:08 2010 -// \ \ / \ -// \___\/\___\ -// -// Command : -intstyle ise -w -sim -ofmt verilog /tmp/_cg/fifo_xlnx_32x36_2clk.ngc /tmp/_cg/fifo_xlnx_32x36_2clk.v -// Device : 3s2000fg456-5 -// Input file : /tmp/_cg/fifo_xlnx_32x36_2clk.ngc -// Output file : /tmp/_cg/fifo_xlnx_32x36_2clk.v -// # of Modules : 1 -// Design Name : fifo_xlnx_32x36_2clk -// Xilinx : /opt/Xilinx/12.2/ISE_DS/ISE/ -// -// Purpose: -// This verilog netlist is a verification model and uses simulation -// primitives which may not represent the true implementation of the -// device, however the netlist is functionally correct and should not -// be modified. This file cannot be synthesized and should only be used -// with supported simulation tools. -// -// Reference: -// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 -// -//////////////////////////////////////////////////////////////////////////////// - -`timescale 1 ns/1 ps - -module fifo_xlnx_32x36_2clk ( - rd_en, almost_full, prog_full, wr_en, full, empty, wr_clk, rst, rd_clk, dout, din -)/* synthesis syn_black_box syn_noprune=1 */; - input rd_en; - output almost_full; - output prog_full; - input wr_en; - output full; - output empty; - input wr_clk; - input rst; - input rd_clk; - output [35 : 0] dout; - input [35 : 0] din; - - // synthesis translate_off - - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i62_392 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000156_391 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000079_390 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000063_389 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000027_388 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i26_387 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000069_386 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/comp2 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000067_384 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000026_383 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/count_not0001 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000063_381 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000158_380 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000115_379 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000062_378 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000026_377 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/count_not0001 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/N11 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/N11 ; - wire \BU2/N16 ; - wire \BU2/N14 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux0000 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux0000 ; - wire \BU2/U0/grf.rf/mem/dout_i_not0001 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N147 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N145 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N143 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N141 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N137 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N135 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N139 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N133 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N131 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N129 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N127 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N123 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N121 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N125 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N119 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N117 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N115 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N113 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N109 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N107 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N111 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N103 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N101 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N105 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N97 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N95 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N99 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N93 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N91 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N89 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N87 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N83 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N81 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N85 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N79 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N77 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N75 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N73 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N69 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N67 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N71 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N65 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N63 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N61 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N59 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N55 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N53 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N57 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N51 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N49 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N47 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N45 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N41 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N39 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N43 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N37 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N35 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N33 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N31 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N27 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N25 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N29 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N23 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N21 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N19 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N17 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N13 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N11 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N15 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N9 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N7 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/N5 ; - wire \BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count2 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count1 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count3 ; - wire \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count4 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_mux0003 ; - wire \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_not0001 ; - wire \BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ; - wire \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb_176 ; - wire \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i_mux0000 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count2 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count1 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count3 ; - wire \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count4 ; - wire \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0003 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0002 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0001 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0000 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0003 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0002 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0001 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0000 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0003_120 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0002 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0001 ; - wire \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0000 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0003_110 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0002 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0001 ; - wire \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0000 ; - wire \BU2/U0/grf.rf/rstblk/wr_rst_comb ; - wire \BU2/U0/grf.rf/rstblk/rd_rst_comb ; - wire \BU2/U0/grf.rf/rstblk/wr_rst_asreg_95 ; - wire \BU2/U0/grf.rf/rstblk/rd_rst_asreg_94 ; - wire \BU2/U0/grf.rf/rstblk/rst_d1_93 ; - wire \BU2/U0/grf.rf/rstblk/wr_rst_asreg_d2_92 ; - wire \BU2/U0/grf.rf/rstblk/wr_rst_asreg_d1_91 ; - wire \BU2/U0/grf.rf/rstblk/rd_rst_asreg_d2_90 ; - wire \BU2/U0/grf.rf/rstblk/rd_rst_asreg_d1_89 ; - wire \BU2/U0/grf.rf/rstblk/rst_d2_88 ; - wire \BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ; - wire \BU2/U0/grf.rf/rstblk/rst_d3_86 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_85 ; - wire \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000 ; - wire \BU2/N1 ; - wire NLW_VCC_P_UNCONNECTED; - wire NLW_GND_G_UNCONNECTED; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM72_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM71_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM70_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM69_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM67_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM66_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM68_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM65_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM64_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM63_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM62_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM60_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM59_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM61_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM58_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM57_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM56_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM55_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM53_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM52_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM54_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM50_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM49_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM51_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM47_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM46_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM48_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM45_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM44_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM43_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM42_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM40_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM39_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM41_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM38_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM37_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM36_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM35_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM33_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM32_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM34_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM31_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM30_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM29_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM28_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM26_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM25_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM27_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM24_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM23_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM22_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM21_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM19_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM18_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM20_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM17_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM16_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM15_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM14_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM12_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM11_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM13_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM10_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM9_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM8_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM7_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM5_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM4_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM6_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM3_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM2_SPO_UNCONNECTED ; - wire \NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM1_SPO_UNCONNECTED ; - wire [35 : 0] din_2; - wire [35 : 0] dout_3; - wire [35 : 0] \BU2/U0/grf.rf/mem/gdm.dm/dout_i ; - wire [35 : 0] \BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.wr/wpntr/count ; - wire [5 : 4] \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad ; - wire [5 : 1] \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy ; - wire [5 : 4] \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_add0000 ; - wire [1 : 0] \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state ; - wire [1 : 0] \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 ; - wire [4 : 0] \BU2/U0/grf.rf/gl0.rd/rpntr/count ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin ; - wire [4 : 0] \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin ; - wire [1 : 0] \BU2/U0/grf.rf/rstblk/wr_rst_reg ; - wire [2 : 0] \BU2/U0/grf.rf/rstblk/rd_rst_reg ; - wire [0 : 0] \BU2/rd_data_count ; - assign - dout[35] = dout_3[35], - dout[34] = dout_3[34], - dout[33] = dout_3[33], - dout[32] = dout_3[32], - dout[31] = dout_3[31], - dout[30] = dout_3[30], - dout[29] = dout_3[29], - dout[28] = dout_3[28], - dout[27] = dout_3[27], - dout[26] = dout_3[26], - dout[25] = dout_3[25], - dout[24] = dout_3[24], - dout[23] = dout_3[23], - dout[22] = dout_3[22], - dout[21] = dout_3[21], - dout[20] = dout_3[20], - dout[19] = dout_3[19], - dout[18] = dout_3[18], - dout[17] = dout_3[17], - dout[16] = dout_3[16], - dout[15] = dout_3[15], - dout[14] = dout_3[14], - dout[13] = dout_3[13], - dout[12] = dout_3[12], - dout[11] = dout_3[11], - dout[10] = dout_3[10], - dout[9] = dout_3[9], - dout[8] = dout_3[8], - dout[7] = dout_3[7], - dout[6] = dout_3[6], - dout[5] = dout_3[5], - dout[4] = dout_3[4], - dout[3] = dout_3[3], - dout[2] = dout_3[2], - dout[1] = dout_3[1], - dout[0] = dout_3[0], - din_2[35] = din[35], - din_2[34] = din[34], - din_2[33] = din[33], - din_2[32] = din[32], - din_2[31] = din[31], - din_2[30] = din[30], - din_2[29] = din[29], - din_2[28] = din[28], - din_2[27] = din[27], - din_2[26] = din[26], - din_2[25] = din[25], - din_2[24] = din[24], - din_2[23] = din[23], - din_2[22] = din[22], - din_2[21] = din[21], - din_2[20] = din[20], - din_2[19] = din[19], - din_2[18] = din[18], - din_2[17] = din[17], - din_2[16] = din[16], - din_2[15] = din[15], - din_2[14] = din[14], - din_2[13] = din[13], - din_2[12] = din[12], - din_2[11] = din[11], - din_2[10] = din[10], - din_2[9] = din[9], - din_2[8] = din[8], - din_2[7] = din[7], - din_2[6] = din[6], - din_2[5] = din[5], - din_2[4] = din[4], - din_2[3] = din[3], - din_2[2] = din[2], - din_2[1] = din[1], - din_2[0] = din[0]; - VCC VCC_0 ( - .P(NLW_VCC_P_UNCONNECTED) - ); - GND GND_1 ( - .G(NLW_GND_G_UNCONNECTED) - ); - LUT3_L #( - .INIT ( 8'h90 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000063 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [0]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000062_378 ), - .LO(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000063_381 ) - ); - LUT4_L #( - .INIT ( 16'h9000 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000079 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [0]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [0]), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000063_389 ), - .I3(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000027_388 ), - .LO(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000079_390 ) - ); - LUT4_L #( - .INIT ( 16'h9000 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000069 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [0]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000067_384 ), - .I3(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .LO(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000069_386 ) - ); - LUT4_L #( - .INIT ( 16'h8421 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i62 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [2]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [3]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [2]), - .I3(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [3]), - .LO(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i62_392 ) - ); - LUT4_L #( - .INIT ( 16'h8241 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000156 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [1]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [2]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]), - .I3(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .LO(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000156_391 ) - ); - LUT3_L #( - .INIT ( 8'h7F )) - \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<3>111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]), - .LO(\BU2/U0/grf.rf/gl0.rd/rpntr/N11 ) - ); - LUT3_L #( - .INIT ( 8'h7F )) - \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<3>111 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .I2(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]), - .LO(\BU2/U0/grf.rf/gl0.wr/wpntr/N11 ) - ); - LUT2_L #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0003_SW0 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [3]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [2]), - .LO(\BU2/N16 ) - ); - LUT2_L #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0003_SW0 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [3]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [2]), - .LO(\BU2/N14 ) - ); - INV \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<0>11_INV_0 ( - .I(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count ) - ); - INV \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<0>11_INV_0 ( - .I(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count ) - ); - LUT2 #( - .INIT ( 4'h2 )) - \BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_1 ( - .I0(wr_en), - .I1(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ), - .O(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ) - ); - LUT4 #( - .INIT ( 16'h2333 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_1 ( - .I0(rd_en), - .I1(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_85 ), - .I2(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .I3(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .O(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ) - ); - LUT4 #( - .INIT ( 16'h6AAA )) - \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<3>12 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [3]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .I3(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count3 ) - ); - LUT4 #( - .INIT ( 16'h6AAA )) - \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<3>12 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count [3]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .I3(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count3 ) - ); - LUT3 #( - .INIT ( 8'h08 )) - \BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1 ( - .I0(wr_en), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [4]), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ) - ); - LUT3 #( - .INIT ( 8'h10 )) - \BU2/U0/grf.rf/mem/gdm.dm/write_ctrl ( - .I0(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [4]), - .I2(wr_en), - .O(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ) - ); - LUT2 #( - .INIT ( 4'h9 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut<5> ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [4]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [4]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [5]) - ); - LUT4 #( - .INIT ( 16'h9000 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i78 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [0]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [0]), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i62_392 ), - .I3(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i26_387 ), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/comp2 ) - ); - LUT4 #( - .INIT ( 16'h9000 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000158 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [0]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000156_391 ), - .I3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_not0001 ), - .O(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000158_380 ) - ); - LUT2 #( - .INIT ( 4'h9 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut<4> ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [3]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [3]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [4]) - ); - LUT2 #( - .INIT ( 4'h9 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut<3> ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [2]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [2]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [3]) - ); - LUT2 #( - .INIT ( 4'h9 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut<2> ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [1]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [1]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [2]) - ); - LUT2 #( - .INIT ( 4'h9 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut<1> ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [0]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [0]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [1]) - ); - LUT4 #( - .INIT ( 16'h5450 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux0000105 ( - .I0(\BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_not0001 ), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000079_390 ), - .I3(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/comp2 ), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux0000 ) - ); - LUT4 #( - .INIT ( 16'h9009 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000063 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [3]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [3]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [2]), - .I3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [2]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000063_389 ) - ); - LUT4 #( - .INIT ( 16'h9009 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000027 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [4]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [4]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [1]), - .I3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [1]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux000027_388 ) - ); - LUT4 #( - .INIT ( 16'h8421 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i26 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [1]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [4]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [1]), - .I3(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [4]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/c2/dout_i26_387 ) - ); - LUT4 #( - .INIT ( 16'h5450 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux0000107 ( - .I0(\BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ), - .I1(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000026_383 ), - .I2(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/comp2 ), - .I3(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000069_386 ), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux0000 ) - ); - LUT4 #( - .INIT ( 16'h9009 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000067 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [2]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [1]), - .I3(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000067_384 ) - ); - LUT4 #( - .INIT ( 16'h9009 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000026 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [4]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [4]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [3]), - .I3(\BU2/U0/grf.rf/gl0.wr/wpntr/count [3]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux000026_383 ) - ); - LUT2 #( - .INIT ( 4'h2 )) - \BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1 ( - .I0(wr_en), - .I1(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/count_not0001 ) - ); - LUT4 #( - .INIT ( 16'hECA0 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000183 ( - .I0(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000115_379 ), - .I1(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000026_377 ), - .I2(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000158_380 ), - .I3(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000063_381 ), - .O(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000 ) - ); - LUT4 #( - .INIT ( 16'h9009 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000115 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [4]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [4]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count [3]), - .I3(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [3]), - .O(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000115_379 ) - ); - LUT4 #( - .INIT ( 16'h8421 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000062 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [2]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [3]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .I3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .O(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000062_378 ) - ); - LUT4 #( - .INIT ( 16'h8241 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000026 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [1]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [4]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .O(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or000026_377 ) - ); - LUT4 #( - .INIT ( 16'h2333 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21 ( - .I0(rd_en), - .I1(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_85 ), - .I2(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .I3(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/count_not0001 ) - ); - LUT3 #( - .INIT ( 8'hA6 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<4>11 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [4]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [3]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/N11 ), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count4 ) - ); - LUT3 #( - .INIT ( 8'hA6 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<4>11 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count [4]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [3]), - .I2(\BU2/U0/grf.rf/gl0.wr/wpntr/N11 ), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count4 ) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX11 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N5 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N7 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [0]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1011 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N45 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N47 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [10]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N9 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N11 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [1]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX11111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N49 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N51 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [11]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1211 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N53 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N55 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [12]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1311 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N57 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N59 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [13]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1411 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N61 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N63 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [14]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1511 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N65 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N67 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [15]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1611 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N69 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N71 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [16]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1711 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N73 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N75 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [17]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1811 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N77 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N79 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [18]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX1911 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N81 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N83 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [19]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2011 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N85 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N87 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [20]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N13 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N15 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [2]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX21111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N89 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N91 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [21]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2211 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N93 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N95 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [22]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2311 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N97 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N99 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [23]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2411 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N101 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N103 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [24]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2511 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N105 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N107 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [25]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2611 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N109 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N111 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [26]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2711 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N113 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N115 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [27]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2811 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N117 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N119 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [28]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX2911 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N121 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N123 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [29]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3011 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N125 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N127 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [30]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N17 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N19 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [3]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX31111 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N129 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N131 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [31]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3211 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N133 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N135 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [32]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3311 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N137 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N139 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [33]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3411 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N141 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N143 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [34]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX3511 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N145 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N147 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [35]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX411 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N21 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N23 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [4]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX511 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N25 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N27 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [5]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX611 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N29 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N31 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [6]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX711 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N33 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N35 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [7]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX811 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N37 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N39 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [8]) - ); - LUT3 #( - .INIT ( 8'hE4 )) - \BU2/U0/grf.rf/mem/gdm.dm/inst_LPM_MUX911 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/mem/gdm.dm/N41 ), - .I2(\BU2/U0/grf.rf/mem/gdm.dm/N43 ), - .O(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [9]) - ); - LUT4 #( - .INIT ( 16'h6996 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0003 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [1]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [0]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]), - .I3(\BU2/N16 ), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0003_110 ) - ); - LUT4 #( - .INIT ( 16'h6996 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0003 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [1]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [0]), - .I2(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]), - .I3(\BU2/N14 ), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0003_120 ) - ); - LUT3 #( - .INIT ( 8'hA2 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_REGOUT_EN11 ( - .I0(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .I1(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .I2(rd_en), - .O(\BU2/U0/grf.rf/mem/dout_i_not0001 ) - ); - LUT2 #( - .INIT ( 4'hD )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_not00011 ( - .I0(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ), - .I1(\BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_not0001 ) - ); - LUT4 #( - .INIT ( 16'h8E8A )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i_mux00001 ( - .I0(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb_176 ), - .I1(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .I3(rd_en), - .O(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i_mux0000 ) - ); - LUT4 #( - .INIT ( 16'h6996 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor00021 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [2]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [1]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]), - .I3(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [3]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0002 ) - ); - LUT4 #( - .INIT ( 16'h6996 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor00021 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [2]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [1]), - .I2(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]), - .I3(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [3]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0002 ) - ); - LUT4 #( - .INIT ( 16'h40FF )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001<0>1 ( - .I0(rd_en), - .I1(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .I3(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_85 ), - .O(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001 [0]) - ); - LUT3 #( - .INIT ( 8'h6A )) - \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<2>11 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count2 ) - ); - LUT3 #( - .INIT ( 8'h6A )) - \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<2>11 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .I2(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count2 ) - ); - LUT3 #( - .INIT ( 8'h96 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor00011 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [3]), - .I2(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [2]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0001 ) - ); - LUT3 #( - .INIT ( 8'h96 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor00011 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [3]), - .I2(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [2]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0001 ) - ); - LUT3 #( - .INIT ( 8'hF2 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001<1>1 ( - .I0(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]), - .I1(rd_en), - .I2(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]), - .O(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001 [1]) - ); - LUT3 #( - .INIT ( 8'h08 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_mux00031 ( - .I0(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad [4]), - .I1(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad [5]), - .I2(\BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_mux0003 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_rd_pntr_gc_xor0000_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0000 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_rd_pntr_gc_xor0001_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0001 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_rd_pntr_gc_xor0002_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0002 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_rd_pntr_gc_xor0003_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0003 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_wr_pntr_gc_xor0000_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [4]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0000 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_wr_pntr_gc_xor0001_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0001 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_wr_pntr_gc_xor0002_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0002 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/Mxor_wr_pntr_gc_xor0003_Result1 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0003 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count_xor<1>11 ( - .I0(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .I1(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .O(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count1 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count_xor<1>11 ( - .I0(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .I1(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .O(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count1 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor00001 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [3]), - .I1(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]), - .O(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0000 ) - ); - LUT2 #( - .INIT ( 4'h6 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor00001 ( - .I0(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [3]), - .I1(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]), - .O(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0000 ) - ); - LUT2 #( - .INIT ( 4'h4 )) - \BU2/U0/grf.rf/rstblk/rd_rst_comb1 ( - .I0(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_d2_90 ), - .I1(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_94 ), - .O(\BU2/U0/grf.rf/rstblk/rd_rst_comb ) - ); - LUT2 #( - .INIT ( 4'h4 )) - \BU2/U0/grf.rf/rstblk/wr_rst_comb1 ( - .I0(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_d2_92 ), - .I1(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_95 ), - .O(\BU2/U0/grf.rf/rstblk/wr_rst_comb ) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_not0001 ), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_almost_full_i_mux0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rst_d2_88 ), - .Q(almost_full) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rst_d2_88 ), - .Q(full) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i_mux0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rst_d2_88 ), - .Q(\BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i_370 ) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_0 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [0]), - .Q(dout_3[0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_1 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [1]), - .Q(dout_3[1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_2 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [2]), - .Q(dout_3[2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_3 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [3]), - .Q(dout_3[3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_4 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [4]), - .Q(dout_3[4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_5 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [5]), - .Q(dout_3[5]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_6 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [6]), - .Q(dout_3[6]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_7 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [7]), - .Q(dout_3[7]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_8 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [8]), - .Q(dout_3[8]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_9 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [9]), - .Q(dout_3[9]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_10 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [10]), - .Q(dout_3[10]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_11 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [11]), - .Q(dout_3[11]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_12 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [12]), - .Q(dout_3[12]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_13 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [13]), - .Q(dout_3[13]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_14 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [14]), - .Q(dout_3[14]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_15 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [15]), - .Q(dout_3[15]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_16 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [16]), - .Q(dout_3[16]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_17 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [17]), - .Q(dout_3[17]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_18 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [18]), - .Q(dout_3[18]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_19 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [19]), - .Q(dout_3[19]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_20 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [20]), - .Q(dout_3[20]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_21 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [21]), - .Q(dout_3[21]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_22 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [22]), - .Q(dout_3[22]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_23 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [23]), - .Q(dout_3[23]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_24 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [24]), - .Q(dout_3[24]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_25 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [25]), - .Q(dout_3[25]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_26 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [26]), - .Q(dout_3[26]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_27 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [27]), - .Q(dout_3[27]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_28 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [28]), - .Q(dout_3[28]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_29 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [29]), - .Q(dout_3[29]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_30 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [30]), - .Q(dout_3[30]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_31 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [31]), - .Q(dout_3[31]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_32 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [32]), - .Q(dout_3[32]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_33 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [33]), - .Q(dout_3[33]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_34 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [34]), - .Q(dout_3[34]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/dout_i_35 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/mem/dout_i_not0001 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [35]), - .Q(dout_3[35]) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM72 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[35]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM72_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N147 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM71 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[35]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM71_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N145 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM70 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[34]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM70_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N143 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM69 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[34]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM69_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N141 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM67 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[33]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM67_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N137 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM66 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[32]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM66_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N135 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM68 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[33]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM68_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N139 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM65 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[32]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM65_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N133 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM64 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[31]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM64_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N131 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM63 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[31]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM63_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N129 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM62 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[30]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM62_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N127 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM60 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[29]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM60_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N123 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM59 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[29]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM59_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N121 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM61 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[30]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM61_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N125 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM58 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[28]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM58_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N119 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM57 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[28]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM57_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N117 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM56 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[27]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM56_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N115 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM55 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[27]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM55_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N113 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM53 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[26]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM53_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N109 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM52 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[25]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM52_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N107 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM54 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[26]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM54_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N111 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM50 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[24]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM50_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N103 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM49 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[24]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM49_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N101 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM51 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[25]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM51_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N105 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM47 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[23]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM47_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N97 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM46 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[22]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM46_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N95 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM48 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[23]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM48_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N99 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM45 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[22]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM45_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N93 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM44 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[21]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM44_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N91 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM43 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[21]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM43_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N89 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM42 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[20]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM42_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N87 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM40 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[19]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM40_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N83 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM39 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[19]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM39_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N81 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM41 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[20]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM41_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N85 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM38 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[18]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM38_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N79 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM37 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[18]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM37_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N77 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM36 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[17]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM36_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N75 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM35 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[17]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM35_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N73 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM33 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[16]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM33_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N69 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM32 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[15]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM32_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N67 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM34 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[16]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM34_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N71 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM31 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[15]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM31_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N65 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM30 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[14]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM30_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N63 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM29 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[14]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM29_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N61 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM28 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[13]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM28_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N59 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM26 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[12]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM26_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N55 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM25 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[12]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM25_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N53 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM27 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[13]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM27_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N57 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM24 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[11]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM24_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N51 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM23 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[11]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM23_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N49 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM22 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[10]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM22_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N47 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM21 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[10]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM21_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N45 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM19 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[9]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM19_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N41 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM18 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[8]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM18_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N39 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM20 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[9]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM20_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N43 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM17 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[8]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM17_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N37 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM16 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[7]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM16_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N35 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM15 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[7]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM15_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N33 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM14 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[6]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM14_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N31 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM12 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[5]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM12_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N27 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM11 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[5]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM11_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N25 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM13 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[6]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM13_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N29 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM10 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[4]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM10_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N23 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM9 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[4]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM9_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N21 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM8 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[3]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM8_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N19 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM7 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[3]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM7_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N17 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM5 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[2]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM5_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N13 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM4 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[1]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM4_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N11 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM6 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[2]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM6_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N15 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM3 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[1]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM3_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N9 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM2 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[0]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl1_296 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM2_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N7 ) - ); - RAM16X1D \BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM1 ( - .A0(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]), - .A1(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]), - .A2(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]), - .A3(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]), - .D(din_2[0]), - .DPRA0(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]), - .DPRA1(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]), - .DPRA2(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]), - .DPRA3(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]), - .WCLK(wr_clk), - .WE(\BU2/U0/grf.rf/mem/gdm.dm/write_ctrl_294 ), - .SPO(\NLW_BU2/U0/grf.rf/mem/gdm.dm/Mram_RAM1_SPO_UNCONNECTED ), - .DPO(\BU2/U0/grf.rf/mem/gdm.dm/N5 ) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_35 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [35]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [35]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_34 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [34]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [34]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_33 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [33]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [33]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_32 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [32]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [32]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_31 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [31]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [31]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_30 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [30]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [30]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_29 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [29]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [29]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_28 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [28]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [28]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_27 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [27]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [27]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_26 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [26]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [26]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_25 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [25]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [25]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_24 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [24]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [24]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_23 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [23]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [23]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_22 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [22]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [22]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_21 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [21]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [21]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_20 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [20]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [20]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_19 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [19]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [19]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_18 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [18]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [18]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_17 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [17]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [17]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_16 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [16]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [16]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_15 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [15]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [15]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_14 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [14]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [14]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_13 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [13]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [13]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_12 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [12]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [12]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_11 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [11]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [11]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_10 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [10]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [10]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_9 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [9]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [9]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_8 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [8]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [8]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_7 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [7]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [7]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_6 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [6]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [6]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_5 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [5]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [5]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_4 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [4]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_3 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [3]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_2 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [2]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_1 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [1]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/mem/gdm.dm/dout_i_0 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]), - .D(\BU2/U0/grf.rf/mem/gdm.dm/_varindex0000 [0]), - .Q(\BU2/U0/grf.rf/mem/gdm.dm/dout_i [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3_0 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [0]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3_1 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3_2 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [2]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3_3 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [3]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d3_4 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [4]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2_4 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [4]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2_3 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [3]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2_1 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [1]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2_0 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [0]), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d2_2 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [2]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1_4 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count [4]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1_3 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count [3]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [3]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1_1 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1_0 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_d1_2 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d1 [2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_2 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count2 ), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count [2]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_0 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count ), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count [0]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_1 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count1 ), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_3 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count3 ), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/wpntr/count_4 ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/Mcount_count4 ), - .Q(\BU2/U0/grf.rf/gl0.wr/wpntr/count [4]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_not0001 ), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/prog_full_i_mux0003 ), - .PRE(\BU2/U0/grf.rf/rstblk/rst_d2_88 ), - .Q(prog_full) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_4 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_add0000 [4]), - .Q(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_5 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_add0000 [5]), - .Q(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad [5]) - ); - MUXCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy<0> ( - .CI(\BU2/N1 ), - .DI(\BU2/U0/grf.rf/gl0.wr/ram_wr_en_i1_197 ), - .S(\BU2/rd_data_count [0]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [0]) - ); - MUXCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy<1> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [0]), - .DI(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [0]), - .S(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [1]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [1]) - ); - MUXCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy<2> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [1]), - .DI(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [1]), - .S(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [2]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [2]) - ); - MUXCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy<3> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [2]), - .DI(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [2]), - .S(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [3]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [3]) - ); - MUXCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy<4> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [3]), - .DI(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d2 [3]), - .S(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [4]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [4]) - ); - XORCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_xor<4> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [3]), - .LI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [4]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_add0000 [4]) - ); - XORCY \BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_xor<5> ( - .CI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_cy [4]), - .LI(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/Madd_diff_pntr_pad_add0000_lut [5]), - .O(\BU2/U0/grf.rf/gl0.wr/gwas.gpf.wrpf/diff_pntr_pad_add0000 [5]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_0 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001 [1]), - .Q(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_1 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state_mux0001 [0]), - .Q(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/curr_fwft_state [1]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i ( - .C(rd_clk), - .D(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i_mux0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .Q(empty) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb ( - .C(rd_clk), - .D(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i_mux0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .Q(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb_176 ) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_0 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_1 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_2 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [2]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_3 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count [3]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_4 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count [4]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_2 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count2 ), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count [2]) - ); - FDPE #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_0 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count ), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count [0]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_1 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count1 ), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count [1]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_3 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count3 ), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count [3]) - ); - FDCE #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gl0.rd/rpntr/count_4 ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/gl0.rd/gr1.rfwft/Mmux_RAM_RD_EN_FWFT21_160 ), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/Mcount_count4 ), - .Q(\BU2/U0/grf.rf/gl0.rd/rpntr/count [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_0 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0003 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_1 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0002 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_2 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0001 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_3 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_xor0000 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_4 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gl0.wr/wpntr/count_d3 [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_0 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0003 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_1 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0002 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_2 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0001 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_3 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_xor0000 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_4 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gl0.rd/rpntr/count_d1 [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_0 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [0]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_1 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [1]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_2 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [2]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_3 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [3]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_4 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_0 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [0]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_1 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [1]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_2 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [2]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_3 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [3]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_4 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1_0 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [0]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1_1 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [1]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1_2 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [2]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1_3 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [3]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1_4 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1_0 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [0]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1_1 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [1]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1_2 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [2]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1_3 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [3]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1_4 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_0 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0003_120 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_1 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0002 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_2 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0001 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_3 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_xor0000 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin_4 ( - .C(rd_clk), - .CLR(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]), - .D(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_d1 [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/wr_pntr_bin [4]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_0 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0003_110 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [0]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_1 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0002 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [1]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_2 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0001 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [2]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_3 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_xor0000 ), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [3]) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin_4 ( - .C(wr_clk), - .CLR(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]), - .D(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_d1 [4]), - .Q(\BU2/U0/grf.rf/gcx.clkx/rd_pntr_bin [4]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/wr_rst_reg_0 ( - .C(wr_clk), - .D(\BU2/rd_data_count [0]), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_comb ), - .Q(\BU2/U0/grf.rf/rstblk/wr_rst_reg [0]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/wr_rst_reg_1 ( - .C(wr_clk), - .D(\BU2/rd_data_count [0]), - .PRE(\BU2/U0/grf.rf/rstblk/wr_rst_comb ), - .Q(\BU2/U0/grf.rf/rstblk/wr_rst_reg [1]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rd_rst_reg_0 ( - .C(rd_clk), - .D(\BU2/rd_data_count [0]), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_comb ), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_reg [0]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rd_rst_reg_1 ( - .C(rd_clk), - .D(\BU2/rd_data_count [0]), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_comb ), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_reg [1]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rd_rst_reg_2 ( - .C(rd_clk), - .D(\BU2/rd_data_count [0]), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_comb ), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rst_d1 ( - .C(wr_clk), - .D(\BU2/rd_data_count [0]), - .PRE(rst), - .Q(\BU2/U0/grf.rf/rstblk/rst_d1_93 ) - ); - FDPE \BU2/U0/grf.rf/rstblk/rd_rst_asreg ( - .C(rd_clk), - .CE(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_d1_89 ), - .D(\BU2/rd_data_count [0]), - .PRE(rst), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_94 ) - ); - FD #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/rstblk/wr_rst_asreg_d1 ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_95 ), - .Q(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_d1_91 ) - ); - FDPE \BU2/U0/grf.rf/rstblk/wr_rst_asreg ( - .C(wr_clk), - .CE(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_d1_91 ), - .D(\BU2/rd_data_count [0]), - .PRE(rst), - .Q(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_95 ) - ); - FD #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/rstblk/rd_rst_asreg_d1 ( - .C(rd_clk), - .D(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_94 ), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_d1_89 ) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rst_d2 ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/rstblk/rst_d1_93 ), - .PRE(rst), - .Q(\BU2/U0/grf.rf/rstblk/rst_d2_88 ) - ); - FD #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/rstblk/wr_rst_asreg_d2 ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_d1_91 ), - .Q(\BU2/U0/grf.rf/rstblk/wr_rst_asreg_d2_92 ) - ); - FD #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/rstblk/rd_rst_asreg_d2 ( - .C(rd_clk), - .D(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_d1_89 ), - .Q(\BU2/U0/grf.rf/rstblk/rd_rst_asreg_d2_90 ) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/rstblk/rst_d3 ( - .C(wr_clk), - .D(\BU2/U0/grf.rf/rstblk/rst_d2_88 ), - .PRE(rst), - .Q(\BU2/U0/grf.rf/rstblk/rst_d3_86 ) - ); - FDC #( - .INIT ( 1'b0 )) - \BU2/U0/grf.rf/rstblk/RST_FULL_GEN ( - .C(wr_clk), - .CLR(rst), - .D(\BU2/U0/grf.rf/rstblk/rst_d3_86 ), - .Q(\BU2/U0/grf.rf/rstblk/RST_FULL_GEN_87 ) - ); - FDP #( - .INIT ( 1'b1 )) - \BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i ( - .C(rd_clk), - .D(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_or0000 ), - .PRE(\BU2/U0/grf.rf/rstblk/rd_rst_reg [2]), - .Q(\BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_fb_i_85 ) - ); - VCC \BU2/XST_VCC ( - .P(\BU2/N1 ) - ); - GND \BU2/XST_GND ( - .G(\BU2/rd_data_count [0]) - ); - -// synthesis translate_on - -endmodule - -// synthesis translate_off - -`ifndef GLBL -`define GLBL - -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (weak1, weak0) GSR = GSR_int; - assign (weak1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - -endmodule - -`endif - -// synthesis translate_on diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.veo deleted file mode 100644 index eb98a2b70..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.veo +++ /dev/null @@ -1,47 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_32x36_2clk YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .almost_full(almost_full), - .empty(empty), - .prog_full(prog_full)); - -// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xco deleted file mode 100644 index 1cf4c8ba5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.2 -# Date: Fri Oct 15 07:50:15 2010 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = false -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = false -SET simulationfiles = Structural -SET speedgrade = -5 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=true -CSET component_name=fifo_xlnx_32x36_2clk -CSET data_count=false -CSET data_count_width=5 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Distributed_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=24 -CSET full_threshold_negate_value=23 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=32 -CSET output_data_width=36 -CSET output_depth=32 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant -CSET read_clock_frequency=1 -CSET read_data_count=false -CSET read_data_count_width=5 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=false -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=false -CSET write_data_count_width=5 -# END Parameters -GENERATE -# CRC: 8e84ee7f diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xise b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xise deleted file mode 100644 index b9eb7bd1a..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk.xise +++ /dev/null @@ -1,72 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_xlnx_32x36_2clk.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_xlnx_32x36_2clk.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc3s2000" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan3" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_xlnx_32x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_xlnx_32x36_2clk.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_xlnx_32x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="fg456" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_xlnx_32x36_2clk" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-10-15T00:50:17" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="F8449944117490A53CFE9CD2127BE2AA" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_flist.txt deleted file mode 100644 index b8c69a9f7..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_flist.txt +++ /dev/null @@ -1,12 +0,0 @@ -# Output products list for <fifo_xlnx_32x36_2clk> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_xlnx_32x36_2clk.gise -fifo_xlnx_32x36_2clk.ngc -fifo_xlnx_32x36_2clk.v -fifo_xlnx_32x36_2clk.veo -fifo_xlnx_32x36_2clk.xco -fifo_xlnx_32x36_2clk.xise -fifo_xlnx_32x36_2clk_flist.txt -fifo_xlnx_32x36_2clk_readme.txt -fifo_xlnx_32x36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_readme.txt deleted file mode 100644 index 8ab5679fd..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_readme.txt +++ /dev/null @@ -1,46 +0,0 @@ -The following files were generated for 'fifo_xlnx_32x36_2clk' in directory -/home/ianb/ettus/sram_fifo/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_xlnx_32x36_2clk.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_32x36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_32x36_2clk.v: - Unisim Verilog file containing the information required to simulate - the module. - -fifo_xlnx_32x36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_32x36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_32x36_2clk.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_32x36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_32x36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_xlnx_32x36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_xmdf.tcl deleted file mode 100644 index ec9426357..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_32x36_2clk_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_32x36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_32x36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_32x36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_32x36_2clk -} -# ::fifo_xlnx_32x36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_32x36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_32x36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_32x36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_32x36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_32x36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_32x36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_32x36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.asy b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.asy deleted file mode 100644 index ecc80b648..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.asy +++ /dev/null @@ -1,49 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 fifo_xlnx_512x36_2clk -RECTANGLE Normal 32 32 544 672 -LINE Wide 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName din[35:0] -PINATTR Polarity IN -LINE Normal 0 144 32 144 -PIN 0 144 LEFT 36 -PINATTR PinName wr_en -PINATTR Polarity IN -LINE Normal 0 176 32 176 -PIN 0 176 LEFT 36 -PINATTR PinName wr_clk -PINATTR Polarity IN -LINE Normal 0 240 32 240 -PIN 0 240 LEFT 36 -PINATTR PinName rd_en -PINATTR Polarity IN -LINE Normal 0 272 32 272 -PIN 0 272 LEFT 36 -PINATTR PinName rd_clk -PINATTR Polarity IN -LINE Normal 144 704 144 672 -PIN 144 704 BOTTOM 36 -PINATTR PinName rst -PINATTR Polarity IN -LINE Wide 576 80 544 80 -PIN 576 80 RIGHT 36 -PINATTR PinName dout[35:0] -PINATTR Polarity OUT -LINE Normal 576 208 544 208 -PIN 576 208 RIGHT 36 -PINATTR PinName full -PINATTR Polarity OUT -LINE Wide 576 368 544 368 -PIN 576 368 RIGHT 36 -PINATTR PinName wr_data_count[8:0] -PINATTR Polarity OUT -LINE Normal 576 432 544 432 -PIN 576 432 RIGHT 36 -PINATTR PinName empty -PINATTR Polarity OUT -LINE Wide 576 592 544 592 -PIN 576 592 RIGHT 36 -PINATTR PinName rd_data_count[8:0] -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.ngc deleted file mode 100644 index 55486485a..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.4e -$4g64g<,[o}e~g`n;"2*413&;$>"9 > %17?*nhel%fmyz cnpfc`h(|dz$Sni fhdl[}jipV?:>u=9_3aoo+h`g81;56>?0123456382:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789>0<=6?4:2;452<8J:?=6?<;0,35<=683E^X][[:ecweZeh}g~757>11b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3:415235>433;:;<?5=800877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>586:29=6D@_UU8gmk:4=3:5=95<6;MVPUSS2me~x1=::1<g?6u589:mk9;9-438047<<3CE\XZ5DHRB86<768;087GAPTV9@LVE4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>5833<>>9<594:43G54<>;80:4:468;50>0>I880:N:46BA@6>0B:2=M>66?4::3454<0;;0595601;1?<0330<=5<<49;KMTPR=IMNYM1650?31?<<NFY__6LJKRC>;>586m231EC^ZT;rqkbYbey~rSyf}erj?<?69:<126D@_UU8svjaXmdzuRzgrdqk[dutm{~747>1249:>LHW]]0{~biPelrw}ZrozlycSckhaug?<?699m126D@_UU8svjaXn|fgSyf}erj?<?69:=126D@_UU8svjaXn|fgSyf}erj\evubz}636=0=4:;9MKVR\3zycjQiumn\pmtb{aUeijo{e=:94;2<I98386O=A@:8EABUI5:546OKDSC?5;><IMNYM1<18:CG@WG;;720MIJ]A=6=<>GCL[K79364AEFQE90902KOH_O37?c8EABUI521<364AEFQE9>902KOH_L30?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF919i2KOH_L38;2=<>GCL[H74374AR[MGZTBO=1I?5>;;CCBE6=E];20NX]PIODL5>E53J<?7N8LC59@E=G53JO:>6MGEBI\HLEBFZOTXT^J2:AJ0>EKCH>0OAEM3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F1?AO13MCJ0=08;EKB8469?2NBM1?>>69GMD:6:7=0HDO312<4?AOF48>5;6JFA=36:2=CAH6::394DHC?52803MCJ0<617:FJE97>6?1OEL2>>69GMD:587=0HDO320<4?AOF4;85;6JFA=00:2=CAH698394DHC?60803MCJ0?817:FJE9406>1OEL2=8?58@LG;:04=7IGN<3<4?AOF4::556JFA=12>5803MCJ0>?16:FJE959>2NBM1:16:FJE939>2NBM1816:FJE919>2NBM1616:FJE9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;1<L@H7=;08;EKA8419?2NBN1?7>69GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1<L@H7>908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>6>1OE]O30?58@LVF484<7IG_A=0==>BNXH686=08;EKSE959?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9>2NDN1>17:FLF9776>1OCO2>1?58@JD;9;4<7IAM<01=3>BHJ5;?2:5KOC>21;1<LFH7=;08;EMA8419?2NDN1?7>69GKG:617<0HBL31?58@JD;:94<7IAM<33=3>BHJ5892:5KOC>17;1<LFH7>908;EMA8739?2NDN1<9>69GKG:5?7=0HBL329<4?AIE4;35:6J@B=0=3>BHJ59;245KOC>05?69?2NDN1=>>79GKG:46?1OCO2;>79GKG:26?1OCO29>79GKG:06?1OCO27>79GKG:>6>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86863L>0I?M?3:GME6=BFK>0J=972:D;6>@C;2LOO95IDBG7?CBDX:1MH]:4FERF0>@A?O?0Jlb|e09D7>AIL81B>6G?2:K26>O5:2C846GAIUR\45><AGC_\R>>8:KMMQVX8;20ECG[P^20<>OIA]ZT<964IOKWTZ6202CEEY^P07:8MKOSXV:<56GAIUQWEQC03@DBXR>?7:KMMQY79>1BBDZP0358MKOSW99<7D@FT^273>OIA]U;9:5FNHV\431<AGC_S=98;HLJPZ6??2CEEYQ?969JJLRX8H=0ECG[_1@4?LHN\V:H;6GAIU]3@2=NF@^T<H94IOKW[5@03@DBXR??7:KMMQY69>1BBDZP1358MKOSW89<7D@FT^373>OIA]U:9:5FNHV\531<AGC_S<98;HLJPZ7??2CEEYQ>969JJLRX9H=0ECG[_0@4?LHN\V;H;6GAIU]2@2=NF@^T=H94IOKW[4@03@DBXR<?7:KMMQY59>1BBDZP2358MKOSW;9<7D@FT^073>OIA]U99:5FNHV\631<AGC_S?98;HLJPZ4??2CEEYQ=969JJLRX:H=0ECG[_3@4?LHN\V8H;6GAIU]1@2=NF@^T>H94IOKW[7@03@DBXR=?7:KMMQY49>1BBDZP3358MKOSW:9<7D@FT^173>OIA]U89:5FNHV\731<AGC_S>98;HLJPZ5??2CEEYQ<969JJLRX;H=0ECG[_2@4?LHN\V9H;6GAIU]0@2=NF@^T?H94IOKW[6@13@DBXRO9;HLJPZD43@D]?6G@B39OM7=KG?1GCLJJD69OKBODIE>0@XZ>4:NVP70<D\^9SI:4LTV02>JR\:UO:6BZT2]O0>JR\=?0A^I@N49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm7>H79;1E=>5A1168J466<2D:<?=4N037?K76>=1E=<9;;O32<1=I98387C?=4:L2652<F88:86@>2368J444<2D:>9:4N0060>H6:?>0B<<84:L26=2<F882?6@>359M56633G;8=95A1207?K74;=1E=>:;;O3011=I9:<?7C?<759M56>33G;85>5A1568J427<2D:8<:4N0610>H6<:>0B<:;4:L2002<F8>=86@>4668J42?<2D:84=4N077?K728=1E=8?;;O3661=I9<9?7C?:859M50?43G;=86@>6168J406<2D::?:4N0400>H6>=>0B<8:4:L2232<F8<<?6@>759M52633G;<=95A1607?K70;=1E=::;;O3411=I9><?7C?8759M52>33G;<5>5A1918J4?53G887C<?3:L156=I:;90B?=<;O077>H5=:1E>;=4N357?K409:1E>5=4N3;0?K57;2D8=>5A3318J6543G9??6@<529M735<F:=87C=73:L0=7=I<:1E8==4N530?K36:2D=>6@82:L;6>H>;2D2:>5A9618J<?a3GHTNX]_IO]SVJVT?2DNXZA]K59MKKC63F80CF?4P59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=Vm2XJAO?9_R15[V5192Y:?6]FM^ALHIOTAFDTOEKLK79PKPTDM>1X^[OC_@58WWPFDVH?7^]]A59PWWD33]S[I><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6789UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=?PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0121[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567;VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<9Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?017\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4561W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;;R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?01]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3457XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:9S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?3^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2341YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789?T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>9_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1233ZTb{|f=??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2?>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>2:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:56;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag682??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2;>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>6:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:16;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6<2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec27>328Q5)`zo$yj"ilx/aoo})ulVzexQmio]365=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ7582_;#j|i.sd,cf~)keas#jPpovq[goiW;8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT??>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ;219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^714>S7'nxm"h gbz-gim'{nT|cz}_ckm[3473\:$kh!rg-dg}(ddbr$~iQnup\flhX?;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU3>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123471<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<=?=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4566:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?1005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78989;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0121570<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<><8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34555:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?4358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678=;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012662=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;9<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp3450502_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>91004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<9>o5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r1232Zdcl98=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01513>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:<=?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1>1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<0<14>S7'nxm"h gbz-gim'{nT|cz}_fa?6;473\:$kh!rg-dg}(ddbr$~iQnup\cf:46;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5>5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh080=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm36?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>4:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg9>99o1^<"i}f/pe+be&jf`t"|k_qlwvZadW9;m7X> gsd-vc)`kq$h`fv re]sjqtXojU:=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS??i;T2,cw`)zo%lou lljz,vaYwf}xTknQ<1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_53e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]65c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[37a3\:$kh!rg-dg}(ddbr$~iQnup\cfY09o1^<"i}f/pe+be&jf`t"|k_qlwvZadW18=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`414996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^211>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV;996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^011>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV9996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^611>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV?996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^411>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV=996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^:1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0=0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><2<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:090=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64<4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2838512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><6<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:050=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W9837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\57><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q=299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V9946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[14?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P53:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U=>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z1502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_906?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]{kw67898>7X> gsd-vc)`kq$h`fv re]sjqtXojUsc>?0006?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]{kw678;8>7X> gsd-vc)`kq$h`fv re]sjqtXojUsc>?0206?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]{kw678=8>7X> gsd-vc)`kq$h`fv re]sjqtXojUsc>?0406?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]{kw678?8>7X> gsd-vc)`kq$h`fv re]sjqtXojUsc>?0602?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?4;463\:$kh!rg-dg}(ddbr${Qnup\flh;978:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7>3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`33?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?0;463\:$kh!rg-dg}(ddbr${Qnup\flh;=78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7:3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`37?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?<;473\:$kh!rg-dg}(ddbr${Qnup\flhX8;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR<=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_203?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\076<]9%l~k }f.e`|+ekcq%|~R~ats]amkY2:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV<9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS:<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P8348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw67898<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?012263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;=?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234475>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>=269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567:88=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01113>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:8>?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2341403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=:>279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567=;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?04312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:=>55Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r1232475?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>923`8Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?Uihi>=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4560:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?7003?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3<?;T2,cw`)zo%lou lljz,swYwf}xTkn2;>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632<h4U1-dvc(un&mht#mcky-tvZvi|{UloR>>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP10d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^02b>S7'nxm"h gbz-gim'~xT|cz}_fa\74`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ26n2_;#j|i.sd,cf~)keas#z|Ppovq[beX=8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV<:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT;<h4U1-dvc(un&mht#mcky-tvZvi|{UloR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc969:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2>>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;:78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<2<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5>5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>6:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7:3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8285>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk161249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY1:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ8249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY?:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=2=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1?1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9585>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5959:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=6=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1;1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95<5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5919:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=:=6==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R>=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W8837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\67><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q<299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V>946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[04?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P63:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U<>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z>5=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34575=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=<=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34555=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=:=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34535=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=8=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp3451402_;#j|i.sd,ci6)zm%l~< hrea1*wbd'DkohR|k_ea\mZgclzi<=>?111;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IdbcW{nThnQf_`fgwpd789::>>64U1-dvc(un&mg<#|k/fp2*btck;$yhn!Baef\vaYckVcTmij|uc234573;>1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&GjhiQ}d^f`[lYflmy~n=>?0314?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IdbcW{nThnQf_`fgwpd789:??:5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"Cnde]q`ZbdW`Ujhi}zb12343503\:$kh!rg-dh5(ul&my=#i}db0-vae(EhnoSjPdb]j[dbc{|h;<=>73b9V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYulVnhSdQndeqvf5678Vir0<0<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;87937X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>2:6><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu1<1399V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4:4846[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?0;5?3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2:>2:8Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5<5?55Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^az828412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<1<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0<0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4;4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww868412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<5<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe080<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4?4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww828412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<9<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0=0<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu484856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq878412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<2<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey090<9:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4<4856[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq838412_;#j|i.sd,ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<6<0=>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey050<0:W3+bta&{l$ka>!re-dv4(`zmi9"jl/ekebZquW{nTx`~30?0;?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcT<?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY6:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^01<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS><7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX<;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]66==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeR8=8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnW>837X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\<7><]9%l~k }f.eo4+tc'nx:"j|kc3,q`f)ulVnhSdQ6309V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=2=77=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx12369699:;0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6:2><4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?2>>012?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?6;553\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;:7;8=6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:90>0<2:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<2<274=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236929;;1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5>5=>:4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?2;>03274=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236939;;1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5?5=>?4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?29>278Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`Ufyu>?03>5:ZUP9:;0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6<2>=4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?28>0303>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS`{w012182869VY\<><4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?28>312?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?<;543\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;079:??5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZkrp9:;>1614248Q5)`zo$yj"ic0/pg+bt6&nxoo? }db-q`ZbdW`Ufyu>?03>;:1YT_99=7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\ip~7898743:PSV301>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS`{w01218=8X[^:8=6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:9040<2:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<8<26d=R8&myj#|i/fn3*wb(o{;%~kyit.PBIZTCWLDTJZH[13;8Q5)`zo$yj"ic0/pg+bt6&{l|jy!]AL]QABIR\VOE=?l4U1-dvc(un&mg<#|k/fp2*w`pn}%YM@QYAMKG[CQA\8;8?6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1248Q5)`zo$yj"ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7*Ag937X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj>-Hl2565<]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]EWHYANm88:6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk2,Km7==R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al;'Bb<?=8:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXnk8<7X> gsd-vc)`d9$yh"i}1/pescr(mdzuRhxfu]j6`=R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSdQ`r12347`<]9%l~k }f.eo4+tc'nx:"hxfu-fiur~Wo}mxRgPos234575=2_;#j|i.sd,ci6)zm%l~< }fvdw+pubzV|j`dj=9:W3+bta&{l$ka>!re-dvdu)zz~x#n> c`pq}kcs494956[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$ol|}yogw848512_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(khxyuck{<3<1=>S7'nxm"h gm2-va)`zhy%~~z|/b2,gdtuqgo0>0=f:W3+bta&{l$ka>!re-dvdu)zz~x#n> glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:0=0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>2:75<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64;49?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<2<>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t28185j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U;Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6W8Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l0.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0]7[kis89:;=?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:76;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&ij~waeu>2:7?<]9%l~k }f.eo4+tc'nxj#||tr-`5*efz{seiy2=>3;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.abvwim}682?h4U1-dvc(un&mg<#|k/fpbw+tt|z%h="ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!re-dvdu)zz~x#n? glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~86;2?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><0<17>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:56;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:0>0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0>7:7d<]9%l~k }f.eo4+tc'nxj#||tr-`5*p6W9Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!re-dvdu)zz~x#n? v0]0[dhc89:;=?l4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x>_5]mkq6789;9<6[?/fpe*w`(oe:%~i!hr`q-vvrt'jef|=<?;T2,cw`)zo%l`= }d.eqev(u{}y$obc13;8Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTe>>4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?309V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUbSb|?01225c=R8&myj#|i/fn3*wb(zhgTzlbfd^dtbq443\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu310>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|;8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{3368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr3:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by;=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp3433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d;?:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov;6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1>12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4849o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az848Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?6;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw32?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2<>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp682R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5>5>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}929W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8085k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<4<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw36?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7:3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6<2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:06Vx>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:76;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?5;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<3<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1=12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6?2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;=78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8385i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=5=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc27>3f8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx743Q}t0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<1<2b>S7'nxm"h gm2-va)uxg~y#naznu>2:4`<]9%l~k }f.eo4+tc'{zex!lotlw8786n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:468l0Y=!hrg,qb*ak8'xo#~ats-`kphs4=4:j6[?/fpe*w`(oe:%~i!}povq+firf}6>2<h4U1-dvc(un&mg<#|k/srmpw)dg|d0;0>f:W3+bta&{l$ka>!re-qtkru'je~by28>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<9<2a>S7'nxm"h gm2-va)uxg~y#naznu]35`=R8&myj#|i/fn3*wb(zyd~"m`uov\54c<]9%l~k }f.eo4+tc'{zex!lotlw[77b3\:$kh!rg-dh5(ul&x{by| cnwmpZ56m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY39l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX=8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW?;n7X> gsd-vc)`d9$yh"|nup,gjsi|V=:i6[?/fpe*w`(oe:%~i!}povq+firf}U3>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2?>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?5;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64;49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1=1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>7:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;=7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0;0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=5=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:?6;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T<??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P1338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\677<]9%l~k }f.eo4+tc'{zex!lotlw[a7X;;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T8??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P5338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\277<]9%l~k }f.eo4+tc'{zex!lotlw[a7X?;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T4?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:76;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>2:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2=>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}682?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^6Z&ng:"`?=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\9T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR8V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP?P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV:R.fop*hu5;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86;2?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><0<17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:56;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0>0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>7:7d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W9Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y6Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[7Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0]0[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_5]mkq6789;956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw858512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<0<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0?0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64949?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2>>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28785;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8682?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><5<1f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y7Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[4Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]1[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_2]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q;_omw45679;:0Y=!hrg,qb*ak8'}y#jyns/uppv)dgdz:>=5Z0.eqb+ta'nf;"z| gvcp*rus{&ida}<=8:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZ`eW`8>7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h0`?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`Ud~=>?03f8Q5)`zo$yj"ic0/uq+bqf{'}xx~!}al]eqijXaVey<=>?12a8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=??3b9V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<<<<c:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[rtXlh~jSnaznu]j[dbc89:;=9=m;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:9?o5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678=9i7X> gsd-vc)`d9$|~"ixdb3-saebp}%FmijPws]geqgXkfexRgPaef34561;k1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234=273\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnT{Qkauc\gjsi|VcTmij?012\g|:66=:0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GjhiQxr^fbpdYdg|dSdQnde2345Ydq5258?5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vir050>15;8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=Qwos>2:47XAG\T<9=4U1-dvc(un&mg<#y}/fugg4(pljosx"Cnde]tvZbf|hUhcx`{_h]b`a6789Usc2=>0377>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcW~xThlzn_bmvjqYnWhno<=>?_ymq86869=>0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GjhiQxr^fbpdYdg|dSdQnde2345Yg{6?2<?>429V4*aun'xm#jb?.vp,crbd9'}oohv{/Lcg`ZquWmkmRm`uov\mZgcl9:;<Rv`r=6=57243\:$kh!rg-dh5(pz&m|hn?!weaf|q)JimnT{Qkauc\gjsi|VcTmij?012\|jt;=7;:8>5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vrd~1811060?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NeabX{UomyoPcnwmpZoXimn;<=>Pxnp?3;76<11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234Z~hz5=5=<?PSV373>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcW~xThlzn_bmvjqYnWhno<=>?_ymq82869VY\<9=4U1-dvc(un&mg<#y}/fugg4(pljosx"Cnde]tvZbf|hUhcx`{_h]b`a6789Usc27>0303>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6;2>94U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^az8484?2_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2=>258Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZe~4:48;6[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>7:61<]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVir080<7:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:16:=0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<6<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7<3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:66:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=0=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0>0<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;<7937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>6:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x181399V4*aun'xm#jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss4>4846[?/fpe*w`(oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?<;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc2?>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5;5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq878402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|33?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{6?2>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv939;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<7<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7;3=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:?6;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&nbjkQxr^pg[qkw4949j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT<?h4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR?=f:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP23d8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^11b>S7'nxm"h gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\07`<]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ35n2_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX>;l0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV=9j6[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT4?h4U1-dvc(un&mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR7<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgPaef3456;97937X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`Ujhi>?01>1:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZgcl9:;<1=1399V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_`fg45674=4846[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcTmij?012?1;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lYflm:;<=29>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^cg`56785=5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbSljk01238=86l2_;#j|i.sd,ci6){%ym`Qxr^gm[l75;2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov261=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}8986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}979W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<3<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw33?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:36Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}939:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<7<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;?7Uyx?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;878j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8485i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=0=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2<>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx783<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4<49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv909:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>4:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|38?0g?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050Pru3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=2=5c=R8&myj#|i/fn3*rt(yd~"m`uov?5;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9499o1^<"i}f/pe+bj7&~x${}`{r.alqkr;;7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5>5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~793?i;T2,cw`)zo%l`= xr.usjqt(kfex1811g9V4*aun'xm#jb?.vp,suhsz&idycz37?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=:=5`=R8&myj#|i/fn3*rt(yd~"m`uov\44c<]9%l~k }f.eo4+qu'~zex!lotlw[47b3\:$kh!rg-dh5(pz&}{by| cnwmpZ46m2_;#j|i.sd,ci6){%||cz}/bmvjqY49l1^<"i}f/pe+bj7&~x${}`{r.alqkrX<8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW<;n7X> gsd-vc)`d9$|~"ynup,gjsi|V<:i6[?/fpe*w`(oe:%{!xpovq+firf}U<=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T4?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28485:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?0;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64<49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=181239V4*aun'xm#jb?.vp,suhsz&idyczPd0>4:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;078:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S5<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54149=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^315>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z4592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V99=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R:=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^715>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z0592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V=9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R6>6:W3+bta&{l$ahc dnww[lY79?1^<"i}f/pe+hcj'me~xRgP1058Q5)`zo$yj"cjm.flqqYnW8::;6[?/fpe*w`(elg$hb{{_h]2541<]9%l~k }f.ofi*bh}}UbS<<>7:W3+bta&{l$ahc dnww[lY6;8=0Y=!hrg,qb*kbe&ndyyQf_0623>S7'nxm"h mdo,`jssW`U:9<94U1-dvc(un&gna"j`uu]j[406?2_;#j|i.sd,i`k(lfSdQ>7058Q5)`zo$yj"cjm.flqqYnW82:;6[?/fpe*w`(elg$hb{{_h]2=40<]9%l~k }f.ofi*bh}}UbS??8;T2,cw`)zo%fi`!kotv\mZ479>1^<"i}f/pe+hcj'me~xRgP2034?P6(o{l%~k!bel-gkprXaV89=:5Z0.eqb+ta'dof#iazt^k\66703\:$kh!rg-nah)cg|~TeR<;169V4*aun'xm#`kb/emvpZoX:<;<7X> gsd-vc)jmd%ocxzPi^0552=R8&myj#|i/lgn+air|VcT>:?8;T2,cw`)zo%fi`!kotv\mZ4?9>1^<"i}f/pe+hcj'me~xRgP2835?P6(o{l%~k!bel-gkprXaV9:;6[?/fpe*w`(elg$hb{{_h]0441<]9%l~k }f.ofi*bh}}UbS>?>7:W3+bta&{l$ahc dnww[lY4:8=0Y=!hrg,qb*kbe&ndyyQf_2123>S7'nxm"h mdo,`jssW`U88<94U1-dvc(un&gna"j`uu]j[636>2_;#j|i.sd,i`k(lfSdQ;179V4*aun'xm#`kb/emvpZoX=8<0Y=!hrg,qb*kbe&ndyyQf_735?P6(o{l%~k!bel-gkprXaV=::6[?/fpe*w`(elg$hb{{_h];53=R8&myj#|i/lgn+air|VcT5<74U1-dvc(un&gna"j`uu]nah:768k0Y=!hrg,qb*kbe&ndyyQbel>24;7f3\:$kh!rg-nah)cg|~Tahc310<2e>S7'nxm"h mdo,`jssWdof0<<11`9V4*aun'xm#`kb/emvpZkbe5;82<o4U1-dvc(un&gna"j`uu]nah:6<7;j7X> gsd-vc)jmd%ocxzPmdo?5086i2_;#j|i.sd,i`k(lfS`kb<04=5d=R8&myj#|i/lgn+air|Vgna1?8>0c8Q5)`zo$yj"cjm.flqqYjmd6:43?n;T2,cw`)zo%fi`!kotv\i`k;904:56[?/fpe*w`(elg$hb{{_lgn8486i2_;#j|i.sd,i`k(lfS`kb<32=5d=R8&myj#|i/lgn+air|Vgna1<>>0c8Q5)`zo$yj"cjm.flqqYjmd69>3?n;T2,cw`)zo%fi`!kotv\i`k;::4:m6[?/fpe*w`(elg$hb{{_lgn87299h1^<"i}f/pe+hcj'me~xRcjm=06:4g<]9%l~k }f.ofi*bh}}Ufi`2=6?3b?P6(o{l%~k!bel-gkprXelg7>:0>a:W3+bta&{l$ahc dnww[hcj4;25=l5Z0.eqb+ta'dof#iazt^ofi94>6830Y=!hrg,qb*kbe&ndyyQbel>1:4g<]9%l~k }f.ofi*bh}}Ufi`2<0?3b?P6(o{l%~k!bel-gkprXelg7?<0>a:W3+bta&{l$ahc dnww[hcj4:85=l5Z0.eqb+ta'dof#iazt^ofi95468k0Y=!hrg,qb*kbe&ndyyQbel>00;7f3\:$kh!rg-nah)cg|~Tahc334<2=>S7'nxm"h mdo,`jssWdof0>0>9:W3+bta&{l$ahc dnww[hcj4=4:56[?/fpe*w`(elg$hb{{_lgn808612_;#j|i.sd,i`k(lfS`kb<7<2=>S7'nxm"h mdo,`jssWdof0:0>9:W3+bta&{l$ahc dnww[hcj414:56[?/fpe*w`(elg$hb{{_lgn8<81m2_;#j|i.sd,i`k(okg%koch.f`ncd(iolih"och/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^6Z&{kf"!y2^llmkos&{kf#i~<6y15*wgj9k1^<"i}f/pe+hcj'}g{Sob_sf\ak7d3\:$kh!rg-nah)seyUym`Q}d^gm545<]9%l~k }f.pbiZtcWld:;6[?/fpe*w`(zhgT~hi`uu]fj45<]9%l~k }f.pbiZquWld:h6[?/fpe*w`(zz~i`f!}d^pppZgtzlm9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh<<?;T2,cw`)zo%yylck.pg[wusWhyyijQk20a8Q5)`zo$yj"||tcnh+wbXzz~Tobcm1e9V4*aun'xm#}{bmi,vaYu{}Uhc`l>1d9V4*aun'xm#}{bmi,vaYu{}Uyij2?>0g8Q5)`zo$yj"||tcnh+wbXzz~T~hi31?3f?P6(o{l%~k!}su`oo*tcW{ySkh<3<2`>S7'nxm"h rrvahn)ulVxxxR|jg^22`>S7'nxm"h rrvahn)ulVxxxR|jg^32`>S7'nxm"h rrvahn)ulVxxxR|jg^02`>S7'nxm"h rrvahn)pzVxxxRo|rde14>S7'nxm"h rrvahn)pzVxxxRo|rde\`4473\:$kh!rg-qwqdkc&}yS}{_`qqabYc:8i0Y=!hrg,qb*tt|kf`#z|Prrv\gjke9m1^<"i}f/pe+wusjea${Q}su]`khd69l1^<"i}f/pe+wusjea${Q}su]qab:768o0Y=!hrg,qb*tt|kf`#z|Prrv\v`a;97;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W9;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W8k0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF7>QBI:1\IOl4WSKWAZ@NZZ^h7Z\FTD]NKACXIj1\^DZJ_LMGAZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBhk5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmmg>gkefyShctx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5<keao7io{a^alqkr/8 n0hlzn_bmvjq.6!m1omyoPcnwmp-4.l2njxlQlotlw,6/c3mkmRm`uov+0,b<lh~jSnaznu*6-a=ci}kTob{at)4*`>bf|hUhcx`{(6+g?agsiVidycz'8(f8`drfWje~by&6)e9geqgXkfex1>1f:fbpdYdg|d044?>69gflrbz{<0hd`'0(48`lh/9 =0hd`'11+4?aoi 8;";6jfn)31-2=cag":?$94dhl+51/03mce$<;&7:fjj-71!>1oec&>7(58`lh/91#<7iga(0;*2>bnf!8";6jfn)03-2=cag"9=$94dhl+67/03mce$?=&7:fjj-43!>1oec&=5(58`lh/:?#<7iga(35*3>bnf!83%:5kio*1=,0<l`d#?$94dhl+75/03mce$>?&7:fjj-55!>1oec&<3(58`lh/;=#<7iga(27*2>bnf!>":6jfn)7*2>bnf!<":6jfn)5*2>bnf!2":6jfn);*2>bnf5:5;6jfn=33:2=cag6:=394dhl?57803mce0<=17:fjj9736>1oec2>5?58`lh;9?4<7iga<05=3>bnf5;32:5kio>2=;0<l`d7=394dhl?65803mce0??17:fjj9456>1oec2=3?58`lh;:=4<7iga<37=3>bnf58=2:5kio>13;1<l`d7>508;ekm87?9>2nbb1<17:fjj9576>1oec2<1?58`lh;;;4<7iga<21=3>bnf59?245kio>01?69?2nbb1=:>79gmk:46?1oec2;>79gmk:26?1oec29>79gmk:06?1oec27>79gmk:>6>1ocxz'0(58`jss 8#37iazt)33-==cg|~#=<'7;emvp-75!11ocxz'12+;?air|!;?%55kotv+50/?3me~x%?9)99gkpr/9>#37iazt)3;-==cg|~#=4'8;emvp-4.02ndyy&=0(:8`jss ;;"46j`uu*16,><lf$?=&8:flqq.5< 20hb{{(37*<>bh}}"9:$64dnww,71.02ndyy&=8(:8`jss ;3";6j`uu*0-==cg|~#?='7;emvp-56!11ocxz'33+;?air|!98%55kotv+71/?3me~x%=:)69gkpr/< =0hb{{(4+4?air|!<";6j`uu*4-2=cg|~#4$94dnww,</03me~x1>18:flqq:68720hb{{<03=<>bh}}6:>364dnww845902ndyy2>4?:8`jss48?546j`uu>22;><lf0<918:flqq:60720hb{{<0;=3>bh}}6:255kotv?658?3me~x1<>>99gkpr;:;437iazt=00:==cg|~7>907;emvp942611ocxz327<;?air|58<255kotv?6=8?3me~x1<6>69gkpr;:720hb{{<22=<>bh}}68=364dnww864902ndyy2<3?:8`jss4:>5m6j`uu>01?6902ndyy2<5?58`jss4:4<7iazt=6=3>bh}}6>2:5kotv?2;1<lf0:08;emvp9>9?2ndyy26>99f`l`5fnn>7hctx11?coagVmnbh|ntnp\r1Y4$)Rb`d`w BMQA%Abflxjxb|/11,2*556=2lbjbQwloz\144;?U9oae<3:djbjYdgrT9<<w37]1gim+n`ldSjkaescwkwYq<V9'BB@J,OMMA6e13ocmcRvcny]657~4>V8h`f"iigm\c`hbzh~d~Rx;_2.xgZnf{VcexRmck<2/gZnf{Vyyy3?,b]q`Z`umx7: nQgar]q`Zbf|hUhcx`{=0.`[aoiW~coxe3<6-a\lduX{UomyoPcnwmp87+kVl~`aQil`ep[wusWkg1<"l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1>8#c^opcjhX~hf6=!mPre]gauro5<;9 nQgar]vjacunee|1="l_icp[djjgz~Tjxbc=1.`[mgtW{y1<"l_ecweZeh}g~T{dj{h<33(fYoizU|~Rolk<2/gZstmVoho0>#c^alihiiWjfdof3?,b]tvZgdcVfd{0>#c^pg[uhszV}bhyf29-a\qvcXn~lSio{a^alqkr:9%iTdl}PamolwqYbey~r1="l_sf\`drfWje~byQxievk946+kVe}ihcov]okr;7$jU|~R~ats]tmaro50&hS}|`g^gntqX|pzn1="l_vp\`drfWje~byQxievk946+kVzyiaand^pfcv;6$jUocxzPrrv\rdj:8%iTdl}Pd`vb[firf}7; nQrne\bpjkW}byi~fPndebp`Yqie7>=="l_vp\``vs`4?:>!mPpsmd[`kw|pUdk|h^lfcdrbWkg18"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`0:#c^jbwZpfd`n6<!mPpsmd[cskdV~c~h}g_`qpawrX~hf69<?#cnoskkci|Vdjah3iigm\|ihW<;9t>8P2bnh(fYqiecoSaax=1.`[utneVlbjbQ{yqg>144;?&hS}|`g^dvhiYsqyo6<!mPmdolv|Ysqyo6=!s=f:djbjYdgrT9<<w37]1gimXn`ldSjkaescwkwYq<V9Tt~zP159eqij03`dSnbd8:ldggsndm20c{k}fmmte>vugnUna}zv159svjaXmdzuRzgrdqk,5/6<2zycjQjmqvz[qnumzb#=$?;;qplcZcjx}sTxe|jsi*1-42<x{elShctx]wlwct`!9"=95rne\ahvsqV~c~h}g(5+20>vugnUna}zv_ujqavn/= ;?7}|`g^gntqX|axne&9)068twi`Wlg{xtQ{hsgpl-1.9=1{~biPelrw}Zrozlyc$5'>6:rqkbYbey~rSyf}erj?<?699k1{~biPelrw}ZrozlycSl}|esv+4,7e3yxdkRkbpu{\pmtb{aUj~k}t)3*5g=wzfmTi`~{y^vkv`uoWhyxiz'2(3a?uthoVof|ywPtipfwmYf{zoyx%=&1c9svjaXmdzuRzgrdqk[dutm{~#8$?m;qplcZcjx}sTxe|jsi]bwvcu|!?"=o5rne\ahvsqV~c~h}g_`qpawr/> ;i7}|`g^gntqX|axneQnsrgqp-1.9k1{~biPelrw}ZrozlycSl}|esv+<,7c3yxdkRkbpu{\pmtb{aUj~k}t=:94;7e3yxdkRkbpu{\pmtb{aUeijo{e)2*5g=wzfmTi`~{y^vkv`uoWgolmyk'1(3a?uthoVof|ywPtipfwmYimnki%<&1c9svjaXmdzuRzgrdqk[kc`i}o#?$?m;qplcZcjx}sTxe|jsi]mabgsm!>"=o5rne\ahvsqV~c~h}g_ogdeqc/= ;i7}|`g^gntqX|axneQaefcwa-0.9k1{~biPelrw}ZrozlycSckhaug+3,7e3yxdkRkbpu{\pmtb{aUeijo{e):*5a=wzfmTi`~{y^vkv`uoWgolmyk38;2==>vugnUmyab>3:rqkbYa}efTxe|jsi*3-45<x{elSk{cl^vkv`uo 8#:?6~}of]eqijX|axne&=)018twi`Wog`Rzgrdqk,6/6;2zycjQiumn\pmtb{a"?%<=4psmd[cskdV~c~h}g(4+27>vugnUmyabPtipfwm.1!890|ah_gwohZrozlyc$:'>3:rqkbYa}efTxe|jsi*;-43<x{elSk{cl^vkv`uo410;2<o4psmd[cskdV~c~h}g_`qpawr/8 ;j7}|`g^dvhiYs`{oxdRo|sdpw,4/6i2zycjQiumn\pmtb{aUj~k}t)0*5d=wzfmTjxbc_ujqavnXizyn~y&<)0c8twi`Wog`Rzgrdqk[dutm{~#8$?n;qplcZ`rdeUdk|h^cpw`ts <#:m6~}of]eqijX|axneQnsrgqp-0.9h1{~biPftno[qnumzbTm~}jru*4-4g<x{elSk{cl^vkv`uoWhyxiz'8(3`?uthoVl~`aQ{hsgplZgt{lx054?>0c8twi`Wog`Rzgrdqk[kc`i}o#<$?n;qplcZ`rdeUdk|h^lfcdrb 8#:m6~}of]eqijX|axneQaefcwa-4.9h1{~biPftno[qnumzbTbhintd*0-4g<x{elSk{cl^vkv`uoWgolmyk'4(3b?uthoVl~`aQ{hsgplZhboh~n$8'>a:rqkbYa}efTxe|jsi]mabgsm!<"=l5rne\bpjkW}byi~fPndebp`.0!8k0|ah_gwohZrozlycSckhaug+<,7d3yxdkRhzlm]wlwct`Vdnklzj<983:3=ulVigg<>4re]geqgXkfex%>&119q`Zbf|hUhcx`{(0+24>tcWmkmRm`uov+6,773{nThlzn_bmvjq.4!8:0~iQkauc\gjsi|!>"==5}d^fbpdYdg|d$8'>0:pg[agsiVidycz'6(33?wbXlh~jSnaznu*4-46<zmUomyoPcnwmp->.991yhRjnt`]`kphs 0#:<6|k_ecweZeh}g~7<3??;sf\`drfWje~by2>>028vaYci}kTob{at=0=55=ulVnjxlQlotlw868682xoSio{a^alqkr;<7;;7jPd`vb[firf}6>2<>4re]geqgXkfex181119q`Zbf|hUhcx`{<6<24>tcWmkmRm`uov?<;753{nThlzn_bmvjq:>294:<6|k_ecweZeh}g~753;4re]fj3=ulVxxx>5}su58wgosm{x?7~||t59wvpc>3|doihcov78rdjnl?1|~Rolk79tvZekc8:0{Qkauc\gjsi|!:"==5xr^fbpdYdg|d$<'>0:uq[agsiVidycz'2(33?rtXlh~jSnaznu*0-46<{UomyoPcnwmp-2.991|~Rjnt`]`kphs <#:<6y}_ecweZeh}g~#:$??;vp\`drfWje~by&8)028swYci}kTob{at):*55=pzVnjxlQlotlw,</682}ySio{a^alqkr;87;;7z|Pd`vb[firf}6:2<>4ws]geqgXkfex1<1119tvZbf|hUhcx`{<2<24>quWmkmRm`uov?0;773~xThlzn_bmvjq:268:0{Qkauc\gjsi|5<5==5xr^fbpdYdg|d0:0>0:uq[agsiVidycz38?31?rtXlh~jSnaznu>:>58682}ySio{a^alqkr;17?0{Qjn79tvZtt|tJK|h=>;AB{5?@=<3;p_8k55779=?74:0::;7<n194xj1da281e8n>54:&7fa<3j>1v_8m55779=?74:0::;7<n1948W43c2<<n6=4>33;352<5i82<7^;l:44f>5<6;;3;=:4=a0:;?a3103:1=7?tS4g9133=13;8>4>>7;0b5=0<~];n=7>51;395`c|[<o19;;59;306<66?38j=584$5`2>43b3_>ii7<tu04;>4=r9?31<6s+1e;952=e=?21<78<:2857~N3io1Q9<4;{b8a>40=9<0v(<k9:44;?!2ek3?=;6g:c583>>i2l>0;6)?kb;7f5>h6lh0;76a:d783>!7cj3?n=6`>d`82?>i2l<0;6)?kb;7f5>h6lh0976a:d583>!7cj3?n=6`>d`80?>i2l:0;6)?kb;7f5>h6lh0?76a:d383>!7cj3?n=6`>d`86?>i2l80;6)?kb;7f5>h6lh0=76a:d183>!7cj3?n=6`>d`84?>i2ko0;6)?kb;7f5>h6lh0376a:cd83>!7cj3?n=6`>d`8:?>i2j10;6)?kb;7`6>h6lh0;76a:b683>!7cj3?h>6`>d`82?>i2j?0;6)?kb;7`6>h6lh0976a:b483>!7cj3?h>6`>d`80?>i2j=0;6)?kb;7`6>h6lh0?76a:b283>!7cj3?h>6`>d`86?>i2j;0;6)?kb;7`6>h6lh0=76a:b083>!7cj3?h>6`>d`84?>i2j90;6)?kb;7`6>h6lh0376a:ag83>!7cj3?h>6`>d`8:?>o2m:0;66a:6b83>>i2>?0;66g:ce83>>o2k?0;66a:3883>!7cj3?>o6`>d`83?>i2;10;6)?kb;76g>h6lh0:76a:3683>!7cj3?>o6`>d`81?>i2;?0;6)?kb;76g>h6lh0876a:3483>!7cj3?>o6`>d`87?>i2;=0;6)?kb;76g>h6lh0>76a:3383>!7cj3?>o6`>d`85?>i2;80;6)?kb;76g>h6lh0<76a:3183>!7cj3?>o6`>d`8;?>i2:o0;6)?kb;76g>h6lh0276a:2d83>!7cj3?>o6`>d`8b?>i2:m0;6)?kb;76g>h6lh0i76a:2b83>!7cj3?>o6`>d`8`?>i2:k0;6)?kb;76g>h6lh0o76a:2`83>!7cj3?>o6`>d`8f?>i2:00;6)?kb;76g>h6lh0m76a:2683>!7cj3?>o6`>d`824>=h=;<1<7*>dc861f=i9mk1=<54o406>5<#9mh198m4n0fb>44<3f?987>5$0fa>03d3g;om7?<;:m666<72-;on7;:c:l2`d<6<21d9?<50;&2`g<2=j1e=io51498k046290/=il554a8j4bf28<07b;=0;29 4be2<?h7c?ka;34?>i29o0;6)?kb;76g>h6lh0:465`50g94?"6lk0>9n5a1ec95<=<g<9m6=4+1e`910e<f8nj6<o4;n70a?6=,8ni68;l;o3ge?7e32e>?i4?:%3gf?32k2d:hl4>c:9l16e=83.:ho4:5b9m5ag=9m10c8=m:18'5ad==<i0b<jn:0g8?j34i3:1(<jm:47`?k7ci3;m76a:3283>!7cj3?>o6`>d`814>=h=;21<7*>dc861f=i9mk1><54o43g>5<#9mh198m4n0fb>74<3f?:o7>5$0fa>03d3g;om7<<;:k7b5<72-;on7;>3:l2`d<732c?ik4?:%3gf?36;2d:hl4>;:k7a`<72-;on7;>3:l2`d<532c?ii4?:%3gf?36;2d:hl4<;:k7af<72-;on7;>3:l2`d<332c?io4?:%3gf?36;2d:hl4:;:k7a<<72-;on7;>3:l2`d<132c?i54?:%3gf?36;2d:hl48;:k7a2<72-;on7;>3:l2`d<?32c?i;4?:%3gf?36;2d:hl46;:k7a0<72-;on7;>3:l2`d<f32c?i94?:%3gf?36;2d:hl4m;:k7a6<72-;on7;>3:l2`d<d32c?i?4?:%3gf?36;2d:hl4k;:k7a4<72-;on7;>3:l2`d<b32c?i=4?:%3gf?36;2d:hl4i;:k7``<72-;on7;>3:l2`d<6821b8ij50;&2`g<29:1e=io51098m1bd290/=il55018j4bf28807d:kb;29 4be2<;87c?ka;30?>o3lh0;6)?kb;727>h6lh0:865f4e;94?"6lk0>=>5a1ec950=<a=n36=4+1e`9145<f8nj6<84;h6g3?6=,8ni68?<;o3ge?7032c?h;4?:%3gf?36;2d:hl4>8:9j0a3=83.:ho4:129m5ag=9010e9h9:18'5ad==890b<jn:0c8?l2a=3:1(<jm:430?k7ci3;i76g;f583>!7cj3?:?6`>d`82g>=n<o91<7*>dc8656=i9mk1=i54i5d1>5<#9mh19<=4n0fb>4c<3`>m=7>5$0fa>0743g;om7?i;:k7ad<72-;on7;>3:l2`d<5821b8ih50;&2`g<29:1e=io52098m1b3290/=il55018j4bf2;807d:k3;29 4be2<;87c?ka;00?>o2il0;66l;b183>4<729qC8lh4$0g5>1d73f;o47>5;|`24=<7280;6=uG4`d8 4c128:37b??7;29?xd283:1n94>a182a`}O<hl0V8?517y06?e=j39=6l4<4;35>67=9<0897=<:`8`>40=j3996<;537801?532:91?<4r$0g5>00e3-;>m7??6:&63?3112.>h7;9a:&2`6<6l>1b9n;50;9l1=`=831b9n650;9l0f7=831d9nl50;9j0f2=831b95=50;&2`g<20=1e=io50:9j1=4=83.:ho4:859m5ag=921b95?50;&2`g<20=1e=io52:9j1=6=83.:ho4:859m5ag=;21b9:h50;&2`g<20=1e=io54:9j12c=83.:ho4:859m5ag==21b9:j50;&2`g<20=1e=io56:9j12e=83.:ho4:859m5ag=?21b9:l50;&2`g<20=1e=io58:9j1f2=831d9;h50;9l1a1=83.:ho4:e09m5ag=821d9i850;&2`g<2m81e=io51:9l1a3=83.:ho4:e09m5ag=:21d9i:50;&2`g<2m81e=io53:9l1a5=83.:ho4:e09m5ag=<21d9i<50;&2`g<2m81e=io55:9l1a7=83.:ho4:e09m5ag=>21d9i>50;&2`g<2m81e=io57:9l1f`=83.:ho4:e09m5ag=021d9nk50;&2`g<2m81e=io59:9l1g>=83.:ho4:c39m5ag=821d9o950;&2`g<2k;1e=io51:9l1g0=83.:ho4:c39m5ag=:21d9o;50;&2`g<2k;1e=io53:9l1g2=83.:ho4:c39m5ag=<21d9o=50;&2`g<2k;1e=io55:9l1g4=83.:ho4:c39m5ag=>21d9o?50;&2`g<2k;1e=io57:9l1g6=83.:ho4:c39m5ag=021d9lh50;&2`g<2k;1e=io59:9j1de=83.:ho4:ae9m5ag=821b9ll50;&2`g<2im1e=io51:9j1dg=83.:ho4:ae9m5ag=:21b9l750;&2`g<2im1e=io53:9j1d>=83.:ho4:ae9m5ag=<21b9l950;&2`g<2im1e=io55:9j1d0=83.:ho4:ae9m5ag=>21b9l;50;&2`g<2im1e=io57:9j1d2=83.:ho4:ae9m5ag=021b9h=50;9l0f`=83.:ho4;d09m5ag=821d8nk50;&2`g<3l81e=io51:9l0fb=83.:ho4;d09m5ag=:21d8nm50;&2`g<3l81e=io53:9l0fd=83.:ho4;d09m5ag=<21d8no50;&2`g<3l81e=io55:9l0f?=83.:ho4;d09m5ag=>21d8n650;&2`g<3l81e=io57:9l0f1=83.:ho4;d09m5ag=021d8n850;&2`g<3l81e=io59:9l13e=831d9;850;9j1<>=83.:ho4:989m5ag=821b94950;&2`g<2101e=io51:9j1<0=83.:ho4:989m5ag=:21b94;50;&2`g<2101e=io53:9j1<2=83.:ho4:989m5ag=<21b94=50;&2`g<2101e=io55:9j1<4=83.:ho4:989m5ag=>21b94?50;&2`g<2101e=io57:9j1<6=83.:ho4:989m5ag=021b9nj50;9j13b=831b8n;50;9j1`2=831d9n950;9l1fe=831d8n<50;9l1fg=831b9n850;9l16?=83.:ho4:5b9m5ag=821d9>650;&2`g<2=j1e=io51:9l161=83.:ho4:5b9m5ag=:21d9>850;&2`g<2=j1e=io53:9l163=83.:ho4:5b9m5ag=<21d9>:50;&2`g<2=j1e=io55:9l164=83.:ho4:5b9m5ag=>21d9>?50;&2`g<2=j1e=io57:9l166=83.:ho4:5b9m5ag=021d9?h50;&2`g<2=j1e=io59:9l17c=83.:ho4:5b9m5ag=i21d9?j50;&2`g<2=j1e=io5b:9l17e=83.:ho4:5b9m5ag=k21d9?l50;&2`g<2=j1e=io5d:9l17g=83.:ho4:5b9m5ag=m21d9?750;&2`g<2=j1e=io5f:9l171=83.:ho4:5b9m5ag=9910c8<9:18'5ad==<i0b<jn:038?j35=3:1(<jm:47`?k7ci3;976a:2583>!7cj3?>o6`>d`827>=h=;91<7*>dc861f=i9mk1=954o401>5<#9mh198m4n0fb>43<3f?9=7>5$0fa>03d3g;om7?9;:m665<72-;on7;:c:l2`d<6?21d9<h50;&2`g<2=j1e=io51998k07b290/=il554a8j4bf28307b;<f;29 4be2<?h7c?ka;3b?>i2;l0;6)?kb;76g>h6lh0:n65`52f94?"6lk0>9n5a1ec95f=<g<9h6=4+1e`910e<f8nj6<j4;n70f?6=,8ni68;l;o3ge?7b32e>?l4?:%3gf?32k2d:hl4>f:9l165=83.:ho4:5b9m5ag=:910c8<7:18'5ad==<i0b<jn:338?j36l3:1(<jm:47`?k7ci38976a:1b83>!7cj3?>o6`>d`817>=n=>31<7*>dc863d=i9mk1<65f56:94?"6lk0>;l5a1ec95>=n=>=1<7*>dc863d=i9mk1>65f56494?"6lk0>;l5a1ec97>=n=>?1<7*>dc863d=i9mk1865f56694?"6lk0>;l5a1ec91>=n=>91<7*>dc863d=i9mk1:65f56094?"6lk0>;l5a1ec93>=n=>;1<7*>dc863d=i9mk1465f4g294?"6lk0>=>5a1ec94>=n<ll1<7*>dc8656=i9mk1=65f4dg94?"6lk0>=>5a1ec96>=n<ln1<7*>dc8656=i9mk1?65f4da94?"6lk0>=>5a1ec90>=n<lh1<7*>dc8656=i9mk1965f4d;94?"6lk0>=>5a1ec92>=n<l21<7*>dc8656=i9mk1;65f4d594?"6lk0>=>5a1ec9<>=n<l<1<7*>dc8656=i9mk1565f4d794?"6lk0>=>5a1ec9e>=n<l>1<7*>dc8656=i9mk1n65f4d194?"6lk0>=>5a1ec9g>=n<l81<7*>dc8656=i9mk1h65f4d394?"6lk0>=>5a1ec9a>=n<l:1<7*>dc8656=i9mk1j65f4eg94?"6lk0>=>5a1ec955=<a=no6=4+1e`9145<f8nj6<?4;h6gg?6=,8ni68?<;o3ge?7532c?ho4?:%3gf?36;2d:hl4>3:9j0ag=83.:ho4:129m5ag=9=10e9j6:18'5ad==890b<jn:078?l2c03:1(<jm:430?k7ci3;=76g;d683>!7cj3?:?6`>d`823>=n<m<1<7*>dc8656=i9mk1=554i5f6>5<#9mh19<=4n0fb>4?<3`>m:7>5$0fa>0743g;om7?n;:k7b0<72-;on7;>3:l2`d<6j21b8k:50;&2`g<29:1e=io51b98m1`4290/=il55018j4bf28n07d:i2;29 4be2<;87c?ka;3f?>o3n80;6)?kb;727>h6lh0:j65f4dc94?"6lk0>=>5a1ec965=<a=nm6=4+1e`9145<f8nj6??4;h6g0?6=,8ni68?<;o3ge?4532c?h>4?:%3gf?36;2d:hl4=3:9j0f5=831b9l<50;&2`g<2i:1e=io50:9j1d7=83.:ho4:a29m5ag=921b9l>50;&2`g<2i:1e=io52:9j1<`=83.:ho4:a29m5ag=;21b94k50;&2`g<2i:1e=io54:9j1<b=83.:ho4:a29m5ag==21b94m50;&2`g<2i:1e=io56:9j1<d=83.:ho4:a29m5ag=?21b94o50;&2`g<2i:1e=io58:9j1=b=83.:ho4:8d9m5ag=821b95m50;&2`g<20l1e=io51:9j1=d=83.:ho4:8d9m5ag=:21b95o50;&2`g<20l1e=io53:9j1=?=83.:ho4:8d9m5ag=<21b95650;&2`g<20l1e=io55:9j1=1=83.:ho4:8d9m5ag=>21b95850;&2`g<20l1e=io57:9j1=3=83.:ho4:8d9m5ag=021d9:>50;9l0a4=831b9lk50;9a0gg=83;1<7>t$0g5>46?3A>i56F;ag9l551=831vn9lm:182>5<7s-;n:7:m0:J7f<=O<hl0c<j7:188yg2>k3:1?7>50z&2a3<an2B?n45G4`d8L1b<,8<=68m6;%322?4<a<81<75f5g83>>i6lm0;66sm4`594?5=83:p(<k9:gd8L1d>3A>jj6F;d:&223<2k01/=<852:k66?6=3`?m6=44o0fg>5<<uk>2n7>53;294~"6m?0mj6F;b89K0d`<@=n0(<89:4a:?!76>380e8<50;9j1c<722e:hi4?::a0d3=83?1<7>t$0g5>4633A>i56F;ag9K0a=#9?<19n74$035>7=n=;0;66g:6;29?l3a2900e<jl:188k4bc2900qo:n6;297?6=8r.:i;4if:J7f<=O<hl0D9j4$045>0e>3-;::7<4i4094?=n=o0;66a>de83>>{e<0k1<7;50;2x 4c128:?7E:m9:J7ec=O<m1/=;855b;8 4712;1b9?4?::k62?6=3`?m6=44i0f`>5<<g8no6=44}c6b0?6=<3:1<v*>e78246=O<k30D9oi;%322?4<a<81<75f5g83>>o6lj0;66a>de83>>{e<h91<7:50;2x 4c128:87E:m9:J7ec=#98<1>6g:2;29?l3a2900e<jl:188k4bc2900qo:n2;290?6=8r.:i;4>029K0g?<@=km7)?>6;08m04=831b9k4?::k2`f<722e:hi4?::a0db=83>1<7>t$0g5>4643A>i56F;ag9'540=:2c>>7>5;h7e>5<<a8nh6=44o0fg>5<<uk>jo7>54;294~"6m?0:<>5G4c;8L1ga3-;::7<4i4094?=n=o0;66g>db83>>i6lm0;66sm32;94?2=83:p(<k9:020?M2e12B?mk5+1049<>o2:3:17d;i:188m4bd2900c<jk:188yg4cm3:197>50z&2a3<68;1C8o74H5ce?!76>380e8<50;9j1g<722c>j7>5;h3gg?6=3f;oh7>5;|`1`c<72<0;6=u+1d49554<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66g>db83>>i6lm0;66sm2d294?3=83:p(<k9:021?M2e12B?mk5+10496>o2:3:17d;m:188m0`=831b=im50;9l5ab=831vn?k>:186>5<7s-;n:7??2:J7f<=O<hl0(<?9:39j17<722c>n7>5;h7e>5<<a8nh6=44o0fg>5<<uk8n>7>55;294~"6m?0:<?5G4c;8L1ga3-;::7<4i4094?=n=k0;66g:f;29?l7ck3:17b?kd;29?xd5m:0;684?:1y'5`0=9980D9l6;I6bb>"69?097d;=:188m0d=831b9k4?::k2`f<722e:hi4?::a6`2=83?1<7>t$0g5>4653A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75f1ea94?=h9mn1<75rb3g6>5<2290;w)?j6;336>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900e<jl:188k4bc2900qo<j6;291?6=8r.:i;4>039K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3`;oo7>5;n3g`?6=3th9i:4?:483>5}#9l<1==<4H5`:?M2fn2.:=;4=;h71>5<<a<h1<75f5g83>>o6lj0;66a>de83>>{e;hn1<7:50;2x 4c128:87E:m9:J7ec=#98<1>6g:2;29?l3a2900e<jl:188k4bc2900qo=nc;290?6=8r.:i;4>029K0g?<@=km7)?>6;08m04=831b9k4?::k2`f<722e:hi4?::a7`6=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj:o:6=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo=j2;290?6=8r.:i;4>019K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3f;oh7>5;|`0a6<72=0;6=u+1d49556<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e;l>1<7:50;2x 4c128:;7E:m9:J7ec=#98<1>6g:2;29?l3e2900e8h50;9l5ab=831vn>k::187>5<7s-;n:7??0:J7f<=O<hl0(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c1f2?6=<3:1<v*>e78245=O<k30D9oi;%322?4<a<81<75f5c83>>o2n3:17b?kd;29?xd4m>0;694?:1y'5`0=99:0D9l6;I6bb>"69?097d;=:188m0d=831b9k4?::m2`a<722wi?h650;694?6|,8o=6<>?;I6a=>N3io1/=<852:k66?6=3`?i6=44i4d94?=h9mn1<75rb2g:>5<3290;w)?j6;334>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg4dj3:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd5k:0;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg4c<3:1?7>50z&2a3<3j11C8o74H5ce?l3?2900e<;m:188k4b12900qo<lc;297?6=8r.:i;4>619K0g?<@=km7)?>6;0a?l77i3:17d??b;29?j7c>3:17pl=c583>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo<k5;297?6=8r.:i;4;b99K0g?<@=km7d;7:188m43e2900c<j9:188yg4dl3:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd5k<0;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg4c>3:1?7>50z&2a3<3j11C8o74H5ce?l3?2900e<;m:188k4b12900qo<le;297?6=8r.:i;4>619K0g?<@=km7)?>6;0a?l77i3:17d??b;29?j7c>3:17pl=c783>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo<k7;297?6=8r.:i;4;b99K0g?<@=km7d;7:188m43e2900c<j9:188yg4dn3:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd5k>0;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg4c03:1?7>50z&2a3<3j11C8o74H5ce?l3?2900e<;m:188k4b12900qo<k0;297?6=8r.:i;4>619K0g?<@=km7)?>6;0a?l77i3:17d??b;29?j7c>3:17pl=c983>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo<k9;297?6=8r.:i;4;b99K0g?<@=km7d;7:188m43e2900c<j9:188yg4c93:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd5k00;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg4ci3:1?7>50z&2a3<3j11C8o74H5ce?l3?2900e<;m:188k4b12900qo<k2;297?6=8r.:i;4>619K0g?<@=km7)?>6;0a?l77i3:17d??b;29?j7c>3:17pl=c`83>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo<kb;297?6=8r.:i;4;b99K0g?<@=km7d;7:188m43e2900c<j9:188yg4c;3:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd5lj0;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<ce83>6<729q/=h851728L1d>3A>jj6*>1781f>o68h0;66g>0c83>>i6l?0;66sm3b794?2=83:p(<k9:044?M2e12B?mk5f5983>>o183:17d?kf;29?j7c>3:17pl<d783>6<729q/=h854c:8L1d>3A>jj6g:8;29?l72j3:17b?k6;29?xd4kl0;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?09n6g>0`83>>o68k0;66a>d783>>{e;j<1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4l>0;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<cg83>6<729q/=h851728L1d>3A>jj6*>1781f>o68h0;66g>0c83>>i6l?0;66sm3b594?2=83:p(<k9:044?M2e12B?mk5f5983>>o183:17d?kf;29?j7c>3:17pl<d983>6<729q/=h854c:8L1d>3A>jj6g:8;29?l72j3:17b?k6;29?xd4l90;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?09n6g>0`83>>o68k0;66a>d783>>{e;j21<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4l00;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<d083>6<729q/=h851728L1d>3A>jj6*>1781f>o68h0;66g>0c83>>i6l?0;66sm3b;94?2=83:p(<k9:044?M2e12B?mk5f5983>>o183:17d?kf;29?j7c>3:17pl<d`83>6<729q/=h854c:8L1d>3A>jj6g:8;29?l72j3:17b?k6;29?xd4l;0;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?09n6g>0`83>>o68k0;66a>d783>>{e;jk1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4lk0;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<d283>6<729q/=h851728L1d>3A>jj6*>1781f>o68h0;66g>0c83>>i6l?0;66sm3b`94?2=83:p(<k9:044?M2e12B?mk5f5983>>o183:17d?kf;29?j7c>3:17pl<db83>6<729q/=h854c:8L1d>3A>jj6g:8;29?l72j3:17b?k6;29?xd4l=0;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?09n6g>0`83>>o68k0;66a>d783>>{e;ji1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4lm0;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<d483>6<729q/=h851728L1d>3A>jj6*>1781f>o68h0;66g>0c83>>i6l?0;66sm3eg94?5=83:p(<k9:5`;?M2e12B?mk5f5983>>o6=k0;66a>d783>>{e;831<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4910;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg56?3:187>50z&2a3<6>>1C8o74H5ce?l3?2900e;>50;9j5a`=831d=i850;9~f671290?6=4?{%3f2?71?2B?n45G4`d8m0>=831b:=4?::k2`c<722e:h;4?::a743=83>1<7>t$0g5>4003A>i56F;ag9j1=<722c=<7>5;h3gb?6=3f;o:7>5;|`06f<72=0;6=u+1d49531<@=h27E:nf:k6<?6=3`<;6=44i0fe>5<<g8n=6=44}c11f?6=<3:1<v*>e78222=O<k30D9oi;h7;>5<<a?:1<75f1ed94?=h9m<1<75rb20b>5<3290;w)?j6;353>N3j01C8lh4i4:94?=n>90;66g>dg83>>i6l?0;66sm33;94?2=83:p(<k9:044?M2e12B?mk5f5983>>o183:17d?kf;29?j7c>3:17pl<2983>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo=7c;290?6=8r.:i;4>669K0g?<@=km7d;7:188m36=831b=ih50;9l5a0=831vn>6m:187>5<7s-;n:7?97:J7f<=O<hl0e8650;9j25<722c:hk4?::m2`3<722wi?5o50;694?6|,8o=6<88;I6a=>N3io1b954?::k54?6=3`;oj7>5;n3g2?6=3th8444?:583>5}#9l<1=;94H5`:?M2fn2c>47>5;h43>5<<a8nm6=44o0f5>5<<uk9347>54;294~"6m?0:::5G4c;8L1ga3`?36=44i7294?=n9ml1<75`1e494?=zj:3m6=4;:183!7b>3;=;6F;b89K0d`<a<21<75f6183>>o6lo0;66a>d783>>{e;0o1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd41m0;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg5>k3:187>50z&2a3<6>>1C8o74H5ce?l3?2900e;>50;9j5a`=831d=i850;9~f6?e290?6=4?{%3f2?71?2B?n45G4`d8m0>=831b:=4?::k2`c<722e:h;4?::a65>=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj;:<6=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<?6;290?6=8r.:i;4>019K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3f;oh7>5;|`140<72=0;6=u+1d49556<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e:9>1<7:50;2x 4c128:;7E:m9:J7ec=#98<1>6g:2;29?l3e2900e8h50;9l5ab=831vn?><:187>5<7s-;n:7??0:J7f<=O<hl0(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c036?6=<3:1<v*>e78245=O<k30D9oi;%322?4<a<81<75f5c83>>o2n3:17b?kd;29?xd5880;694?:1y'5`0=99:0D9l6;I6bb>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>=>50;694?6|,8o=6<>?;I6a=>N3io1/=<852:k66?6=3`?i6=44i4d94?=h9mn1<75rb34b>5<3290;w)?j6;334>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg4113:187>50z&2a3<6891C8o74H5ce?!76>380e8<50;9j1g<722c>j7>5;n3g`?6=3th9:54?:583>5}#9l<1==>4H5`:?M2fn2.:=;4=;h71>5<<a<h1<75f5g83>>i6lm0;66sm27594?2=83:p(<k9:023?M2e12B?mk5+10496>o2:3:17d;m:188m0`=831d=ij50;9~f701290?6=4?{%3f2?7782B?n45G4`d8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk8=97>54;294~"6m?0:<=5G4c;8L1ga3-;::7<4i4094?=n=k0;66g:f;29?j7cl3:17pl=6583>1<729q/=h851128L1d>3A>jj6*>1781?l352900e8l50;9j1c<722e:hi4?::a635=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj;<96=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<m2;290?6=8r.:i;4>019K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3f;oh7>5;|`1f4<72=0;6=u+1d49556<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e:k:1<7:50;2x 4c128:;7E:m9:J7ec=#98<1>6g:2;29?l3e2900e8h50;9l5ab=831vn?oi:187>5<7s-;n:7??0:J7f<=O<hl0(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c0ba?6=<3:1<v*>e78245=O<k30D9oi;%322?4<a<81<75f5c83>>o2n3:17b?kd;29?xd5im0;694?:1y'5`0=99:0D9l6;I6bb>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>lm50;694?6|,8o=6<>?;I6a=>N3io1/=<852:k66?6=3`?i6=44i4d94?=h9mn1<75rb3ca>5<3290;w)?j6;334>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg4fi3:187>50z&2a3<6891C8o74H5ce?!76>380e8<50;9j1g<722c>j7>5;n3g`?6=3th99=4?:583>5}#9l<1==>4H5`:?M2fn2.:=;4=;h71>5<<a<h1<75f5g83>>i6lm0;66sm25d94?2=83:p(<k9:023?M2e12B?mk5+10496>o2:3:17d;m:188m0`=831d=ij50;9~f72b290?6=4?{%3f2?7782B?n45G4`d8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk8?h7>54;294~"6m?0:<=5G4c;8L1ga3-;::7<4i4094?=n=k0;66g:f;29?j7cl3:17pl=4b83>1<729q/=h851128L1d>3A>jj6*>1781?l352900e8l50;9j1c<722e:hi4?::a61d=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj;>j6=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<;9;290?6=8r.:i;4>019K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3f;oh7>5;|`10=<72=0;6=u+1d49556<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e:h:1<7:50;2x 4c128:;7E:m9:J7ec=O<m1/=;855b;8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk82j7>54;294~"6m?0:<=5G4c;8L1ga3A>o7)?96;7`=>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>4k50;694?6|,8o=6<>?;I6a=>N3io1C8i5+17491f?<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<6d;290?6=8r.:i;4>019K0g?<@=km7E:k;%352?3d12.:=;4=;h71>5<<a<h1<75f5g83>>i6lm0;66sm28a94?2=83:p(<k9:023?M2e12B?mk5G4e9'530==j30(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c0:f?6=<3:1<v*>e78245=O<k30D9oi;I6g?!71>3?h56*>1781?l352900e8l50;9j1c<722e:hi4?::a6<g=83>1<7>t$0g5>4673A>i56F;ag9K0a=#9?<19n74$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg4>13:187>50z&2a3<6891C8o74H5ce?M2c3-;=:7;l9:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e:021<7:50;2x 4c128:;7E:m9:J7ec=O<m1/=;855b;8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk88i7>54;294~"6m?0:<=5G4c;8L1ga3A>o7)?96;7`=>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>>j50;694?6|,8o=6<>?;I6a=>N3io1C8i5+17491f?<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<<c;290?6=8r.:i;4>019K0g?<@=km7E:k;%352?3d12.:=;4=;h71>5<<a<h1<75f5g83>>i6lm0;66sm22`94?2=83:p(<k9:023?M2e12B?mk5G4e9'530==j30(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c00e?6=<3:1<v*>e78245=O<k30D9oi;I6g?!71>3?h56*>1781?l352900e8l50;9j1c<722e:hi4?::a66?=83>1<7>t$0g5>4673A>i56F;ag9K0a=#9?<19n74$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg4403:187>50z&2a3<6891C8o74H5ce?M2c3-;=:7;l9:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e::=1<7:50;2x 4c128:;7E:m9:J7ec=O<m1/=;855b;8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk88:7>54;294~"6m?0:<=5G4c;8L1ga3A>o7)?96;7`=>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>?m50;694?6|,8o=6<>?;I6a=>N3io1/=<852:k66?6=3`?i6=44i4d94?=h9mn1<75rb30a>5<3290;w)?j6;334>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg45i3:187>50z&2a3<6891C8o74H5ce?!76>380e8<50;9j1g<722c>j7>5;n3g`?6=3th9>44?:583>5}#9l<1==>4H5`:?M2fn2.:=;4=;h71>5<<a<h1<75f5g83>>i6lm0;66sm23:94?2=83:p(<k9:023?M2e12B?mk5+10496>o2:3:17d;m:188m0`=831d=ij50;9~f740290?6=4?{%3f2?7782B?n45G4`d8 4712;1b9?4?::k6f?6=3`?m6=44o0fg>5<<uk89:7>54;294~"6m?0:<=5G4c;8L1ga3-;::7<4i4094?=n=k0;66g:f;29?j7cl3:17pl=2483>1<729q/=h851128L1d>3A>jj6*>1781?l352900e8l50;9j1c<722e:hi4?::a672=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj;2n6=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo<7d;290?6=8r.:i;4>019K0g?<@=km7)?>6;08m04=831b9o4?::k6b?6=3f;oh7>5;|`1<f<72=0;6=u+1d49556<@=h27E:nf:&253<53`?96=44i4`94?=n=o0;66a>de83>>{e:1h1<7:50;2x 4c128:;7E:m9:J7ec=#98<1>6g:2;29?l3e2900e8h50;9l5ab=831vn?6n:187>5<7s-;n:7??0:J7f<=O<hl0(<?9:39j17<722c>n7>5;h7e>5<<g8no6=44}c0;=?6=<3:1<v*>e78245=O<k30D9oi;%322?4<a<81<75f5c83>>o2n3:17b?kd;29?xd5010;694?:1y'5`0=99:0D9l6;I6bb>"69?097d;=:188m0d=831b9k4?::m2`a<722wi>5950;694?6|,8o=6<>?;I6a=>N3io1/=<852:k66?6=3`?i6=44i4d94?=h9mn1<75rb3:5>5<3290;w)?j6;334>N3j01C8lh4$035>7=n=;0;66g:b;29?l3a2900c<jk:188yg5093:197>50z&2a3<6881C8o74H5ce?!76>380e8<50;9j13<722c>n7>5;h7e>5<<g8no6=44}c144?6==3:1<v*>e78244=O<k30D9oi;%322?4<a<81<75f5783>>o2j3:17d;i:188k4bc2900qo=9f;291?6=8r.:i;4>009K0g?<@=km7)?>6;08m04=831b9;4?::k6f?6=3`?m6=44o0fg>5<<uk9=i7>55;294~"6m?0:<<5G4c;8L1ga3-;::7<4i4094?=n=?0;66g:b;29?l3a2900c<jk:188yg51l3:197>50z&2a3<6881C8o74H5ce?!76>380e8<50;9j13<722c>n7>5;h7e>5<<g8no6=44}c15g?6==3:1<v*>e78244=O<k30D9oi;%322?4<a<81<75f5783>>o2j3:17d;i:188k4bc2900qo=9a;291?6=8r.:i;4>009K0g?<@=km7)?>6;08m04=831b9;4?::k6f?6=3`?m6=44o0fg>5<<uk9=57>55;294~"6m?0:<95G4c;8L1ga3-;::764i4094?=n=?0;66g:f;29?l7ck3:17b?kd;29?xd4>k0;684?:1y'5`0=99;0D9l6;I6bb>"69?097d;=:188m00=831b9o4?::k6b?6=3f;oh7>5;|`01`<72:0;6=u+1d490g><@=h27E:nf:k6<?6=3`;>n7>5;n3g2?6=3th89i4?:283>5}#9l<18o64H5`:?M2fn2c>47>5;h36f?6=3f;o:7>5;|`011<72=0;6=u+1d49531<@=h27E:nf:k6<?6=3`<;6=44i0fe>5<<g8n=6=44}c16g?6=;3:1<v*>e787f==O<k30D9oi;h7;>5<<a8?i6=44o0f5>5<<uk9>>7>54;294~"6m?0:::5G4c;8L1ga3`?36=44i7294?=n9ml1<75`1e494?=zj:?i6=4<:183!7b>3>i46F;b89K0d`<a<21<75f14`94?=h9m<1<75rb273>5<3290;w)?j6;353>N3j01C8lh4i4:94?=n>90;66g>dg83>>i6l?0;66sm34c94?5=83:p(<k9:5`;?M2e12B?mk5f5983>>o6=k0;66a>d783>>{e;=o1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd4=00;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl<4b83>1<729q/=h851758L1d>3A>jj6g:8;29?l072900e<ji:188k4b12900qo=:8;297?6=8r.:i;4;b99K0g?<@=km7d;7:188m43e2900c<j9:188yg53i3:187>50z&2a3<6>>1C8o74H5ce?l3?2900e;>50;9j5a`=831d=i850;9~f63029086=4?{%3f2?2e02B?n45G4`d8m0>=831b=8l50;9l5a0=831vn>:7:187>5<7s-;n:7?97:J7f<=O<hl0e8650;9j25<722c:hk4?::m2`3<722wi?8850;194?6|,8o=69l7;I6a=>N3io1b954?::k21g<722e:h;4?::a710=83>1<7>t$0g5>4003A>i56F;ag9j1=<722c=<7>5;h3gb?6=3f;o:7>5;|`0<6<72<0;6=u+1d49557<@=h27E:nf:&253<53`?96=44i4494?=n=k0;66g:f;29?j7cl3:17pl<8383>0<729q/=h851138L1d>3A>jj6*>1781?l352900e8850;9j1g<722c>j7>5;n3g`?6=3th84<4?:483>5}#9l<1==?4H5`:?M2fn2.:=;4=;h71>5<<a<<1<75f5c83>>o2n3:17b?kd;29?xd4090;684?:1y'5`0=99;0D9l6;I6bb>"69?097d;=:188m00=831b9o4?::k6b?6=3f;oh7>5;|`03c<72<0;6=u+1d49557<@=h27E:nf:&253<53`?96=44i4494?=n=k0;66g:f;29?j7cl3:17pl<7d83>0<729q/=h851138L1d>3A>jj6*>1781?l352900e8850;9j1g<722c>j7>5;n3g`?6=3th8;i4?:483>5}#9l<1==?4H5`:?M2fn2.:=;4=;h71>5<<a<<1<75f5c83>>o2n3:17b?kd;29?xd4?j0;684?:1y'5`0=99;0D9l6;I6bb>"69?097d;=:188m00=831b9o4?::k6b?6=3f;oh7>5;|`03g<72<0;6=u+1d49557<@=h27E:nf:&253<53`?96=44i4494?=n=k0;66g:f;29?j7cl3:17pl<0883>1<729q/=h851128L1d>3A>jj6*>1781?l352900e8l50;9j1c<722e:hi4?::a750=83>1<7>t$0g5>4673A>i56F;ag9'540=:2c>>7>5;h7a>5<<a<l1<75`1ef94?=zj:;;6=4;:183!7b>3;;<6F;b89K0d`<,8;=6?5f5383>>o2j3:17d;i:188k4bc2900qo=?d;290?6=8r.:i;4>029K0g?<@=km7)?>6;:8m04=831b9k4?::k2`f<722e:hi4?::a75e=83>1<7>t$0g5>4643A>i56F;ag9'540=02c>>7>5;h7e>5<<a8nh6=44o0fg>5<<uk>9;7>55;294~"6m?0:<<5G4c;8L1ga3-;::7<4i4094?=n=?0;66g:b;29?l3a2900c<jk:188yg25>3:197>50z&2a3<6881C8o74H5ce?!76>380e8<50;9j13<722c>n7>5;h7e>5<<g8no6=44}c611?6==3:1<v*>e78244=O<k30D9oi;%322?4<a<81<75f5783>>o2j3:17d;i:188k4bc2900qo:=4;291?6=8r.:i;4>009K0g?<@=km7)?>6;08m04=831b9;4?::k6f?6=3`?m6=44o0fg>5<<uk>9?7>55;294~"6m?0:<<5G4c;8L1ga3-;::7<4i4094?=n=?0;66g:b;29?l3a2900c<jk:188yg25:3:197>50z&2a3<6881C8o74H5ce?!76>380e8<50;9j13<722c>n7>5;h7e>5<<g8no6=44}c614?6==3:1<v*>e78241=O<k30D9oi;%322?><a<81<75f5783>>o2n3:17d?kc;29?j7cl3:17pl;1g83>0<729q/=h851138L1d>3A>jj6*>1781?l352900e8850;9j1g<722c>j7>5;n3g`?6=3th?><4?:483>5}#9l<1==?4H5`:?M2fn2.:=;4=;h71>5<<a<<1<75f5c83>>o2n3:17b?kd;29?xd39=0;6>4?:1y'5`0=<k20D9l6;I6bb>o203:17d?:b;29?j7c>3:17pl;1283>6<729q/=h854c:8L1d>3A>jj6g:8;29?l72j3:17b?k6;29?xd38h0;694?:1y'5`0=9?=0D9l6;I6bb>o203:17d8?:188m4ba2900c<j9:188yg26:3:1?7>50z&2a3<3j11C8o74H5ce?l3?2900e<;m:188k4b12900qo:?8;290?6=8r.:i;4>669K0g?<@=km7d;7:188m36=831b=ih50;9l5a0=831vn9?>:180>5<7s-;n:7:m8:J7f<=O<hl0e8650;9j50d=831d=i850;9~f161290?6=4?{%3f2?71?2B?n45G4`d8m0>=831b:=4?::k2`c<722e:h;4?::a046=8391<7>t$0g5>1d?3A>i56F;ag9j1=<722c:9o4?::m2`3<722wi8=:50;694?6|,8o=6<88;I6a=>N3io1b954?::k54?6=3`;oj7>5;n3g2?6=3th?<k4?:283>5}#9l<18o64H5`:?M2fn2c>47>5;h36f?6=3f;o:7>5;|`747<72=0;6=u+1d49531<@=h27E:nf:k6<?6=3`<;6=44i0fe>5<<g8n=6=44}c63a?6=;3:1<v*>e787f==O<k30D9oi;h7;>5<<a8?i6=44o0f5>5<<uk>;<7>54;294~"6m?0:::5G4c;8L1ga3`?36=44i7294?=n9ml1<75`1e494?=zj=:o6=4<:183!7b>3>i46F;b89K0d`<a<21<75f14`94?=h9m<1<75rb2df>5<3290;w)?j6;353>N3j01C8lh4i4:94?=n>90;66g>dg83>>i6l?0;66sm41a94?5=83:p(<k9:5`;?M2e12B?mk5f5983>>o6=k0;66a>d783>>{e;oi1<7:50;2x 4c128<<7E:m9:J7ec=n=10;66g90;29?l7cn3:17b?k6;29?xd3;:0;684?:1y'5`0=99;0D9l6;I6bb>"69?097d;=:188m00=831b9o4?::k6b?6=3f;oh7>5;|`774<72<0;6=u+1d49552<@=h27E:nf:&253<?3`?96=44i4494?=n=o0;66g>db83>>i6lm0;66sm42094?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi8>:50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<:?1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a060=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm42594?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi8>650;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<:31<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a01d=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm45c94?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi89750;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<=21<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a011=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm45494?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi89;50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<=>1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a015=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm47;94?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi8;650;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<?=1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a030=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm47794?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi8;:50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<?81<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a037=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm47294?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi88h50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<<o1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a00b=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm44a94?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi88l50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<<k1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a00?=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm44594?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi88850;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<<?1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a002=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm44194?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi88<50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<<;1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a006=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm45d94?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi89k50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<?l1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a03c=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm47f94?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi8;m50;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<?h1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a03g=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm47194?3=83:p(<k9:022?M2e12B?mk5+10496>o2:3:17d;9:188m0d=831b9k4?::m2`a<722wi88650;794?6|,8o=6<>>;I6a=>N3io1/=<852:k66?6=3`?=6=44i4`94?=n=o0;66a>de83>>{e<=n1<7;50;2x 4c128::7E:m9:J7ec=#98<1>6g:2;29?l312900e8l50;9j1c<722e:hi4?::a01e=83?1<7>t$0g5>4663A>i56F;ag9'540=:2c>>7>5;h75>5<<a<h1<75f5g83>>i6lm0;66sm4`;94?5=83:p(<k9:043?M2e12B?mk5+10495==n99k1<75f11`94?=h9m<1<75rb5;f>5<4290;w)?j6;354>N3j01C8lh4$035>4><a8:j6=44i02a>5<<g8n=6=44}c05g?6=;3:1<v*>e78225=O<k30D9oi;%322?7b3`;;m7>5;h33f?6=3f;o:7>5;|`14d<72:0;6=u+1d49536<@=h27E:nf:&253<6m2c:<l4?::k24g<722e:h;4?::a5c0=8391<7>t$0g5>4073A>i56F;ag9'540=9l1b==o50;9j55d=831d=i850;9~f4`229086=4?{%3f2?7182B?n45G4`d8 47128o0e<>n:188m46e2900c<j9:188yg7a<3:1?7>50z&2a3<6>91C8o74H5ce?!76>3;n7d??a;29?l77j3:17b?k6;29?xd6n:0;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?0:i6g>0`83>>o68k0;66a>d783>>{e9o81<7=50;2x 4c128<;7E:m9:J7ec=#98<1=h5f11c94?=n99h1<75`1e494?=zj8l:6=4<:183!7b>3;=<6F;b89K0d`<,8;=6<k4i02b>5<<a8:i6=44o0f5>5<<uk;m<7>53;294~"6m?0::=5G4c;8L1ga3-;::7?j;h33e?6=3`;;n7>5;n3g2?6=3th:ik4?:283>5}#9l<1=;>4H5`:?M2fn2.:=;4>e:k24d<722c:<o4?::m2`3<722wi=hk50;194?6|,8o=6<8?;I6a=>N3io1/=<851d9j55g=831b==l50;9l5a0=831vn<kk:180>5<7s-;n:7?90:J7f<=O<hl0(<?9:0g8m46f2900e<>m:188k4b12900qo?jc;297?6=8r.:i;4>619K0g?<@=km7)?>6;3f?l77i3:17d??b;29?j7c>3:17pl>ec83>6<729q/=h851728L1d>3A>jj6*>1782a>o68h0;66g>0c83>>i6l?0;66sm1dc94?5=83:p(<k9:043?M2e12B?mk5+10495`=n99k1<75f11`94?=h9m<1<75rb0g:>5<4290;w)?j6;354>N3j01C8lh4$035>4c<a8:j6=44i02a>5<<g8n=6=44}c3f<?6=;3:1<v*>e78225=O<k30D9oi;%322?7b3`;;m7>5;h33f?6=3f;o:7>5;|`2a2<72:0;6=u+1d49536<@=h27E:nf:&253<6m2c:<l4?::k24g<722e:h;4?::a63c=83>1<7>t$0g5>4053A>i56F;ag9'540=:m1b==o50;9j55d=831b==m50;9l5a0=831vn?>l:187>5<7s-;n:7?92:J7f<=O<hl0(<?9:3f8m46f2900e<>m:188m46d2900c<j9:188yg5703:187>50z&2a3<6>;1C8o74H5ce?!76>38=7d??a;29?l77j3:17d??c;29?j7c>3:17pl=7183>0<729q/=h851778L1d>3A>jj6*>17816>o68h0;66g>0c83>>o68j0;66g>0e83>>i6l?0;66sm21g94?3=83:p(<k9:046?M2e12B?mk5+104967=n99k1<75f11`94?=n99i1<75f11f94?=h9m<1<75rb22e>5<2290;w)?j6;351>N3j01C8lh4$035>03<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c13f?6==3:1<v*>e78220=O<k30D9oi;%322?443`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`0b7<72:0;6=u+1d49536<@=h27E:nf:&253<602c:<l4?::k24g<722e:h;4?::a752=83>1<7>t$0g5>4053A>i56F;ag9'540=:91b==o50;9j55d=831b==m50;9l5a0=831vn?9=:180>5<7s-;n:7?90:J7f<=O<hl0(<?9:0g8m46f2900e<>m:188k4b12900qo<>0;297?6=8r.:i;4>619K0g?<@=km7)?>6;3f?l77i3:17d??b;29?j7c>3:17pl=7583>1<729q/=h851708L1d>3A>jj6*>1781`>o68h0;66g>0c83>>o68j0;66a>d783>>{e:881<7:50;2x 4c128<97E:m9:J7ec=#98<1>i5f11c94?=n99h1<75f11a94?=h9m<1<75rb355>5<2290;w)?j6;351>N3j01C8lh4$035>74<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c020?6==3:1<v*>e78220=O<k30D9oi;%322?453`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`046<72<0;6=u+1d49533<@=h27E:nf:&253<6l2c:<l4?::k24g<722c:<n4?::k24a<722e:h;4?::a0<0=8391<7>t$0g5>4073A>i56F;ag9'540=n01b==o50;9j55d=831d=i850;9~f6g>29086=4?{%3f2?7182B?n45G4`d8 4712;h0e<>n:188m46e2900c<j9:188yg5>>3:1?7>50z&2a3<6>91C8o74H5ce?!76>38i7d??a;29?l77j3:17b?k6;29?xd4;?0;6>4?:1y'5`0=9?:0D9l6;I6bb>"69?09n6g>0`83>>o68k0;66a>d783>>{e;;91<7=50;2x 4c128<;7E:m9:J7ec=#98<1>o5f11c94?=n99h1<75`1e494?=zj:k36=4::183!7b>3;=96F;b89K0d`<,8;=6?m4i02b>5<<a8:i6=44i02`>5<<a8:o6=44o0f5>5<<uk9297>55;294~"6m?0::85G4c;8L1ga3-;::7<l;h33e?6=3`;;n7>5;h33g?6=3`;;h7>5;n3g2?6=3th8?84?:483>5}#9l<1=;;4H5`:?M2fn2.:=;4=c:k24d<722c:<o4?::k24f<722c:<i4?::m2`3<722wi??<50;794?6|,8o=6<8:;I6a=>N3io1/=<852b9j55g=831b==l50;9j55e=831b==j50;9l5a0=831vn?k6:186>5<7s-;n:7?95:J7f<=O<hl0(<?9:69j55g=831b==l50;9j55e=831b==j50;9l5a0=831vn>o8:186>5<7s-;n:7?95:J7f<=O<hl0(<?9:3a8m46f2900e<>m:188m46d2900e<>k:188k4b12900qo=64;291?6=8r.:i;4>649K0g?<@=km7)?>6;0`?l77i3:17d??b;29?l77k3:17d??d;29?j7c>3:17pl<3583>0<729q/=h851778L1d>3A>jj6*>1781g>o68h0;66g>0c83>>o68j0;66g>0e83>>i6l?0;66sm33394?3=83:p(<k9:046?M2e12B?mk5+10496f=n99k1<75f11`94?=n99i1<75f11f94?=h9m<1<75rb2c5>5<2290;w)?j6;351>N3j01C8lh4$035>7e<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c1:7?6==3:1<v*>e78220=O<k30D9oi;%322?4d3`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`076<72<0;6=u+1d49533<@=h27E:nf:&253<5k2c:<l4?::k24g<722c:<n4?::k24a<722e:h;4?::a776=83?1<7>t$0g5>4023A>i56F;ag9'540=:j1b==o50;9j55d=831b==m50;9j55b=831d=i850;9~f65e290?6=4?{%3f2?71:2B?n45G4`d8 4712oo0e<>n:188m46e2900e<>l:188k4b12900qo=nf;291?6=8r.:i;4>649K0g?<@=km7)?>6;6e?l77i3:17d??b;29?l77k3:17d??d;29?j7c>3:17pl<a483>0<729q/=h851778L1d>3A>jj6*>1781g>o68h0;66g>0c83>>o68j0;66g>0e83>>i6l?0;66sm38094?3=83:p(<k9:046?M2e12B?mk5+10496f=n99k1<75f11`94?=n99i1<75f11f94?=h9m<1<75rb211>5<2290;w)?j6;351>N3j01C8lh4$035>7e<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c12b?6==3:1<v*>e78220=O<k30D9oi;%322?4d3`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`13<<72:0;6=u+1d49536<@=h27E:nf:&253<5j2c:<l4?::k24g<722e:h;4?::a641=8391<7>t$0g5>4073A>i56F;ag9'540=:k1b==o50;9j55d=831d=i850;9~f71d290?6=4?{%3f2?71:2B?n45G4`d8 4712;;0e<>n:188m46e2900e<>l:188k4b12900qo<>a;290?6=8r.:i;4>639K0g?<@=km7)?>6;02?l77i3:17d??b;29?l77k3:17b?k6;29?xd5?10;684?:1y'5`0=9??0D9l6;I6bb>"69?09i6g>0`83>>o68k0;66g>0b83>>o68m0;66a>d783>>{e:8<1<7;50;2x 4c128<>7E:m9:J7ec=#98<1>h5f11c94?=n99h1<75f11a94?=n99n1<75`1e494?=zj;oi6=4<:183!7b>3;=<6F;b89K0d`<,8;=6<64i02b>5<<a8:i6=44o0f5>5<<uk9in7>53;294~"6m?0::=5G4c;8L1ga3-;::7?j;h33e?6=3`;;n7>5;n3g2?6=3th9ii4?:583>5}#9l<1=;<4H5`:?M2fn2.:=;4>0:k24d<722c:<o4?::k24f<722e:h;4?::a7ge=83>1<7>t$0g5>4053A>i56F;ag9'540=:=1b==o50;9j55d=831b==m50;9l5a0=831vn?ki:186>5<7s-;n:7?95:J7f<=O<hl0(<?9:0d8m46f2900e<>m:188m46d2900e<>k:188k4b12900qo<i1;290?6=8r.:i;4>639K0g?<@=km7)?>6;0b?l77i3:17d??b;29?l77k3:17b?k6;29?xd4jo0;694?:1y'5`0=9?80D9l6;I6bb>"69?0>?6g>0`83>>o68k0;66g>0b83>>i6l?0;66sm2g694?3=83:p(<k9:046?M2e12B?mk5+104972=n99k1<75f11`94?=n99i1<75f11f94?=h9m<1<75rb2a3>5<2290;w)?j6;351>N3j01C8lh4$035>cb<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c0e`?6==3:1<v*>e78220=O<k30D9oi;%322?3<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c1a`?6=<3:1<v*>e78227=O<k30D9oi;%322?403`;;m7>5;h33f?6=3`;;o7>5;n3g2?6=3th9jl4?:483>5}#9l<1=;;4H5`:?M2fn2.:=;4;e:k24d<722c:<o4?::k24f<722c:<i4?::m2`3<722wi>k650;794?6|,8o=6<8:;I6a=>N3io1/=<851178m46f2900e<>m:188m46d2900e<>k:188k4b12900qo<ib;291?6=8r.:i;4>649K0g?<@=km7)?>6;db?l77i3:17d??b;29?l77k3:17d??d;29?j7c>3:17pl<5483>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd4=:0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<5083>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd4<o0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<4e83>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd4<k0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<4883>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd4<>0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl;0c83>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd3800;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl;0683>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd38<0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl;0283>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd3880;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<fg83>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd4nm0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<5g83>7<729q/=h8514d8L1d>3A>jj6*>178f?l77i3:17b?k6;29?xd39<0;6?4?:1y'5`0=9<l0D9l6;I6bb>"69?0n7d??a;29?j7c>3:17pl<0183>0<729q/=h851778L1d>3A>jj6*>1780<>o68h0;66g>0c83>>o68j0;66g>0e83>>i6l?0;66sm2gd94?2=83:p(<k9:041?M2e12B?mk5+10496==n99k1<75f11`94?=n99i1<75`1e494?=zj::96=4::183!7b>3;=96F;b89K0d`<,8;=6;5f11c94?=n99h1<75f11a94?=n99n1<75`1e494?=zj:i96=4;:183!7b>3;=>6F;b89K0d`<,8;=6?64i02b>5<<a8:i6=44i02`>5<<g8n=6=44}c1a=?6==3:1<v*>e78220=O<k30D9oi;%322?0<a8:j6=44i02a>5<<a8:h6=44i02g>5<<g8n=6=44}c1`0?6==3:1<v*>e78220=O<k30D9oi;%322?333`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`1b`<72<0;6=u+1d49533<@=h27E:nf:&253<a3`;;m7>5;h33f?6=3`;;o7>5;h33`?6=3f;o:7>5;|`0f`<72<0;6=u+1d49533<@=h27E:nf:&253<5=2c:<l4?::k24g<722c:<n4?::k24a<722e:h;4?::a6c0=83?1<7>t$0g5>4023A>i56F;ag9'540=:01b==o50;9j55d=831b==m50;9j55b=831d=i850;9~f7`2290>6=4?{%3f2?71=2B?n45G4`d8 47128l0e<>n:188m46e2900e<>l:188m46c2900c<j9:188yg5d;3:197>50z&2a3<6><1C8o74H5ce?!76>3827d??a;29?l77j3:17d??c;29?l77l3:17b?k6;29?xd4k80;684?:1y'5`0=9??0D9l6;I6bb>"69?0996g>0`83>>o68k0;66g>0b83>>o68m0;66a>d783>>{e:oi1<7;50;2x 4c128<>7E:m9:J7ec=#98<1h6g>0`83>>o68k0;66g>0b83>>o68m0;66a>d783>>{e;kk1<7<50;2x 4c128?27E:m9:J7ec=n9931<75`1e494?=zj=3?6=4na;294~"6m?0:hh5G4c;8L1ga3S?:6lu=f;13>47=9;0:57?n:0195g<6<3;h6p*>5487?!72>3>0(<>j:59'55`=<2.:==4;;%325?2<,8;9695+10190>"6m;087)?j3;18 4702=1/=<654:&25<<33-;:m7:4$03a>1=#98i186*>1e87?!76m3>0(<?i:59'576=<2.:><4;;%316?2<,888695+13690>"6:<0?7)?=6;68 4402=1/=?654:&26<<33-;9m7:4$00a>1=#9;i186*>2e87?!75m3>0(<<i:59'566=<2.:?<4;;%306?2<,898695+12690>"6;<0?7)?<6;68 4502=1/=>654:&27<<33-;8m7:4$01a>1=#9:i186*>3e87?!74m3>0(<=i:59'516=<2.:8<4;;%376?2<,8>8695+15690>"6<<0?7)?;6;68 4202=1/=9654:&20<<33-;?m7:4$06a>1=#9=i186*>4e87?!73m3>0(<:i:59'506=<2.:9<4;;%366?2<,8?8695+14690>"3j?0?n95+10690>"6m90:h85+14597>"6=1087):m5;6a0>"69<0?7d;6:188m0g=831bjo4?::keg?6=3`;n87>5;h3f1?6=3`>i>7>5;h6a7?6=3`>96=4+1e`904=i9mk1<65f4183>!7cj3>:7c?ka;38?l5a290/=il5409m5ag=:21b?h4?:%3gf?263g;om7=4;h1g>5<#9mh18<5a1ec90>=n;j0;6)?kb;62?k7ci3?07d=m:18'5ad=<81e=io56:9j7d<72-;on7:>;o3ge?1<3`926=4+1e`904=i9mk1465f4b83>!7cj3>i7c?ka;28?l2f290/=il54c9m5ag=921b844?:%3gf?2e3g;om7<4;h6;>5<#9mh18o5a1ec97>=n<>0;6)?kb;6a?k7ci3>07d:9:18'5ad=<k1e=io55:9j00<72-;on7:m;o3ge?0<3`>?6=4+1e`90g=i9mk1;65f4283>!7cj3>i7c?ka;:8?l1f290/=il5789m5ag=821b;54?:%3gf?1>3g;om7?4;h55>5<#9mh1;45a1ec96>=n?<0;6)?kb;5:?k7ci3907d9;:18'5ad=?01e=io54:9j36<72-;on796;o3ge?3<3`=96=4+1e`93<=i9mk1:65f7083>!7cj3=27c?ka;58?l17290/=il5789m5ag=021b:k4?:%3gf?1>3g;om774;h4f>5<#9mh1;45a1ec9e>=n>m0;6)?kb;5:?k7ci3h07d8m:18'5ad=?01e=io5c:9j2d<72-;on796;o3ge?b<3`<26=4+1e`93<=i9mk1i65f6983>!7cj3=27c?ka;d8?l00290/=il5789m5ag=9910e;850;&2`g<012d:hl4>1:9j20<72-;on796;o3ge?7532c=87>5$0fa>2?<f8nj6<=4;h40>5<#9mh1;45a1ec951=<a?81<7*>dc84=>h6lh0:965f8083>!7cj3=27c?ka;35?>o?83:1(<jm:6;8j4bf28=07d9i:18'5ad=?01e=io51998m2c=83.:ho489:l2`d<6121b;i4?:%3gf?1>3g;om7?n;:k4g?6=,8ni6:74n0fb>4d<3`=i6=4+1e`93<=i9mk1=n54i6594?"6lk0<56`>d`82`>=n>j0;6)?kb;5:?k7ci3;n76g91;29 4be2>30b<jn:0d8?l?e290/=il59`9m5ag=821b544?:%3gf??f3g;om7?4;h;4>5<#9mh15l5a1ec96>=n1?0;6)?kb;;b?k7ci3907d7::18'5ad=1h1e=io54:9j=1<72-;on77n;o3ge?3<3`386=4+1e`9=d=i9mk1:65f9383>!7cj33j7c?ka;58?l?6290/=il59`9m5ag=021b5=4?:%3gf??f3g;om774;h:e>5<#9mh15l5a1ec9e>=n0l0;6)?kb;;b?k7ci3h07d6l:18'5ad=1h1e=io5c:9j<g<72-;on77n;o3ge?b<3`2j6=4+1e`9=d=i9mk1i65f8883>!7cj33j7c?ka;d8?l>?290/=il59`9m5ag=9910e5950;&2`g<>i2d:hl4>1:9j<3<72-;on77n;o3ge?7532c397>5$0fa><g<f8nj6<=4;h:7>5<#9mh15l5a1ec951=<a191<7*>dc8:e>h6lh0:965fa383>!7cj33j7c?ka;35?>of93:1(<jm:8c8j4bf28=07do?:18'5ad=1h1e=io51998m<`=83.:ho46a:l2`d<6121b5h4?:%3gf??f3g;om7?n;:k:`?6=,8ni64o4n0fb>4d<3`3h6=4+1e`9=d=i9mk1=n54i8:94?"6lk02m6`>d`82`>=n0m0;6)?kb;;b?k7ci3;n76g72;29 4be20k0b<jn:0d8?lg0290/=il5a79m5ag=821bm84?:%3gf?g13g;om7?4;hc7>5<#9mh1m;5a1ec96>=ni:0;6)?kb;c5?k7ci3907dol:18'5ad=ik1e=io50:9jed<72-;on7om;o3ge?7<3`k26=4+1e`9eg=i9mk1>65fa983>!7cj3ki7c?ka;18?je1290/=il5c49m5ag=821do94?:%3gf?e23g;om7?4;na1>5<#9mh1o85a1ec96>=hk80;6)?kb;a6?k7ci3907bm?:18'5ad=k<1e=io54:9lfc<72-;on7m:;o3ge?3<3fhn6=4+1e`9g0=i9mk1:65`be83>!7cj3i>7c?ka;58?jdd290/=il5c49m5ag=021dno4?:%3gf?e23g;om774;n`b>5<#9mh1o85a1ec9e>=hj00;6)?kb;a6?k7ci3h07bl8:18'5ad=k<1e=io5c:9lf3<72-;on7m:;o3ge?b<3fh>6=4+1e`9g0=i9mk1i65`b583>!7cj3i>7c?ka;d8?jd4290/=il5c49m5ag=9910co<50;&2`g<d=2d:hl4>1:9lf4<72-;on7m:;o3ge?7532ei<7>5$0fa>f3<f8nj6<=4;nce>5<#9mh1o85a1ec951=<gho1<7*>dc8`1>h6lh0:965`ce83>!7cj3i>7c?ka;35?>idk3:1(<jm:b78j4bf28=07bmm:18'5ad=k<1e=io51998kfg=83.:ho4l5:l2`d<6121do44?:%3gf?e23g;om7?n;:m`<?6=,8ni6n;4n0fb>4d<3fi<6=4+1e`9g0=i9mk1=n54ob194?"6lk0h96`>d`82`>=hj10;6)?kb;a6?k7ci3;n76and;29 4be2j?0b<jn:0d8?j`4290/=il5f39m5ag=821dj<4?:%3gf?`53g;om7?4;nd3>5<#9mh1j?5a1ec96>=hmo0;6)?kb;d1?k7ci3907bk8:18'5ad=m?1e=io50:9la0<72-;on7k9;o3ge?7<3fo86=4+1e`9a3=i9mk1>65`e383>!7cj3o=7c?ka;18?jc6290/=il5e79m5ag=<21di=4?:%3gf?c13g;om7;4;nfe>5<#9mh1i;5a1ec92>=hll0;6)?kb;g5?k7ci3=07bjk:18'5ad=m?1e=io58:9l`f<72-;on7k9;o3ge??<3fni6=4+1e`9a3=i9mk1m65`d`83>!7cj3o=7c?ka;`8?jb?290/=il5e79m5ag=k21dh:4?:%3gf?c13g;om7j4;nf5>5<#9mh1i;5a1ec9a>=hl<0;6)?kb;g5?k7ci3l07bj;:18'5ad=m?1e=io51198ka5=83.:ho4j6:l2`d<6921dh?4?:%3gf?c13g;om7?=;:mg5?6=,8ni6h84n0fb>45<3fn;6=4+1e`9a3=i9mk1=954obd94?"6lk0n:6`>d`821>=hml0;6)?kb;g5?k7ci3;=76ajd;29 4be2l<0b<jn:058?jcd290/=il5e79m5ag=9110chl50;&2`g<b>2d:hl4>9:9lad<72-;on7k9;o3ge?7f32en57>5$0fa>`0<f8nj6<l4;ng;>5<#9mh1i;5a1ec95f=<gl>1<7*>dc8f2>h6lh0:h65`d883>!7cj3o=7c?ka;3f?>idm3:1(<jm:d48j4bf28l07bh7:18'5ad=n>1e=io50:9lb3<72-;on7h8;o3ge?7<3fl>6=4+1e`9b2=i9mk1>65`f583>!7cj3l<7c?ka;18?xd48<0;694?:1y'5`0=9?;0D9l6;I6bb>"69?0:i6g>0`83>>o68k0;66a>5b83>>i6l?0;66sm2g094?3=83:p(<k9:040?M2e12B?mk5+104962=n99k1<75f11`94?=n99i1<75`14a94?=h9m<1<75rb3d:>5<3290;w)?j6;350>N3j01C8lh4$035>7><a8:j6=44i02a>5<<a8:h6=44o07`>5<<uz?h87>56z\6g1=:;921==m4=22e>46c349;n7??d:?041<68j16?==511a8yv2c83:1;=uQ4e08Z1e53W?ho6P:c`9]0f7<V<i<7S;9f:\6gg=Y=>:0R86i;_6`b>X3kl1U8nj4^5a`?[2dj2T?ol5Q4b;8Z1e?3W>h;6P;c79>0gg=99=019o::4d891?f2<l019o;:4d891g42<l019o=:4d891gc2<l019ol:4d897bb28nh70<kf;3gg>;5m90:hn522d395ae<5;o96<jl;<0f7?7ck279i94>db9>6`3=9mi01?k9:0f`?84b?3;oo63<18854>;4910=<63<16854>;49?0=<63<14854>;4:j0=<63<2c854>;4:h0=<63<28854>;4:10=<63<8b854>;40k0=<63<8`854>;4000=<63<89854>;41o0=<63<9d854>;41m0=<63<9b854>;41k0=<63<55854>;4=;0=<63<51854>;4<l0=<63<4b854>;4<h0=<63<49854>;4<?0=<63;0`854>;3810=<63;07854>;38=0=<63;03854>;3890=<63<fd854>;4nj0=<63;9582a1=:<0>18o=4=5;7><d<5=3?6474=5;7><1<5=3?6484=5;7><3<5=3?64:4=5;7><5<5=3?64<4=5;7><7<5=3?64>4=5;7>=`<5=3?65k4=5;7>=e<5=3?65l4=5;7>=g<5=3?6574=5;7>=><5=3?6594=5;7>=0<5=3?65;4=5;7>=2<5=3?65=4=5;7>d4<5=3?6l?4=5;7>d6<5=3?64h4=5;7><c<5=3?64j4=5;7><e<5=3?6464=5;7>=b<5=3?65<4=5;7>de<5=3?6lo4=5;7>d?<5=3?6l64}r7`2?6=;rT>o;524`795ae<5=3j6<jl;|q623<72;qU9;84=22g>4bc3ty>i>4?:2y]1`5<5:l96<>m;<1bb?77k2wx9lk50;51[3fm27?5n4:2:?7=g<2:27?5l4:2:?7e1<2:27?m>4:2:?7e7<2:278?44:2:?1``<2:279hk4:2:?1a5<2:279i<4:2:?1a7<2:279i>4:2:?1a1<2:279i84:2:?1a3<2:279i:4:2:?12d<2:279:44:2:?12=<2:279::4:2:?123<2:279:84:2:?121<2:279:>4:2:?127<2:279n?4:2:?1f4<2:279n=4:2:?1ec<2:279mh4:2:?1ea<2:279mn4:2:?1eg<2:279ml4:2:?1e5<2:2795k4:2:?1=`<2:2795i4:2:?1=f<2:2795o4:2:?1=d<2:279544:2:?1==<2:279>n4:2:?16g<2:279>l4:2:?16<<2:279>54:2:?162<2:279>;4:2:?160<2:279>94:2:?034<2:278;=4:2:?02c<2:278:h4:2:?02a<2:278:n4:2:?02d<2:278:44:2:?02g<2:2784>4:2:?0<7<2:2784<4:2:?0<5<2:278;k4:2:?03`<2:278;i4:2:?03f<2:278;o4:2:?04<<2:278<;4:2:?055<2:278<i4:2:?04f<2:27?:44:2:?72=<2:27?::4:2:?723<2:27?:84:2:?721<2:27?:?4:2:?724<2:27?:=4:2:?71c<2:27?9h4:2:?71a<2:27?9n4:2:?71g<2:27?9l4:2:?71<<2:27?9:4:2:?713<2:27?984:2:?711<2:27?9>4:2:?717<2:27?9<4:2:?715<2:27?8k4:2:?70`<2:27?:k4:2:?72`<2:27?:i4:2:?72f<2:27?:o4:2:?72d<2:27?:>4:2:?71=<2:27?8i4:2:?70f<2:27?594:a:p13e=838pR88l;<1b`?7cl2wx9nj50;71[3dl27?m:4:2:?7e0<2:27?m;4:2:?7ea<2:27?mn4:2:?0ea<2:278mn4:2:?0a5<2:278i<4:2:?0a7<2:278i>4:2:?0a1<2:278i84:2:?0a3<2:278i:4:2:?0a=<2:278i44:2:?14=<2:279<:4:2:?143<2:279<84:2:?141<2:279<>4:2:?147<2:279<<4:2:?145<2:2799=4:2:?10c<2:2798h4:2:?10a<2:2798n4:2:?10g<2:2798l4:2:?10<<2:279854:2:?17`<2:279?i4:2:?17f<2:279?o4:2:?17d<2:279?44:2:?17=<2:279?:4:2:?173<2:2794h4:2:?1<a<2:2794n4:2:?1<g<2:2794l4:2:?1<<<2:279454:2:?1<2<2:2794;4:2:?762<2:27?>;4:2:?760<2:27?>94:2:?766<2:27?>?4:2:?765<2:27?=k4:2:?764<2:27??>4:2:?774<2:27???4:2:?771<2:27??84:2:?773<2:27??:4:2:?77=<2:27??44:2:?70g<2:27?8l4:2:?70<<2:27?854:2:?702<2:27?8;4:2:?700<2:27?894:2:?706<2:27?594:9:p1`4=838pR8j8;<1f=?7cl2wx9h>50;0xZ0b1349n47?kd:p1a`=838pR8j:;<1f3?7cl2wx9ik50;0xZ0b3349n:7?kd:p1ab=838pR8j<;<1f1?7cl2wx9im50;0xZ0b5349n87?kd:p1ad=838pR8j>;<1f7?7cl2wx9io50;0xZ0b7349n>7?kd:p1a?=838pR8mi;<1f5?7cl2wx9i650;0xZ0eb349n<7?kd:p10b=838pR8=6;<65=?7cl2wx98l50;0xZ05?34>=47?kd:p10g=838pR8=8;<653?7cl2wx98750;0xZ05134>=:7?kd:p10>=838pR8=:;<651?7cl2wx98950;0xZ05334>=87?kd:p103=838pR8==;<656?7cl2wx98:50;0xZ05634>==7?kd:p105=838pR8=?;<654?7cl2wx98<50;0xZ04a34>>j7?kd:p107=838pR8<j;<66a?7cl2wx98>50;0xZ04c34>>h7?kd:p11`=838pR8<l;<66g?7cl2wx99k50;0xZ04e34>>n7?kd:p11b=838pR8<n;<66e?7cl2wx99m50;0xZ04>34>>57?kd:p11g=838pR8<8;<663?7cl2wx99750;0xZ04134>>:7?kd:p11>=838pR8<:;<661?7cl2wx99950;0xZ04334>>87?kd:p110=838pR8<<;<667?7cl2wx99;50;0xZ04534>>>7?kd:p112=838pR8<>;<665?7cl2wx99=50;0xZ04734>><7?kd:p114=838pR8?i;<67b?7cl2wx99?50;0xZ07b34>?i7?kd:p132=838pR8=i;<65b?7cl2wx9;=50;0xZ05b34>=i7?kd:p134=838pR8=k;<65`?7cl2wx9;?50;0xZ05d34>=o7?kd:p136=838pR8=m;<65f?7cl2wx98h50;0xZ05f34>=m7?kd:p10c=838pR8=<;<657?7cl2wx98850;0xZ04?34>>47?kd:p11d=838pR8?k;<67`?7cl2wx99>50;0xZ07d34>?o7?kd:p1f5=838pR8l7;<0f3?7cl2wx9n?50;0xZ0d0348n:7?kd:p1f6=838pR8l9;<0f1?7cl2wx9oh50;0xZ0d2348n87?kd:p1gc=838pR8l;;<0f7?7cl2wx9oj50;0xZ0d4348n>7?kd:p1ge=838pR8l=;<0f5?7cl2wx9ol50;0xZ0d6348n<7?kd:p1gg=838pR8l?;<0gb?7cl2wx9o750;0xZ0ga348oi7?kd:p142=838pR9h?;<6:0?g03ty>=?4?:3y]0``<5=3?6:o4}r725?6=:rT?ih5248693==z{<;;6=4={_6f`>;31=0<:6s|51d94?4|V=oh70:64;56?xu28l0;6?uQ4d`891?32>>0q~;?c;296~X3m01684:5729~w06e2909wS:j8:?7=1<0:2wx9=o50;0xZ1c034>2879>;|q64<<72;qU8h84=5;7>d3<uz?;47>52z\7a0=:<0>1;=5rs424>5<5sW>n863;9585b>{t=9<1<7<t^5g0?82><3<n7p}:0483>7}Y<l80197;:7f8yv37<3:1>vP;e09>0<2=>k1v8><:181[2b827?5949a:p157=838pR9jj;<6:0?0>3ty><=4?:3y]0ab<5=3?6;64}r6eb?6=:rT?hn524869e1=z{=ln6=4={_6gf>;31=0=;6s|4gf94?4|V=nj70:64;45?xu3nj0;6?uQ4e;891?32??0q~:ib;296~X3l11684:5659~w1`f2909wS:k7:?7=1<1;2wx8k750;0xZ1b134>2878=;|q7b=<72;qU8i;4=5;7>=7<uz?:n7>52z\7b3=:<0>14=5rs43b>5<5sW>m963;958b7>{t=831<7<t^5d7?82><3=m7p}:1983>7}Y<o90197;:6g8yv36?3:1>vP;f39>0<2=?m1v8?9:181[2a927?5948c:p143=838pR9kn;<6:0?1e3ty><i4?:3y]0a`<5=3?6:94}r736?6=:rT?h95248692f=z{=l<6=4={_6g7>;31=0==6s|17`94?c|5=hi6<j7;<0`7?3?348o87;7;<1`1?3?349o:7;7;<121?3?349947;7;<1;<?3?3492n7;7;<162?3?349?:7;7;<63g?3?349mo7;7;<6:0?`e3ty?5o4?:2y>0<e==o1684l51ef891?f2<<0q~:6c;296~;31j0:hi5248g955g<uz>j:7>53z?7e2<2n27?m84:6:?7e3<6lm1v9o8:18182f?3;oh63;a8824d=z{=3j6=4<{<6:f?3a34>2m7?kd:?7=`<68k1v9o::18082f=3;oh63;a786b>;3i00:<o5rs5;g>5<3s4>j87?kc:?7e6<6lj168l<51ea891?b28n=7p};a083>70|5=k?6<jk;<10=?7ck279hh4:b:?1`c<2j279i=4:b:?1a4<2j279i?4:b:?1a6<2j279i94:b:?1a0<2j279i;4:b:?1a2<2j278;<4:b:?035<2j278:k4:b:?02`<2j278:i4:b:?02f<2j278:l4:b:?02<<6lj16?;l55c9>7=5==k16?5<55c9>7=7==k16?5>55c9>72`==k16?:k55c9>72b==k16?:m55c9>72d==k16?=755c9>750==k16?<>55c9>75b=9mi01>>l:0f`?84b13;;o63=fd824g=::oi1==o4}r6b4?6=:<q68l=51ef8970f2<h01?86:4`8970?2<h01?88:4`897012<h01?8::4`897032<h01?8<:4`897052<h01?l=:4`897d62<h01?l?:4`897ga2<h01?oj:4`897gc2<h01?ol:4`897ge2<h01?on:4`897g72<h01?7i:4`897?b2<h01?7k:4`897?d2<h01?7m:4`897?f2<h01?76:4`897??2<h01?<l:4`8974e2<h01?<n:4`8974>2<h01?<7:4`897402<h01?<9:4`897422<h01?<;:4`8yv2>n3:1>:u24`095ab<5=<268l4=54;>0d<5=<<68l4=545>0d<5=<>68l4=547>0d<5=<968l4=542>0d<5=<;68l4=57e>0d<5=?n68l4=57g>0d<5=?h68l4=57a>0d<5=?j68l4=57:>0d<5=?<68l4=575>0d<5=?>68l4=577>0d<5=?868l4=571>0d<5=?:68l4=573>0d<5=>m68l4=56f>0d<5=<m68l4=54f>0d<5=<o68l4=54`>0d<5=<i68l4=54b>0d<5=<868l4=57;>0d<5=>o68l4=56`>0d<5=3=6<>m;<6:0?7b=2wx8l650;1x91gc28nh70:nc;3gg>;3i00:h;5rs5ca>5<50r7?mi4>de9>7db=9mi01>ol:0f`?85b83?i70=j1;7a?85b:3?i70=j3;7a?85b<3?i70=j5;7a?85b>3?i70=j7;7a?85b03?i70=j9;7a?825?3?i70:=6;7a?825=3?i70:=4;7a?825;3?i70:=2;7a?82583;oo63;1g86f>;3:80>n63;3286f>;3;80:hn5242091g=:<:>19o5242791g=:<:<19o5242591g=:<:219o5242;91g=:<=h19o5245c91g=:<=319o5245:91g=:<==19o5245491g=:<=?19o5245691g=:<=919o5rs5cb>5<5=r7?mn4>de9>65>==k16>=955c9>650==k16>=;55c9>652==k16>==55c9>654==k16>=?55c9>656==k16>8>55c9>61`==k16>9k55c9>61b==k16>9m55c9>61d==k16>9o55c9>61?==k16>9655c9>66c==k16>>j55c9>66e==k16>>l55c9>66g==k16>>755c9>66>==k16>>955c9>660==k16>5k55c9>6=b==k16>5m55c9>6=d==k16>5o55c9>6=?==k16>5655c9>6=1==k16>5855c9~w65f2909w0=<9;7e?854j3;o:6s|32;94?5|5:926<jk;<13f?77i278<>4>0`9~w7c?2909w0<ke;7e?84b13;o:6s|2dc94?4|5;nm68h4=3ga>4b13ty9in4?:3y>6`6==o16>hj51e48yv4bm3:1>v3=e086b>;5mo0:h;5rs3d3>5<5s48n>7;i;<0e5?7c>2wx>k=50;0x97c42<l01?h;:0f5?xu5n<0;6?u22d691c=::o?1=i84}r0e3?6=:r79i84:f:?1bd<6l?1v?hm:18184b>3?m70<ib;3g2>{t;9;1<7<t=3g4>0`<5::96<j9;|q0e`<72:q6?lj55g9>7de==o16?lh51e48yv5fk3:1?v3<ab82`a=:;o81==o4=2ce>46e3ty8hk4?:3y>7`6==o16?i851e48yv5bi3:1>v3<e086b>;4jh0:h;5rs2ga>5<5s49n>7;i;<1af?7c>2wx?hm50;0x96c42<l01>ll:0f5?xu4mm0;6?u23d691c=:;ko1=i84}r1fa?6=:r78i84:f:?0fc<6l?1v>ki:18185b>3?m70=l0;3g2>{t;o:1<7<t=2g4>0`<5:i:6<j9;|q0b4<72;q6?h655g9>7f2=9m<0q~=m8;296~;4m00>j63<b882`3=z{;?26=4:{<0`f?77i279o>490:?127<6lm16?><511f8967a28:o7p}<7383>0}::jh1==l4=25a>4bc34;ni7??b:?05c<68j1684:5429~w7ee2908w0<lb;3g2>;5k:0:hk522e6950d<uz8h?7>53z?1g6<6l?16>n:5599>6a3==11v?jk:18184c<3;o:63=e8824a=z{;?j6=4:{<0`g?77i279o9490:?126<6lm16?><511`8967a28:i7p}<7283>3}::ji1==l4=25`>4bc34;ni7??a:?2aa<68k16?<h511c891?32=>0q~<lc;297~;5kj0:h;522b695a`<5;n>6<;m;|q1g1<72:q6>n:51e4897e22<201?j9:4:8yv4d:3:1:v3=d482`3=::lh1==o4=3gg>46d348nj7??d:?1b3<68h16>k<511c8yv42j3:19v3=ce824d=::j?1:=5227695ab<5:986<>k;<114?77l2wx?::50;4x97ec28:i70=8d;3g`>;6mm0:<l521da955d<5:8;6<>l;<6:0?223ty9oi4?:2y>6fb=9m<01?m::0fe?84c>3;>n6s|2b794?5|5;i>6<j9;<0`2?3?348o;7;7;|q1f`<72<q6>i851e4897cc28:i70<jf;33e>;5n?0:<o522g0955d<uz8>o7>55z?1g`<68h16>n85619>633=9mn01>=<:02a?85583;;n6s|36794?0|5;in6<>m;<14a?7cl27:in4>0`9>5`d=99h01><?:02b?82><3>=7p}=cd83>6}::jo1=i84=3a5>4ba348o;7?:b:p6f0=839p1?m9:0f5?84d?3?370<k8;7;?xu5jo0;69u22e595a0<5;om6<>m;<0e2?77k279j?4>0b9~w73c290>w0<lf;33e>;5k>0=<63=6782`a=:;:>1==j4=202>46c3ty8;;4?:7y>6f`=99h01>9i:0fg?87bj3;;m63>e`824g=:;;;1==m4=5;7>11<uz8hj7>53z?1gc<6l?16>n951ed897b?28?i7p}=c683>6}::j=1=i84=3a;>0><5;n26864}r0`4?6=?r79h54>d79>6c7=99h01?h;:02a?84a03;;n63=fd824a=::o<1==j4=3d:>46f3ty99h4?:4y>6a6=99k01?m7:728970028no70=<4;33f>;4:80:<o5rs254>5<1s48o<7??b:?0<5<6lm16=ho511c894c>28:i70==1;33e>;31=0?46s|2e294?5|5;n;6<j9;<0`<?7cn279h44>5c9~w7e?2908w0<l8;3g2>;5k00>463=d`86<>{t:j;1<79t=3f:>4b1348m87??c:?1ba<68k16>k6511c897`a28:i70<i5;33e>;5n00:<n5rs37e>5<2s48o=7??a:?1g<<18279:54>de9>763=99n01><=:02g?xu4?10;6;u22e3955d<5:2:6<jk;<3f=?77i27:i54>0c9>774=99i0197;:5;8yv4c93:1?v3=d082`3=::j31=ih4=3fb>43e3ty9o44?:2y>6f?=9m<01?mn:4:897be2<20q~<mb;292~;5lh0:h;522gf955g<5;l36<>l;<0eb?77i279j84>0c9>6c?=99h0q~<90;291~;5l;0:<l522bc925=::?31=ij4=216>46e3499>7??b:p72?=83<p1?j=:02a?85?:3;oh63>e9824d=:9l=1==l4=201>46f34>287:n;|q1`7<72:q6>i<51e4897ef28nm70<kb;36f>{t:jk1<7<t=3ab>4b1348oo7;7;|q1ff<72<q6>il51e4897`f28:i70<ib;33e>;4890:<o522gd955e<uz8==7>54z?1`6<68h16>;o51ef8965128:j70==3;33e>{t;>k1<78t=3f0>46e3489o7;i;<1;7?7cl27:i:4>0`9>775=99h0197;:5a8yv4c;3:1>v3=d282`3=::mi1=8l4}r0a`?6=;r79hn4>d79>6cd=99h01>>=:02b?xu3;h0;68u23bf955g<5:i>6;>4=560>4bc34;m:7??b:?7=1<412wx=k950;6x96ec28:i70<?0;3g`>;4i<0:<i52380955b<uz9hh7>53z?0ga<6l?16?n;51ed896b128?i7p}<c483>6}:;j?1=i84=2a5>0><5:n<6864}r3e<?6=<r78oh4>0`9>657=9mn01>o::02a?85>:3;;n6s|42`94?0|5:in6<>m;<1`2?0734>?87?kd:?2b3<68h16=k;511`891?32:k0q~=le;297~;4kl0:h;523b495a`<5:n<6<;m;|q0g3<72:q6?n851e4896e02<201>j7:4:8yv5e?3:1;v3<d682`3=:;kh1==l4=2``>46d349ih7??c:?0f`<68m16?n=511`896df28:27p}>f883>1}:;jl1==o4=321>4bc349j:7??d:?0=6<68m1v9=l:18585dn3;;n63<c6854>;3<<0:hi521g7955g<58l?6<>m;<6:0?5e3ty8ok4?:2y>7f`=9m<01>m8:0fe?85c03;>n6s|3b594?5|5:i<6<j9;<1`<?3?349o57;7;|q0f6<72?q6?i651e4896de28:j70=mc;33e>;4jm0:<l523cg955g<5:i86<>n;|q2bd<72=q6?i>511c8976428no70=n6;33f>;41:0:<o5rs51g>5<1s49o<7??b:?0g=<1827?8;4>de9>5c2=99k01<h<:02a?82><39h7p}<d183>6}:;m:1=i84=2a;>4ba349o57?:b:p7f>=839p1>m7:0f5?85d13?370=ka;7;?xu4j=0;68u23e;95a0<5:hh6<>m;<1a`?77j278nh4>0b9>7f5=99i0q~?ib;290~;4l80:<l5221695ab<5:k<6<>k;<1:0?77l2wx8>k50;4x96b628:i70=l9;43?823?3;oh63>f2824d=:9o81==l4=5;7>6b<uz9o=7>53z?0`4<6l?16?n751ed896bf28?i7p}<c883>6}:;j31=i84=2ab>0><5:ni6864}r1a1?6=>r78hl4>d79>7g`=99k01>m?:02b?85d:3;;m63<bd824g=:;j91==j4}r60b?6=>r78h?4>0`9>7fg=>91689651ef894`528:j70?i1;33f>;31=08i6s|1ga94?2|5:n96<>m;<031?7cl278m:4>0c9>7<2=99h0q~=k2;297~;4l;0:h;523bc95a`<5:ni6<;m;|q0gd<72:q6?no51e4896ee2<201>jl:4:8yv5e>3:19v3<dc82`3=:;kl1==l4=2a3>46e349h>7??b:?0g4<68j1v9:?:18585c;3;;m63<cc854>;3<00:hi521g3955g<58l;6<>m;<6:0?5a3ty:ji4?:5y>7a5=99h01?>9:0fg?85f03;;h63<94824a=z{:n86=4<{<1g7?7c>278oo4>dg9>7ae=9<h0q~=lb;297~;4kk0:h;523ba91==:;mn1955rs2`3>5<3s49oo7?k6:?0g5<68j16?n<511a896e628:j7p};4083>3}:;m>1==o4=2a`>36<5=>j6<jk;<3e4?77i27:ik4>0c9>0<2=<91v<hj:18785c<3;;n63=0682`a=:;h21==l4=2;6>46e3ty8h94?:2y>7a2=9m<01>ml:0fe?85cl3;>n6s|3ba94?4|5:ih6<j9;<1ga?3?3ty8n<4?:5y>7ab=9m<01>l6:02b?85d<3;;m63<c0824g=z{=>96=4:{<1g1?77i2794h4:f:?70g<6lm16=hh511c891?32=80q~?if;290~;4l<0:<o5221:95ab<5:k26<>m;<1:2?77j2wx?i;50;0x96b228n=70=ke;36f>{t;k81<7=t=2ff>4b1349i57??b:?0g1<68k1v>?;:18185613?370=>8;3g2>{t;8o1<7<t=23:>4ba3499?7?k6:p761=838p1>?6:0f5?854j3;;o6s|30194?4|5:;36864=234>4b13ty8=i4?:3y>74>=9ml01><=:0f5?xu49;0;6?u230591==:;8<1=i84}r12g?6=:r78=:4>dg9>777=9m<0q~=>1;296~;49?0>463<1482`3=z{:;i6=4={<122?7cn278>=4>d79~w67f2909w0=>5;3gb>;49o0:h;5rs204>5<5s499o7;7;<11f?7c>2wx?>?50;0x964d28nm70=<6;3g2>{t;:21<7<t=20`>4b13498n7??a:p770=838p1><m:4:8964f28n=7p}<3183>7}:;;h1=ih4=216>4b13ty8>84?:3y>77g==116??751e48yv55n3:1>v3<2`82`c=:;:>1=i84}r110?6=:r78>44:8:?06=<6l?1v><j:18185513;oj63<3282`3=z{:8o6=4={<11<?7cn278??4>d79~w6>02909w0=7c;7;?85?j3;o:6s|38394?4|5:2h6<ji;<1:2?7c>2wx?lo50;0x96>d28n=70=nf;33e>{t;1<1<7<t=2:a>0><5:2j6<j9;|q0=5<72;q6?5l51ed896?228n=7p}<8483>7}:;1k1955239;95a0<uz93j7>52z?0<d<6lo16?4:51e48yv5?<3:1>v3<8886<>;4010:h;5rs2:f>5<5s49357?kf:?0=6<6l?1v>6k:18185?03;oj63<9382`3=z{:3j6=4={<1:b?3?3492i7?k6:p7d2=838p1>7i:0fe?85f13;o:6s|3``94?4|5:3m6<j9;<1bb?77l2wx?4750;0x96?b2<201>7k:0f5?xu4i:0;6?u238g95a`<5:k36<j9;|q0==<72;q6?4j5599>7<e=9m<0q~=n2;296~;41m0:hk523`595a0<uz92;7>52z?0=f<202785o4>d79~w6g62909w0=6c;3gb>;4i?0:h;5rs2c3>5<5s492n7?kf:?0e0<6l?1v?:8:18684703?m70<:0;3g`>;58h0:<o5221a955g<5;:n6<>l;|q14<<72;q6>=955g9>65g=9m<0q~<?b;296~;58?0>j63=0b82`3=z{;:o6=49{<031?3a348;i7?k6:?155<68k16><<511c8977328:h70<>6;33`>{t:9l1<7<t=327>0`<5;;;6<j9;|q154<72;q6>==55g9>644=9m<0q~<>3;296~;58;0>j63=1582`3=z{;;>6=4={<035?3a348:;7?k6:p64?=838p1?>?:4d8977f28n=7p}=a883>0}::?k19k522c095ab<5;<h6<>m;<05a?77i279;=4>0b9~w70e2909w0<99;7e?841k3;o:6s|27f94?4|5;<368h4=34f>4b13ty9:k4?:7y>631==o16>:>51e48971528:i70<84;33e>;5??0:<n5226:955b<uz8<=7>52z?123<2n279;?4>d79~w7142909w0<95;7e?840<3;o:6s|26794?4|5;<?68h4=355>4b13ty9;:4?:3y>635==o16>:751e48yv40j3:1>v3=6386b>;5?j0:h;5rs3;4>5<5s48i>7;i;<0b4?7cl2wx>4850;0x97d62<l01?7i:0fg?xu5i10;69u22c395ab<5;<h6<>n;<05a?77j279;=4>0e9~w7?22909w0<m0;7e?84>m3;oh6s|2`594?5|5;h;6<jk;<05a?77k279;=4>0`9~w7?32909w0<nf;7e?84>l3;oh6s|2`494?4|5;km6<jk;<044?77j2wx>4=50;0x97gb2<l01?7l:0fg?xu5i<0;68u22`g95ab<5;=96<>n;<040?77j279;;4>0e9>62>=99i0q~<62;296~;5im0>j63=9c82`a=z{;k?6=4;{<0b`?7cl279;94>0b9>620=99k01?97:02a?xu5180;6?u22`a91c=::0k1=ij4}r0b7?6=;r79mn4>de9>620=99h01?97:02b?xu5190;6?u22``91c=::031=ij4}r0b6?6=;r79mo4>de9>62?=99k01?9l:02a?xu50o0;6?u22`c91c=::021=ij4}r0b5?6=:r79ml4>de9>62e=99k0q~<<5;296~;5=90>j63=3d82`a=z{;9?6=4={<07b?3a3488h7?kd:p610=83>p1?:i:0fg?847i3;;m63=0b824g=::9o1==j4}r007?6=:r798h4:f:?17f<6lm1v?:::180843m3;oh63=0b824f=::9o1==o4}r006?6=:r798i4:f:?17g<6lm1v?:;:181843l3;oh63=0d824g=z{;9:6=4={<07g?3a3488m7?kd:p615=83?p1?:l:0fg?84683;;m63=13824g=::8>1==j4=335>46d3ty9?=4?:3y>61d==o16>>751ef8yv43:3:18v3=4c82`a=::881==m4=337>46f348::7??b:p67`=838p1?:n:4d8975?28no7p}=4083>6}::=k1=ij4=337>46e348::7??a:p67c=838p1?:6:4d8975028no7p}=4183>6}::=31=ij4=334>46f348:m7??b:p67b=838p1?:7:4d8975128no7p}=3g83>7}::=21=ij4=33b>46f3ty9484?:3y>6d6==o16>5k51ef8yv4?<3:1>v3=9g86b>;50m0:hi5rs3:0>5<5s482i7;i;<0;g?7cl2wx>5<50;0x97?c2<l01?6m:0fg?xu5080;6?u228a91c=::1k1=ij4}r0;4?6=:r795o4:f:?1<<<6lm1v?9i:18184>i3?m70<78;3g`>{t:>o1<7<t=3;:>0`<5;2<6<jk;|q13a<72;q6>4655g9>6=0=9mn0q~<=3;296~;5;l0>j63=2b82`a=z{;896=4={<00`?3a3489n7?kd:p677=838p1?=l:4d8974f28no7p}=2183>7}:::h19k5223;95ab<uz8:j7>52z?17d<2n279>54>de9~w77b2909w0<<9;7e?845?3;oh6s|20f94?4|5;9368h4=305>4bc3ty9=n4?:3y>661==o16>?;51ef8yv46j3:1>v3=3786b>;5:=0:hi5rs372>5<5s489n7;i;<3f3?7c>2wx>8<50;0x974f2<l01<k7:0f5?xu5=:0;6?u223;91c=:9l31=i84}r060?6=:r79>54:f:?2ad<6l?1v?;::181845?3?m70?jb;3g2>{t:<<1<7<t=305>0`<58oh6<j9;|q112<72;q6>?;55g9>5`b=9m<0q~<:8;296~;5:=0>j63>ed82`3=z{;h86=4={<0;`?3a34;nj7?k6:p6g2=838p1?6l:4d894`728n=7p}=b483>7}::1h19k521g395a0<uz8i:7>52z?1<d<2n27:j?4>d79~w7d02909w0<79;7e?87a;3;o:6s|2c:94?4|5;2368h4=0d7>4b13ty9n44?:3y>6=1==o16=k;51e48yv4ei3:1>v3=8786b>;6n?0:h;5rs5;4>5<6=r78;<4:6:?035<2>278:k4:6:?02`<2>278:i4:6:?02f<2>278:l4:6:?02<<2>278:o4:6:?0<6<2>2784?4:6:?0<4<2>2784=4:6:?03c<2>278;h4:6:?03a<2>278;n4:6:?03g<2>278<>4>d79>0<0=99k01>=m:02a?xu4<<0;6?u236391c=:;<o1=i84}r15<?6=<r78;<4>de9>7=5==o16?>8511`8963a28:j7p}<4583>7}:;>:19k5234f95a0<uz9=;7>54z?035<6lm16?5<55g9>763=99k01>;::02b?xu4<:0;6?u237d91c=:;<i1=i84}r152?6=<r78:k4>de9>7=7==o16?>;511a8963428:j7p}<4383>7}:;?o19k5234`95a0<uz9=97>54z?02`<6lm16?5>55g9>762=99k01>;>:02b?xu4<80;6?u237f91c=:;<k1=i84}r150?6=<r78:i4>de9>72`==o16?>:511a8962a28:j7p}<4183>7}:;?i19k5234;95a0<uz9=?7>54z?02f<6lm16?:k55g9>765=99k01>:k:02b?xu4;l0;6?u237c91c=:;<=1=i84}r155?6=<r78:l4>de9>72e==o16?><511c8962>28:j7p}<3e83>7}:;?319k5234495a0<uz9=<7>54z?02<<6lm16?:l55g9>764=99i01>:8:02b?xu4;o0;6?u237`91c=:;<21=i84}r156?6=<r78:o4>de9>72b==o16?>=511a8962e28:j7p}<5583>7}:;<o1955234695a0<uz9>j7>52z?01`<6=k16?8h51e48yv52:3:1?v3<5e86<>;4==0>463<5382`3=z{:?>6=4<{<16`?72j278994>dg9>703=9m<0q~=:0;297~;4=j0>463<5386<>;4=90:h;5rs270>5<4s49>o7?:b:?017<6lo16?8=51e48yv53m3:1?v3<5c86<>;4=90>463<4d82`3=z{:?:6=4<{<16f?72j2789=4>dg9>707=9m<0q~=;c;297~;4=h0>463<4d86<>;4<j0:h;5rs26e>5<4s49>m7?:b:?00`<6lo16?9h51e48yv53i3:1?v3<5886<>;4<j0>463<4`82`3=z{:>o6=4<{<16=?72j2788n4>dg9>71b=9m<0q~=;8;297~;4=10>463<4`86<>;4<10:h;5rs26a>5<4s49>47?:b:?00d<6lo16?9l51e48yv53>3:1?v3<5686<>;4<10>463<4782`3=z{:>26=4<{<163?72j278854>dg9>71?=9m<0q~=;7;297~;4=?0:9o5235495a`<5:><6<j9;|q04d<72;q6?=755g9>75d=9m<0q~=?9;29<~;4800:hi5231:955d<5::m6<>l;<13f?77k278<94>0`9>755=99h01?hl:02`?857=3;;n6s|31594?5|5::=68h4=233>0`<5::36<j9;|q043<721q6?=851ef8966?28:j70=?f;33f>;48k0:<o52316955d<5::86<>k;<0eg?77j278<84>0`9~w677290?w0=>0;3g`>;5m00:<o522gg955g<5;lh6<>k;|q04`<72:q6?=j55g9>75e==o16?=h51e48yv57k3:1>v3<0b82`a=:;9l1==o4}r6:=?6=9mq68?95579>070==?168?;5579>072==?168?=5579>074==?168?>5579>04`==?168??5579>065==?168>?5579>064==?168>:5579>063==?168>85579>061==?168>65579>06?==?1689l5579>01g==?168975579>01>==?168995579>010==?1689;5579>012==?1689=5579>7c4=9m<0197;:5`1?xu4nk0;6?u243591c=:<8>1=i84}r62a?6=<r7?>:4>de9>06?==o16?l7511c8917228:j7p}<f`83>7}:<;<19k5240195a0<uz>:h7>54z?763<6lm168>655g9>7d>=99k019>m:02b?xu4n00;6?u243791c=:<881=i84}r62g?6=<r7?>84>de9>061==o16?l6511a8916>28:j7p}<f983>7}:<;>19k5240395a0<uz>:n7>54z?761<6lm168>855g9>7d1=99k019>8:02b?xu4n>0;6?u243191c=:<8:1=i84}r62e?6=<r7?>>4>de9>063==o16?l9511a8916228:j7p}<f783>7}:<;819k5241d95a0<uz>:57>54z?767<6lm168>:55g9>7d0=99k019><:02b?xu4n=0;6?u243291c=:<9n1=i84}r623?6=<r7?>=4>de9>064==o16?l;511c896`a28:j7p}<f283>7}:<8l19k5241a95a0<uz>::7>54z?75c<6lm168>?55g9>7d3=99i01>hk:02b?xu4n<0;6?u243391c=:<9o1=i84}r62<?6=<r7?><4>de9>065==o16?l8511a8916628:j7p};0`83>7}:<8>1955241c95a0<uz>:97>52z?751<6=k168<;51e48yv2703:1?v3;1286<>;38h0>463;0982`3=z{=:i6=4<{<627?72j27?<l4>dg9>05d=9m<0q~:?6;297~;39;0>463;0986<>;38?0:h;5rs52:>5<4s4>:>7?:b:?74=<6lo168=751e48yv27<3:1?v3;1086<>;38?0>463;0582`3=z{=:<6=4<{<625?72j27?<;4>dg9>051=9m<0q~:?2;297~;3990>463;0586<>;38;0:h;5rs526>5<4s4>:<7?:b:?741<6lo168=;51e48yv2783:1?v3;0g86<>;38;0>463;0182`3=z{=:86=4<{<63b?72j27?<?4>dg9>055=9m<0q~=ie;297~;38l0>463;0186<>;4nl0:h;5rs522>5<4s4>;i7?:b:?745<6lo168=?51e48yv5ak3:1?v3;0e86<>;4nl0>463<fb82`3=z{:lm6=4<{<63`?72j278jh4>dg9>7c`=9m<0q~=id;297~;38j0:9o523ga95a`<5:lo6<j9;|q76d<72:q68>=51ef891222<l01>7<:02`?xu3:10;6>u242395ab<5=>868h4=2;1>46d3ty?>44?:2y>064=9mn019:;:4d896?528:j7p};2c83>6}:<:>1=ij4=565>0`<5:386<>n;|q76f<72:q68>;51ef891202<l01>7;:02`?xu3:m0;6>u242495ab<5=>368h4=2;7>46f3ty?>h4?:2y>061=9mn019:6:4d896?228:h7p};2g83>6}:<:21=ij4=56b>0`<5:3>6<>n;|q775<72:q68>751ef8912e2<l01>79:02b?xu3110;6?;t=54:>00<5=<36884=544>00<5=<=6884=546>00<5=<?6884=541>00<5=<:6884=543>00<5=?m6884=57f>00<5=?o6884=57`>00<5=?i6884=57b>00<5=?26884=574>00<5=?=6884=576>00<5=??6884=570>00<5=?96884=572>00<5=?;6884=56e>00<5=>n6884=54e>00<5=<n6884=54g>00<5=<h6884=54a>00<5=<j6884=540>00<5=?36884=56g>00<5=>h6884=227>4b13ty?4n4?:3y>03?==o1684:5f99~w1>e2909w0:98;7e?82><3o<7p};8`83>7}:<?=19k524869a0=z{=226=4={<652?3a34>287k<;|q7<=<72;q68;;55g9>0<2=m;1v968:181821<3?m70:64;g2?xu30<0;6?u247091c=:<0>1i=5rs5:7>5<5s4>==7;i;<6:0?ba3ty?4>4?:3y>036==o1684:5dd9~w1>52909w0::f;7e?82><3l=7p};8083>7}:<<o19k524869`a=z{=2;6=4={<66`?3a34>287jl;|q73c<72;q688m55g9>0<2=lk1v99j:181822j3?m70:64;fb?xu3?m0;6?u244c91c=:<0>1h55rs55`>5<5s4>>57;i;<6:0?b03ty?;l4?:3y>001==o1684:5d79~w11>2909w0::6;7e?82><3n>7p};7983>7}:<<?19k524869b0=z{==<6=4={<660?3a34>287j;;|q733<72;q688=55g9>0<2=l:1v99::181822:3?m70:64;f1?xu3?=0;6?u244391c=:<0>1h<5rs550>5<5s4>><7;i;<6:0?b73ty?;?4?:3y>01`==o1684:5cg9~w1162909w0:;e;7e?82><3on7p};9283>7}:<?l19k524869aa=z{=396=4={<65a?3a34>287h;;|q7=4<72;q68;j55g9>0<2=mj1v97?:181821k3?m70:64;ga?xu30o0;6?u247`91c=:<0>1il5rs5:f>5<5s4>=m7;i;<6:0?c>3ty?4i4?:3y>035==o1684:5e99~w1>12909w0::8;7e?82><3o?7p};7c83>7}:<=n19k524869`<=z{==;6=4={<67g?3a34>287mj;|q7=0<72;q684851e4891?32oi0q~=<c;296~;5m00:<l5231795a0<uz8<m7>53z?13<<68k16>:m511a8971?28n=7p}=1983>6}::8=1==l4=33b>46d348::7?k6:p5gg=833p1?km:02a?84bl3;;m63=eg824f=::o;1==o4=3d7>46f348mm7??a:?045<68h16>k;511a897`d28n=7p}>cc83>3}::o;1==m4=3d7>46c348m47??d:?045<68j16?=<511a897`528n=7p}>c`83>0}:;kl1==m4=2a3>46c349ih7?k6:?0f<<68j16?n:511a8yv71i3:1?v3=fe824f=:;981==l4=3df>4b13ty:n94?:3y>6cb=99n01?h=:07`?xu6jk0;6>u22gf95a0<5;lj6<>k;<0ef?77l2wx=o<50;0x97`f28:h70<i8;3g2>{t9j21<7<t=3da>46d349;<7?k6:p5fe=838p1>>?:02g?84a13;>o6s|1bg94?4|5;lm6<j9;<136?77l2wx=::50;1x96e528n=70=m9;33`>;4k=0:<i5rs0`0>5<5s48mi7??c:?040<6=j1v<7l:18184a>3;o:63=f4824a=z{8h;6=4={<1`7?7c>278o<4>0e9~yv36<3:1>vP;f19>15<3n91/8lk51ba8yv36:3:1>vP;eg9>15<3mo1/8lk51bf8yv3693:1>vP;ed9>15<3ml1/8lk51bg8yv3683:1>vP;ee9>15<3mm1/8lk51bd8yv37n3:1>vP;eb9>15<3mj1/8lk517a8yv37m3:1>vP;ec9>15<3mk1/8lk517d8yv37k3:1>vP;e89>15<3m01/8lk51668yv37j3:1>vP;e99>15<3m11/8lk516d8yv37i3:1>vP;e69>15<3m>1/8lk519c8yv3713:1>vP;e79>15<3m?1/8lk51878yv3703:1>vP;e49>15<3m<1/8lk518a8yv37?3:1>vP;e59>15<3m=1/8lk51`78yv37>3:1>vP;e29>15<3m:1/8lk51c28yv37=3:1>vP;e39>15<3m;1/8lk51c38yv37<3:1>vP;e09>15<3m81/8lk51c18yv37;3:1>vP;e19>15<3m91/8lk51c68yv3793:1>vP;dd9>15<3ll1/8lk51c78yv3783:1>vP;de9>15<3lm1/8lk51c48yv2an3:1>vP;db9>15<3lj1/8lk51c58yv2am3:1>vP;dc9>15<3lk1/8lk51c:8yv2al3:1>vP;d`9>15<3lh1/8lk51c;8yv2ak3:1>vP;d89>15<3l01/8lk51cc8yv2aj3:1>vP;d99>15<3l11/8lk51ca8yv2ai3:1>vP;d69>15<3l>1/8lk51cf8yv2a13:1>vP;d79>15<3l?1/8lk51cg8yv2a03:1>vP;d49>15<3l<1/8lk51cd8yv36j3:1>vP;f79>15<3n?1/8lk51b28yv36i3:1>vP;f49>15<3n<1/8lk51b38yv3613:1>vP;f59>15<3n=1/8lk51b08yv3603:1>vP;f29>15<3n:1/8lk51b18yv36?3:1>vP;f39>15<3n;1/8lk51b68yv36>3:1>vP;f09>15<3n81/8lk51b78yv36=3:1>vP;e`9>15<3mh1/8lk51b48yv37l3:1>vP;dg9>15<3lo1/8lk51b58yv37:3:1>vP;d59>15<3l=1/8lk51b;8yv2a?3:1>vP;d29>15<3l:1/8lk51bc8yv3fm3:1>vP:ad9>15<2il1/8lk51e28yv3d<3:1>vP:c59>15<2k=1/8lk51e38yv3d>3:1>vP:c79>15<2k?1/8lk51e08yv3dl3:1>vP:ce9>15<2km1/8lk517f8yv3b;3:1>vP:e29>15<2m:1/8lk517g8yv32l3:1>vP:389>15<2;01/8lk51628yv32j3:1>vP:399>15<2;11/8lk51638yv32i3:1>vP:369>15<2;>1/8lk51608yv3213:1>vP:379>15<2;?1/8lk51618yv3203:1>vP:349>15<2;<1/8lk51678yv32?3:1>vP:359>15<2;=1/8lk51648yv32=3:1>vP:339>15<2;;1/8lk51658yv32<3:1>vP:309>15<2;81/8lk516:8yv32;3:1>vP:319>15<2;91/8lk516;8yv32:3:1>vP:2g9>15<2:o1/8lk516c8yv3293:1>vP:2d9>15<2:l1/8lk516`8yv3283:1>vP:2e9>15<2:m1/8lk516a8yv33n3:1>vP:2b9>15<2:j1/8lk516f8yv33m3:1>vP:2c9>15<2:k1/8lk516g8yv33l3:1>vP:2`9>15<2:h1/8lk51928yv33k3:1>vP:289>15<2:01/8lk51938yv33i3:1>vP:269>15<2:>1/8lk51908yv3313:1>vP:279>15<2:?1/8lk51918yv3303:1>vP:249>15<2:<1/8lk51968yv33?3:1>vP:259>15<2:=1/8lk51978yv33>3:1>vP:229>15<2::1/8lk51948yv33=3:1>vP:239>15<2:;1/8lk51958yv33<3:1>vP:209>15<2:81/8lk519:8yv33;3:1>vP:219>15<2:91/8lk519;8yv33:3:1>vP:1g9>15<29o1/8lk519`8yv3393:1>vP:1d9>15<29l1/8lk519a8yv31<3:1>vP:3g9>15<2;o1/8lk519f8yv31;3:1>vP:3d9>15<2;l1/8lk519g8yv31:3:1>vP:3e9>15<2;m1/8lk519d8yv3193:1>vP:3b9>15<2;j1/8lk51828yv3183:1>vP:3c9>15<2;k1/8lk51838yv32n3:1>vP:3`9>15<2;h1/8lk51808yv32m3:1>vP:329>15<2;:1/8lk51818yv32>3:1>vP:299>15<2:11/8lk51868yv33j3:1>vP:1e9>15<29m1/8lk51848yv3383:1>vP:1b9>15<29j1/8lk51858yv31>3:1>vP:679>15<2>?1/8lk518:8yv31k3:1>vP:6b9>15<2>j1/8lk518;8yv3d;3:1>vP:b99>15<2j11/8lk518c8yv3d93:1>vP:b69>15<2j>1/8lk518`8yv3d83:1>vP:b79>15<2j?1/8lk518f8yv3en3:1>vP:b49>15<2j<1/8lk518g8yv3em3:1>vP:b59>15<2j=1/8lk518d8yv3el3:1>vP:b29>15<2j:1/8lk51`28yv3ek3:1>vP:b39>15<2j;1/8lk51`38yv3ej3:1>vP:b09>15<2j81/8lk51`08yv3ei3:1>vP:b19>15<2j91/8lk51`18yv3e13:1>vP:ag9>15<2io1/8lk51`68yv3b:3:1>vP:d69>15<2l>1/8lk51`48yv3b83:1>vP:d79>15<2l?1/8lk51`58yv3cn3:1>vP:d49>15<2l<1/8lk51`:8yv3cm3:1>vP:d59>15<2l=1/8lk51`;8yv3cl3:1>vP:d29>15<2l:1/8lk51`c8yv3ck3:1>vP:d39>15<2l;1/8lk51``8yv3cj3:1>vP:d09>15<2l81/8lk51`a8yv3ci3:1>vP:d19>15<2l91/8lk51`f8yv3c13:1>vP:cg9>15<2ko1/8lk51`g8yv3c03:1>vP:cd9>15<2kl1/8lk51`d8yxhem90;6?uG4`d8ykdb93:1>vF;ag9~jgc52909wE:nf:mf`5=838pD9oi;|laa1<72;qC8lh4}o`f1?6=:rB?mk5rncg5>5<5sA>jj6sabd594?4|@=km7p`me983>7}O<hl0qclj9;296~N3io1vbokn:181M2fn2wenhl50;0xL1ga3tdiin4?:3yK0d`<ughnh7>52zJ7ec=zfkon6=4={I6bb>{ijll1<7<tH5ce?xhen90;6?uG4`d8ykda93:1>vF;ag9~jg`52909wE:nf:mfc5=838pD9oi;|lab1<72;qC8lh4}o`e1?6=:rB?mk5rncd5>5<5sA>jj6sabg594?4|@=km7p`mf983>7}O<hl0qcli9;296~N3io1vbohn:181M2fn2wenkl50;0xL1ga3tdijn4?:3yK0d`<ughmh7>52zJ7ec=zfkln6=4={I6bb>{ijol1<7<tH5ce?xhd890;6?uG4`d8yke793:1>vF;ag9~jf652909wE:nf:mg55=838pD9oi;|l`41<72;qC8lh4}oa31?6=:rB?mk5rnb25>5<5sA>jj6sac1594?4|@=km7p`l0983>7}O<hl0qcm?9;296~N3io1vbn>n:181M2fn2weo=l50;0xL1ga3tdh<n4?:3yK0d`<ugi;h7>52zJ7ec=zfj:n6=4={I6bb>{ik9l1<7<tH5ce?xhd990;6?uG4`d8yke693:1>vF;ag9~jf752909wE:nf:mg45=838pD9oi;|l`51<72;qC8lh4}oa21?6=:rB?mk5rnb35>5<5sA>jj6sac0594?4|@=km7p`l1983>7}O<hl0qcm>9;296~N3io1vbn?n:181M2fn2weo<l50;0xL1ga3tdh=n4?:3yK0d`<ugi:h7>52zJ7ec=zfj;n6=4={I6bb>{ik8l1<7<tH5ce?xhd:90;6?uG4`d8yke593:1>vF;ag9~jf452909wE:nf:mg75=838pD9oi;|l`61<72;qC8lh4}oa11?6=:rB?mk5rnb05>5<5sA>jj6sac3594?4|@=km7p`l2983>7}O<hl0qcm=9;296~N3io1vbn<n:181M2fn2weo?l50;0xL1ga3tdh>n4?:3yK0d`<ugi9h7>52zJ7ec=zfj8n6=4={I6bb>{ik;l1<7<tH5ce?xhd;90;6?uG4`d8yke493:1>vF;ag9~jf552909wE:nf:mg65=838pD9oi;|l`71<72;qC8lh4}oa01?6=:rB?mk5rnb15>5<5sA>jj6sac2594?4|@=km7p`l3983>7}O<hl0qcm<9;296~N3io1vbn=n:181M2fn2weo>l50;0xL1ga3tdh?n4?:3yK0d`<ugi8h7>52zJ7ec=zfj9n6=4={I6bb>{ik:l1<7<tH5ce?xhd<90;6?uG4`d8yke393:1>vF;ag9~jf252909wE:nf:m=c5=83;pD9oi;|lb13<728qC8lh4}oc63?6=9rB?mk5rn`7;>5<6sA>jj6saa4;94?7|@=km7p`n5`83>4}O<hl0qco:b;295~N3io1vbl;l:182M2fn2wem8j50;3xL1ga3tdj9h4?:0yK0d`<ugk>j7>51zJ7ec=zfh<;6=4>{I6bb>{ii?;1<7?tH5ce?xhf>;0;6<uG4`d8ykg1;3:1=vF;ag9~jd03290:wE:nf:me33=83;pD9oi;|lb23<728qC8lh4}oc53?6=9rB?mk5rn`4;>5<6sA>jj6saa7;94?7|@=km7p`n6`83>4}O<hl0qco9b;295~N3io1vbl8l:182M2fn2wem;j50;3xL1ga3tdj:h4?:0yK0d`<ugk=j7>51zJ7ec=zfh=;6=4>{I6bb>{ii>;1<7?tH5ce?xhf?;0;6<uG4`d8ykg0;3:1=vF;ag9~jd13290:wE:nf:me23=83;pD9oi;|lb33<728qC8lh4}oc43?6=9rB?mk5rn`5;>5<6sA>jj6saa6;94?7|@=km7p`n7`83>4}O<hl0qco8b;295~N3io1vbl9l:182M2fn2wem:j50;3xL1ga3tdj;h4?:0yK0d`<ugk<j7>51zJ7ec=zfh2;6=4>{I6bb>{ii1;1<7?tH5ce?xhf0;0;6<uG4`d8ykg?;3:1=vF;ag9~jd>3290:wE:nf:me=3=83;pD9oi;|lb<3<728qC8lh4}oc;3?6=9rB?mk5rn`:;>5<6sA>jj6saa9;94?7|@=km7p`n8`83>4}O<hl0qco7b;295~N3io1vbl6l:182M2fn2wem5j50;3xL1ga3tdj4h4?:0yK0d`<ugk3j7>51zJ7ec=zfh3;6=4>{I6bb>{ii0;1<7?tH5ce?xhf1;0;6<uG4`d8ykg>;3:1=vF;ag9~jd?3290:wE:nf:me<3=83;pD9oi;|lb=3<728qC8lh4}oc:3?6=9rB?mk5rn`;;>5<6sA>jj6saa8;94?7|@=km7p`n9`83>4}O<hl0qco6b;295~N3io1vbl7l:182M2fn2wem4j50;3xL1ga3tdj5h4?:0yK0d`<ugk2j7>51zJ7ec=zfhk;6=4>{I6bb>{iih;1<7?tH5ce?xhfi;0;6<uG4`d8ykgf;3:1=vF;ag9~jdg3290:wE:nf:med3=83;pD9oi;|lbe3<728qC8lh4}ocb3?6=9rB?mk5rn`c;>5<6sA>jj6saa`;94?7|@=km7p`na`83>4}O<hl0qconb;295~N3io1vblol:182M2fn2wemlj50;3xL1ga3tdjmh4?:0yK0d`<ugkjj7>51zJ7ec=zfhh;6=4>{I6bb>{iik;1<7?tH5ce?xhfj;0;6<uG4`d8ykge;3:1=vF;ag9~jdd3290:wE:nf:meg3=83;pD9oi;|lbf3<728qC8lh4}oca3?6=9rB?mk5rn``;>5<6sA>jj6saac;94?7|@=km7p`nb`83>4}O<hl0qcomb;295~N3io1vblll:182M2fn2wemoj50;3xL1ga3tdjnh4?:0yK0d`<ugkij7>51zJ7ec=zfhi;6=4>{I6bb>{iij;1<7?tH5ce?xhfk;0;6<uG4`d8ykgd;3:1=vF;ag9~jde3290:wE:nf:mef3=83;pD9oi;|lbg3<728qC8lh4}oc`3?6=9rB?mk5rn`a;>5<6sA>jj6saab;94?7|@=km7p`nc`83>4}O<hl0qcolb;295~N3io1vblml:182M2fn2wemnj50;3xL1ga3tdjoh4?:0yK0d`<ugkhj7>51zJ7ec=zfhn;6=4>{I6bb>{iim;1<7?tH5ce?xhfl;0;6<uG4`d8ykgc;3:1=vF;ag9~jdb3290:wE:nf:mea3=83;pD9oi;|lb`3<728qC8lh4}ocg3?6=9rB?mk5rn`f;>5<6sA>jj6saae;94?7|@=km7p`nd`83>4}O<hl0qcokb;295~N3io1vbljl:182M2fn2wemij50;3xL1ga3tdjhh4?:0yK0d`<ugkoj7>51zJ7ec=zfho;6=4>{I6bb>{iil;1<7?tH5ce?xhfm;0;6<uG4`d8ykgb;3:1=vF;ag9~jdc3290:wE:nf:me`3=83;pD9oi;|lba3<728qC8lh4}ocf3?6=9rB?mk5rn`g;>5<6sA>jj6saad;94?7|@=km7p`ne`83>4}O<hl0qcojb;295~N3io1vblkl:182M2fn2wemhj50;3xL1ga3tdjih4?:0yK0d`<ugknj7>51zJ7ec=zfhl;6=4>{I6bb>{iio;1<7?tH5ce?xhfn;0;6<uG4`d8ykga;3:1=vF;ag9~jd`3290:wE:nf:mec3=83;pD9oi;|lbb3<728qC8lh4}oce3?6=9rB?mk5rn`d;>5<6sA>jj6saag;94?7|@=km7p`nf`83>4}O<hl0qcoib;295~N3io1vblhl:182M2fn2wemkj50;3xL1ga3tdjjh4?:0yK0d`<ugkmj7>51zJ7ec=zfk:;6=4>{I6bb>{ij9;1<7?tH5ce?xhe8;0;6<uG4`d8ykd7;3:1=vF;ag9~jg63290:wE:nf:mf53=83;pD9oi;|la43<728qC8lh4}o`33?6=9rB?mk5rnc2;>5<6sA>jj6sab1;94?7|@=km7p`m0`83>4}O<hl0qcl?b;295~N3io1vbo>l:182M2fn2wen=j50;3xL1ga3tdi<h4?:0yK0d`<ugh;j7>51zJ7ec=zfk;;6=4>{I6bb>{ij8;1<7?tH5ce?xhe9;0;6<uG4`d8ykd6;3:1=vF;ag9~jg73290:wE:nf:mf43=83;pD9oi;|la53<728qC8lh4}o`23?6=9rB?mk5rnc3;>5<6sA>jj6sab0;94?7|@=km7p`m1`83>4}O<hl0qcl>b;295~N3io1vbo?l:182M2fn2wen<j50;3xL1ga3tdi=h4?:0yK0d`<ugh:j7>51zJ7ec=zfk8;6=4>{I6bb>{ij;;1<7?tH5ce?xhe:;0;6<uG4`d8ykd5;3:1=vF;ag9~jg43290:wE:nf:mf73=83;pD9oi;|la63<728qC8lh4}o`13?6=9rB?mk5rnc0;>5<6sA>jj6sab3;94?7|@=km7p`m2`83>4}O<hl0qcl=b;295~N3io1vbo<l:182M2fn2wen?j50;3xL1ga3tdi>h4?:0yK0d`<ugh9j7>51zJ7ec=zfk9;6=4>{I6bb>{ij:;1<7?tH5ce?xhe;;0;6<uG4`d8ykd4;3:1=vF;ag9~jg53290:wE:nf:mf63=83;pD9oi;|la73<728qC8lh4}o`03?6=9rB?mk5rnc1;>5<6sA>jj6sab2;94?7|@=km7p`m3`83>4}O<hl0qcl<b;295~N3io1vbo=l:182M2fn2wen>j50;3xL1ga3tdi?h4?:0yK0d`<ugh8j7>51zJ7ec=zfk>;6=4>{I6bb>{ij=;1<7?tH5ce?xhe<;0;6<uG4`d8ykd3;3:1=vF;ag9~jg23290:wE:nf:mf13=83;pD9oi;|la03<728qC8lh4}o`73?6=9rB?mk5rnc6;>5<6sA>jj6sab5;94?7|@=km7p`m4`83>4}O<hl0qcl;b;295~N3io1vbo:l:182M2fn2wen9j50;3xL1ga3tdi8h4?:0yK0d`<ugh?j7>51zJ7ec=zfk?;6=4>{I6bb>{ij<;1<7?tH5ce?xhe=;0;6<uG4`d8ykd2;3:1=vF;ag9~jg33290:wE:nf:mf03=83;pD9oi;|la13<728qC8lh4}o`63?6=9rB?mk5rnc7;>5<6sA>jj6sab4;94?7|@=km7p`m5`83>4}O<hl0qcl:b;295~N3io1vbo;l:182M2fn2wen8j50;3xL1ga3tdi9h4?:0yK0d`<ugh>j7>51zJ7ec=zfk<;6=4>{I6bb>{ij?;1<7?tH5ce?xhe>;0;6<uG4`d8ykd1;3:1=vF;ag9~jg03290:wE:nf:mf33=83;pD9oi;|la23<728qC8lh4}o`53?6=9rB?mk5rnc4;>5<6sA>jj6sab7;94?7|@=km7p`m6`83>4}O<hl0qcl9b;295~N3io1vbo8l:182M2fn2wen;j50;3xL1ga3tdi:h4?:0yK0d`<ugh=j7>51zJ7ec=zfk=;6=4>{I6bb>{ij>;1<7?tH5ce?xhe?;0;6<uG4`d8ykd0;3:1=vF;ag9~jg13290:wE:nf:mf23=83;pD9oi;|la33<728qC8lh4}o`43?6=9rB?mk5rnc5;>5<6sA>jj6sab6;94?7|@=km7p`m7`83>4}O<hl0qcl8b;295~N3io1vbo9l:182M2fn2wen:j50;3xL1ga3tdi;h4?:0yK0d`<ugh<j7>51zJ7ec=zfk2;6=4>{I6bb>{ij1;1<7?tH5ce?xhe0;0;6<uG4`d8ykd?;3:1=vF;ag9~jg>3290:wE:nf:mf=3=83;pD9oi;|la<3<728qC8lh4}o`;3?6=9rB?mk5rnc:;>5<6sA>jj6sab9;94?7|@=km7p`m8`83>4}O<hl0qcl7b;295~N3io1vbo6l:182M2fn2wen5j50;3xL1ga3tdi4h4?:0yK0d`<ugh3j7>51zJ7ec=zfk3;6=4>{I6bb>{ij0;1<7?tH5ce?xhe1;0;6<uG4`d8ykd>;3:1=vF;ag9~jg?3290:wE:nf:mf<3=83;pD9oi;|la=3<728qC8lh4}o`:3?6=9rB?mk5rnc;;>5<6sA>jj6sab8;94?7|@=km7p`m9`83>4}O<hl0qcl6b;295~N3io1vbo7l:182M2fn2wen4j50;3xL1ga3tdi5h4?:0yK0d`<ugh2j7>51zJ7ec=zfkk;6=4>{I6bb>{ijh;1<7?tH5ce?xhei;0;6<uG4`d8ykdf;3:1=vF;ag9~jgg3290:wE:nf:mfd3=83;pD9oi;|lae3<728qC8lh4}o`b3?6=9rB?mk5rncc;>5<6sA>jj6sab`;94?7|@=km7p`ma`83>4}O<hl0qclnb;295~N3io1vbool:182M2fn2wenlj50;3xL1ga3tdimh4?:0yK0d`<ughjj7>51zJ7ec=zfkh;6=4>{I6bb>{ijk;1<7?tH5ce?xhej;0;6<uG4`d8ykde;3:1=vF;ag9~jgd3290:wE:nf:mfg3=83;pD9oi;|laf3<728qC8lh4}o`a3?6=9rB?mk5rnc`;>5<6sA>jj6sabc;94?7|@=km7p`mb`83>4}O<hl0qclmb;295~N3io1vboll:182M2fn2wenoj50;3xL1ga3tdinh4?:0yK0d`<ughij7>51zJ7ec=zfki;6=4>{I6bb>{ijj;1<7?tH5ce?xhek;0;6<uG4`d8ykdd;3:1=vF;ag9~jge3290:wE:nf:mff3=83;pD9oi;|lag3<728qC8lh4}o``3?6=9rB?mk5rnca;>5<6sA>jj6sabb;94?7|@=km7p`mc`83>4}O<hl0qcllb;295~N3io1vboml:182M2fn2wennj50;3xL1ga3tdioh4?:0yK0d`<ughhj7>51zJ7ec=zfkn;6=4>{I6bb>{ijm;1<7?tH5ce?xhel;0;6<uG4`d8ykdc;3:1=vF;ag9~jgb3290:wE:nf:mfa3=83;pD9oi;|la`3<728qC8lh4}o`g3?6=9rB?mk5rncf;>5<6sA>jj6sabe;94?7|@=km7p`md`83>4}O<hl0qclkb;295~N3io1vbojl:182M2fn2wenij50;3xL1ga3tdihh4?:0yK0d`<ughoj7>51zJ7ec=zutwKLNuj308fa=3c>kivLMLt0|BCT~{GH
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.sym b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.sym deleted file mode 100644 index 13e8af33d..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.sym +++ /dev/null @@ -1,74 +0,0 @@ -VERSION 5 -BEGIN SYMBOL fifo_xlnx_512x36_2clk -SYMBOLTYPE BLOCK -TIMESTAMP 2008 7 28 22 45 53 -SYMPIN 0 80 Input din[35:0] -SYMPIN 0 144 Input wr_en -SYMPIN 0 176 Input wr_clk -SYMPIN 0 240 Input rd_en -SYMPIN 0 272 Input rd_clk -SYMPIN 144 704 Input rst -SYMPIN 576 80 Output dout[35:0] -SYMPIN 576 208 Output full -SYMPIN 576 368 Output wr_data_count[8:0] -SYMPIN 576 432 Output empty -SYMPIN 576 592 Output rd_data_count[8:0] -BEGIN DISPLAY 32 32 TEXT fifo_xlnx_512x36_2clk - FONT 40 "Arial" -END DISPLAY -RECTANGLE N 32 32 544 672 -BEGIN LINE W 0 80 32 80 -END LINE -BEGIN DISPLAY 36 80 PIN din[35:0] ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 144 32 144 -BEGIN DISPLAY 36 144 PIN wr_en ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 176 32 176 -BEGIN DISPLAY 36 176 PIN wr_clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 240 32 240 -BEGIN DISPLAY 36 240 PIN rd_en ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 0 272 32 272 -BEGIN DISPLAY 36 272 PIN rd_clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 144 704 144 672 -BEGIN DISPLAY 144 668 PIN rst ATTR PinName - ALIGNMENT BCENTER - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 80 544 80 -END LINE -BEGIN DISPLAY 540 80 PIN dout[35:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -LINE N 576 208 544 208 -BEGIN DISPLAY 540 208 PIN full ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 368 544 368 -END LINE -BEGIN DISPLAY 540 368 PIN wr_data_count[8:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -LINE N 576 432 544 432 -BEGIN DISPLAY 540 432 PIN empty ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN LINE W 576 592 544 592 -END LINE -BEGIN DISPLAY 540 592 PIN rd_data_count[8:0] ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -END SYMBOL diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v deleted file mode 100644 index 905069743..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.v +++ /dev/null @@ -1,169 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating -// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_512x36_2clk( - din, - rd_clk, - rd_en, - rst, - wr_clk, - wr_en, - dout, - empty, - full, - rd_data_count, - wr_data_count); - - -input [35 : 0] din; -input rd_clk; -input rd_en; -input rst; -input wr_clk; -input wr_en; -output [35 : 0] dout; -output empty; -output full; -output [9 : 0] rd_data_count; -output [9 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V4_3 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(10), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(511), - .C_PROG_FULL_THRESH_NEGATE_VAL(510), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(10), - .C_RD_DEPTH(512), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(9), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(10), - .C_WR_DEPTH(512), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(9), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .DIN(din), - .RD_CLK(rd_clk), - .RD_EN(rd_en), - .RST(rst), - .WR_CLK(wr_clk), - .WR_EN(wr_en), - .DOUT(dout), - .EMPTY(empty), - .FULL(full), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .CLK(), - .INT_CLK(), - .BACKUP(), - .BACKUP_MARKER(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .RD_RST(), - .SRST(), - .WR_RST(), - .ALMOST_EMPTY(), - .ALMOST_FULL(), - .DATA_COUNT(), - .OVERFLOW(), - .PROG_EMPTY(), - .PROG_FULL(), - .VALID(), - .UNDERFLOW(), - .WR_ACK(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.veo deleted file mode 100644 index 6699ee73b..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_512x36_2clk YourInstanceName ( - .din(din), // Bus [35 : 0] - .rd_clk(rd_clk), - .rd_en(rd_en), - .rst(rst), - .wr_clk(wr_clk), - .wr_en(wr_en), - .dout(dout), // Bus [35 : 0] - .empty(empty), - .full(full), - .rd_data_count(rd_data_count), // Bus [9 : 0] - .wr_data_count(wr_data_count)); // Bus [9 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_512x36_2clk.v when simulating -// the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd deleted file mode 100644 index d9c2dd307..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vhd +++ /dev/null @@ -1,156 +0,0 @@ --------------------------------------------------------------------------------- --- This file is owned and controlled by Xilinx and must be used -- --- solely for design, simulation, implementation and creation of -- --- design files limited to Xilinx devices or technologies. Use -- --- with non-Xilinx devices or technologies is expressly prohibited -- --- and immediately terminates your license. -- --- -- --- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- --- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- --- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- --- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- --- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- --- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- --- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- --- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- --- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- --- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- --- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- --- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- --- FOR A PARTICULAR PURPOSE. -- --- -- --- Xilinx products are not intended for use in life support -- --- appliances, devices, or systems. Use in such applications are -- --- expressly prohibited. -- --- -- --- (c) Copyright 1995-2007 Xilinx, Inc. -- --- All rights reserved. -- --------------------------------------------------------------------------------- --- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating --- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to --- reference the XilinxCoreLib VHDL simulation library. For detailed --- instructions, please refer to the "CORE Generator Help". - --- The synthesis directives "translate_off/translate_on" specified --- below are supported by Xilinx, Mentor Graphics and Synplicity --- synthesis tools. Ensure they are correct for your synthesis tool(s). - -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; --- synthesis translate_off -Library XilinxCoreLib; --- synthesis translate_on -ENTITY fifo_xlnx_512x36_2clk IS - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(8 downto 0); - wr_data_count: OUT std_logic_VECTOR(8 downto 0)); -END fifo_xlnx_512x36_2clk; - -ARCHITECTURE fifo_xlnx_512x36_2clk_a OF fifo_xlnx_512x36_2clk IS --- synthesis translate_off -component wrapped_fifo_xlnx_512x36_2clk - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(8 downto 0); - wr_data_count: OUT std_logic_VECTOR(8 downto 0)); -end component; - --- Configuration specification - for all : wrapped_fifo_xlnx_512x36_2clk use entity XilinxCoreLib.fifo_generator_v4_3(behavioral) - generic map( - c_has_int_clk => 0, - c_rd_freq => 1, - c_wr_response_latency => 1, - c_has_srst => 0, - c_has_rd_data_count => 1, - c_din_width => 36, - c_has_wr_data_count => 1, - c_full_flags_rst_val => 1, - c_implementation_type => 2, - c_family => "spartan3", - c_use_embedded_reg => 0, - c_has_wr_rst => 0, - c_wr_freq => 1, - c_use_dout_rst => 0, - c_underflow_low => 0, - c_has_meminit_file => 0, - c_has_overflow => 0, - c_preload_latency => 0, - c_dout_width => 36, - c_msgon_val => 1, - c_rd_depth => 512, - c_default_value => "BlankString", - c_mif_file_name => "BlankString", - c_has_underflow => 0, - c_has_rd_rst => 0, - c_has_almost_full => 0, - c_has_rst => 1, - c_data_count_width => 9, - c_has_wr_ack => 0, - c_use_ecc => 0, - c_wr_ack_low => 0, - c_common_clock => 0, - c_rd_pntr_width => 9, - c_use_fwft_data_count => 0, - c_has_almost_empty => 0, - c_rd_data_count_width => 9, - c_enable_rlocs => 0, - c_wr_pntr_width => 9, - c_overflow_low => 0, - c_prog_empty_type => 0, - c_optimization_mode => 0, - c_wr_data_count_width => 9, - c_preload_regs => 1, - c_dout_rst_val => "0", - c_has_data_count => 0, - c_prog_full_thresh_negate_val => 510, - c_wr_depth => 512, - c_prog_empty_thresh_negate_val => 5, - c_prog_empty_thresh_assert_val => 4, - c_has_valid => 0, - c_init_wr_pntr_val => 0, - c_prog_full_thresh_assert_val => 511, - c_use_fifo16_flags => 0, - c_has_backup => 0, - c_valid_low => 0, - c_prim_fifo_type => "512x36", - c_count_type => 0, - c_prog_full_type => 0, - c_memory_type => 1); --- synthesis translate_on -BEGIN --- synthesis translate_off -U0 : wrapped_fifo_xlnx_512x36_2clk - port map ( - din => din, - rd_clk => rd_clk, - rd_en => rd_en, - rst => rst, - wr_clk => wr_clk, - wr_en => wr_en, - dout => dout, - empty => empty, - full => full, - rd_data_count => rd_data_count, - wr_data_count => wr_data_count); --- synthesis translate_on - -END fifo_xlnx_512x36_2clk_a; - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho deleted file mode 100644 index 70eac27a5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.vho +++ /dev/null @@ -1,76 +0,0 @@ --------------------------------------------------------------------------------- --- This file is owned and controlled by Xilinx and must be used -- --- solely for design, simulation, implementation and creation of -- --- design files limited to Xilinx devices or technologies. Use -- --- with non-Xilinx devices or technologies is expressly prohibited -- --- and immediately terminates your license. -- --- -- --- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- --- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- --- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- --- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- --- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- --- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- --- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- --- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- --- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- --- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- --- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- --- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- --- FOR A PARTICULAR PURPOSE. -- --- -- --- Xilinx products are not intended for use in life support -- --- appliances, devices, or systems. Use in such applications are -- --- expressly prohibited. -- --- -- --- (c) Copyright 1995-2007 Xilinx, Inc. -- --- All rights reserved. -- --------------------------------------------------------------------------------- --- The following code must appear in the VHDL architecture header: - -------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG -component fifo_xlnx_512x36_2clk - port ( - din: IN std_logic_VECTOR(35 downto 0); - rd_clk: IN std_logic; - rd_en: IN std_logic; - rst: IN std_logic; - wr_clk: IN std_logic; - wr_en: IN std_logic; - dout: OUT std_logic_VECTOR(35 downto 0); - empty: OUT std_logic; - full: OUT std_logic; - rd_data_count: OUT std_logic_VECTOR(8 downto 0); - wr_data_count: OUT std_logic_VECTOR(8 downto 0)); -end component; - --- Synplicity black box declaration -attribute syn_black_box : boolean; -attribute syn_black_box of fifo_xlnx_512x36_2clk: component is true; - --- COMP_TAG_END ------ End COMPONENT Declaration ------------ - --- The following code must appear in the VHDL architecture --- body. Substitute your own instance name and net names. - -------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG -your_instance_name : fifo_xlnx_512x36_2clk - port map ( - din => din, - rd_clk => rd_clk, - rd_en => rd_en, - rst => rst, - wr_clk => wr_clk, - wr_en => wr_en, - dout => dout, - empty => empty, - full => full, - rd_data_count => rd_data_count, - wr_data_count => wr_data_count); --- INST_TAG_END ------ End INSTANTIATION Template ------------ - --- You must compile the wrapper file fifo_xlnx_512x36_2clk.vhd when simulating --- the core, fifo_xlnx_512x36_2clk. When compiling the wrapper file, be sure to --- reference the XilinxCoreLib VHDL simulation library. For detailed --- instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.xco deleted file mode 100644 index 5934ef285..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk.xco +++ /dev/null @@ -1,82 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version K.39 -# Date: Thu Sep 3 17:24:24 2009 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = False -SET asysymbol = False -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = False -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = False -SET foundationsym = False -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = False -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = True -SET vhdlsim = False -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 4.3 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_512x36_2clk -CSET data_count=false -CSET data_count_width=10 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=511 -CSET full_threshold_negate_value=510 -CSET input_data_width=36 -CSET input_depth=512 -CSET output_data_width=36 -CSET output_depth=512 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=10 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=10 -# END Parameters -GENERATE -# CRC: b7f2a9ba - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.gise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.gise deleted file mode 100644 index c18cf3bf0..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.gise +++ /dev/null @@ -1,30 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_xlnx_512x36_2clk_18to36.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_xlnx_512x36_2clk_18to36.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ncf b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ncf deleted file mode 100644 index e69de29bb..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ncf +++ /dev/null diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc deleted file mode 100644 index d9277b0c3..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$45640<,[o}e~g`n;"2*726&;$:,)?40493456712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123454<80;0=>5>.130?46=AGZ^X7OKDSC?=?699l1:<7GAPTV9twi`Wog`Rzgrdqk8<<76;?0==4FNQWW>uthoVl~`aQ{hsgplZgt{lx044?>37855<NFY__6}|`g^dvhiYs`{oxdR`jg`vf8<<76830==4@UURVP?bf|hUhcx`{<883:4e<990DYY^ZT;uq[agsiVidycz39;2=57=6>3CE\XZ5DHC?50<76890=;4@UURVP?BHI5;>6=0>2:3;>LHW]]0oec2>7;2=5>433;98?<?42;KMTPR=L@ZJ0<4?>0086?IR\Y__6IA_A=394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFH7?<4?>06873<H]]Z^X7j`uu>01?69l29x>=>?ff662(363=>08=HI1097>LHW]]0OE]L33;2=57=32F__\XZ5DNRA86<7681=>6864:4:=32<09:;866J8@38=1=>8939748;;845=44<13CE\XZ5AEFQF9>=87;n744FNQWW>uthoVof|ywPtipfwm:?29499675IORVP?vugnUna}zv_ujqavnXizyn~y27:1<11>?=AGZ^X7~}of]fiur~W}byi~fPndebp`:?294:n675OTVSQQ<ulVnjxlQlotlw8=<76;1J>55NDEPB858?3HNO^L2>>99B@ATF4;437LJKR@>0:==FLMXJ0907;@FGVD:2611JHI\N<7<;?DBCZH6<255NDEPB8=8f3HNO^L26:1<;?DBCZH62255NDEPA858?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<2l5NDEPA8=<7611JHI\M<9<0?DJK12KXUCMPRDE0?GS502H^_RGAFN38G7=DM880OEKLK^NJG@HTMV^R\H<4CH68GIMF<2IGGO=4CMP:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II?4D39GG7=CA?1OEL2?>69GMD:687=0HDO310<4?AOF4885;6JFA=30:2=CAH6:8374DHC?50<76>1OEL2>5?48@LG;97<0HDO32?48@LG;;7<0HDO34?48@LG;=7<0HDO36?48@LG;?7<0HDO38?48@LG;17<0HDL30?58@LD;994<7IGM<03=3>BNJ5;92:5KIC>27;1<L@H7=908;EKA8439?2NBN1?9>69GMG:6?7=0HDL319<4?AOE4835:6JFB=3=3>BNJ58;2:5KIC>15;1<L@H7>?08;EKA8759?2NBN1<;>69GMG:5=7=0HDL327<4?AOE4;=5;6JFB=0;:2=CAK695384DH@?6;1<L@H7?=06;EKA867=87=0HDL330<5?AOE4:4=7IGM<5<5?AOE4<4=7IGM<7<5?AOE4>4=7IGM<9<5?AOE404<7IG_A=2==>BNXH6:6=08;EKSE979?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>556J@A=36>5803MEJ0<;16:FLE979>2NDM1<16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;1<LFH7=808;EMA8409?2NDN1?8>69GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1<LFH7>>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?<LFH7?<4?>69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2==>BHXH6:6=08;EMSE979?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86843LDJ?6KABc9FJZCDKVXNMIm4EO]FGFYPZ@^N>6H=2:D;1>@FDZO97KJ<;GF@0>@CKL90JI^;;GFSA1=AL[O?7KH7009D7>AIL81B>6G?2:K26>O5:2C846GAIUR\45><AGC_\R>>8:KMMQVX8;20ECG[P^20<>OIA]ZT<964IOKWTZ6202CEEY^P07:8MKOSXV:<56GAIUQWEQC03@DBXR>?7:KMMQY79>1BBDZP0358MKOSW99<7D@FT^273>OIA]U;9:5FNHV\431<AGC_S=98;HLJPZ6??2CEEYQ?969JJLRX8H=0ECG[_1@4?LHN\V:H;6GAIU]3@2=NF@^T<H94IOKW[5@03@DBXR??7:KMMQY69>1BBDZP1358MKOSW89<7D@FT^373>OIA]U:9:5FNHV\531<AGC_S<98;HLJPZ7??2CEEYQ>969JJLRX9H=0ECG[_0@4?LHN\V;H;6GAIU]2@2=NF@^T=H94IOKW[4@03@DBXR<?7:KMMQY59>1BBDZP2358MKOSW;9<7D@FT^073>OIA]U99:5FNHV\631<AGC_S?98;HLJPZ4??2CEEYQ=969JJLRX:H=0ECG[_3@4?LHN\V8H;6GAIU]1@2=NF@^T>H94IOKW[7@03@DBXR=?7:KMMQY49>1BBDZP3358MKOSW:9<7D@FT^173>OIA]U89:5FNHV\731<AGC_S>98;HLJPZ5??2CEEYQ<969JJLRX;H=0ECG[_2@4?LHN\V9H;6GAIU]0@2=NF@^T?H94IOKW[6@13@DBXRO9;HLJPZD43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__8;5CUU6\@0=J[NEE96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O226>H6<2D:<=:4N0220>H68;>0B<><4:L2412<F8:>86@>0768J460<2D:<5:4N02:7>H69=1E=<>;;O3251=I988?7C?>359M54233G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<<?4:L2642<F88986@>2268J443<2D:>8:4N0050>H6:>>0B<<73:L271=I9:;87C?;3:L216=I9?>0B<8;3:L236=I9190B<7=;O00?K47;2D9=>5A2318J7543G8??6@=529M635<F;=87C<73:L1=7=I;:1E?=<4N408J24<F090B4?<;O;17>H>;:1E59=4N870?K?1;2D2;>5A9918J<?a3GHTNX]_IO]SVJVT?2DNXZA]K09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P38V`=UIDH::R]>8^Q02d=UMHNTJD\\Tb9QADBX_@N_DROl;SGB@ZQNL]BTN<5\129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD11?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?06]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2346YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1232ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678>UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=6PRdqvhq7592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?3305?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789>9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0127570<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<8<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34536:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?63:8Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?;:>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123274e3\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8Pbef363=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos23427582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<14>S7'nxm"h gbz-gim'{nT|cz}_fa?0;473\:$kh!rg-dg}(ddbr$~iQnup\cf:26;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm38?3e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]35c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[47a3\:$kh!rg-dg}(ddbr$~iQnup\cfY59o1^<"i}f/pe+be&jf`t"|k_qlwvZadW:;m7X> gsd-vc)`kq$h`fv re]sjqtXojU?=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS8?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ91g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_63e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb];63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>;:73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT>?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:?6;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U3>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:<><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1?1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=0=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj959:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=4=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj919:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf525><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb171219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^314>S7'nxm"h gbz-gim'~xT|cz}_ckm[7473\:$kh!rg-dg}(ddbr${Qnup\flhX;;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR;=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_703?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\376<]9%l~k }f.e`|+ekcq%|~R~ats]amkY?:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV39:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012362=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;<<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34575?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>>1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?010263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;??94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234645>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>;269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567<88=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01713>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:>=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos23434?3\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=8>1358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?89n6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0125[gbc8;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0604?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789=:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0=0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm31?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>1:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg959:91^<"i}f/pe+be&jf`t"y}_qlwvZad4=49<6[?/fpe*w`(ojr%oaew/vp\tkruWni793<?;T2,cw`)zo%lou lljz,swYwf}xTkn29>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=5=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`8=8582_;#j|i.sd,cf~)keas#z|Ppovq[be;17;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS<?i;T2,cw`)zo%lou lljz,swYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_23e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]75c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[07a3\:$kh!rg-dg}(ddbr${Qnup\cfY19o1^<"i}f/pe+be&jf`t"y}_qlwvZadW>;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU3=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS4<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol050=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc9?9:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ?249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY6:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ=249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY4:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ;249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY2:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ9249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY0:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ7249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY>:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=;=6==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U3>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z?5=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34575=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=<=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34555=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=:=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34535=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=8=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34515=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=6<0:W3+bta&{l$ka>!re-dv4(un~l#@czx^PBIZTCWLDTJZH[200e?P6(o{l%~k!hl1,q`*au9'xm{kz Mlw{[WGJW[OLCXZPEO326a=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e=2=6a=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e=3=6f=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^21g>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_016?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPmtz3457;879=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXe|r;<=?30?301>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_lw{45664848:6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWds<=>><0<26==R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSkl=7:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXa;l0Y=!hrg,qb*ak8'xo#j|>.sdtbq)bey~rSkyit^k\ip~789:8<6[?/fpe*w`(oe:%~i!hr0,qbr`s'lg{xtQiwgv\mZkrp9:;<<<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;87827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?5;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz32?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7?3<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?0;4e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^6\jjr789::>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]6U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW9S!glq-iv443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?31?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5949::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7?3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=6=6g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P4^llp567888;7X> gsd-vc)`d9$yh"i}ar,qwqu(kfg{<?>4U1-dvc(un&mg<#|k/fpbw+tt|z%hc`~>289V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUb?=5Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYnWfx;<=><1:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVcTc>?01317>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex><;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw072<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu410>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{83;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<3<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;?78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;Yu|;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?4;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<0<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1<12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{682?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;<78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8085i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=4=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc28>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx743<k;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu414T~y?i;T2,cw`)zo%l`= }d.psjqt(kfex1>11g9V4*aun'xm#jb?.sf,vuhsz&idycz31?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=0=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?7;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9299o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;=7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5<5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7;3?i;T2,cw`)zo%l`= }d.psjqt(kfex1611d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03f?P6(o{l%~k!hl1,q`*twf}x$ob{at^02a>S7'nxm"h gm2-va)uxg~y#naznu]05`=R8&myj#|i/fn3*wb(zyd~"m`uov\04c<]9%l~k }f.eo4+tc'{zex!lotlw[07b3\:$kh!rg-dh5(ul&x{by| cnwmpZ06m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY09l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX0;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><0<16>S7'nxm"h gm2-va)uxg~y#naznu]g5949:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8682?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?34?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28085:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95<5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<28>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?<;463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W98:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S<<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_302?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[6463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W=8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S8<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_702?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[2463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W18?7X> gsd-vc)`d9$yh"|nup,gjsi|Vddx=>?12d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<1<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>2:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8784n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:46:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4=48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6>2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0;0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot28>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<9<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>::17<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp9776=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5;58;5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We0<0PIOT\416<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp949<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4:4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~783:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2:>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=4=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww828382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;07>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6229?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1??>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=3=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq878382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;;7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6?29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1;1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<7<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?3;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:?6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz535?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn7==0=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2>>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<3<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>0:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8185n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:26;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4?49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6<2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko050=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi26>3g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_00e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^336`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\67c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[64b3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z25m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY2:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX>;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW>8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV29i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU2?55Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?5584?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=2>>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674;48;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>0:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;090<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:26:=0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcWhno<=>?<7<03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a67896<2>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238=84?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=26>3c8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSd==;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?01100>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_lw{4564989?7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZoXe|r;<==>92:8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy23467>WZ];??5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVddx=>?13;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28485;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8692?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><2<17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:36;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W;Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[1Yffm:;<=?=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs494956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw848512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<3<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0>0=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28185j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W8Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]7[dhc89:;=?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~>219V4*aun'xm#jb?.vp,crgt&~y"m`mq014>S7'nxm"h gm2-sw)`hy%{~z|/bmnt64c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?4;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?5;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?6;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?7;543\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%laxv!glY3Y+aj9'g:?>5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</fov|+ajS8W%k`}!mr10?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)`e|r%k`U=]/enw+kt;:1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#jczx/en_6[)ody%a~=<;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-dip~)odQ?Q#ibs/op62=R8&myj#|i/fn3*rt(o~kx"z}{s.ebb+e4';7<3<8;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5979:>1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?32?04?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q9595>:5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3?0;4a3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%}=R>Paof34566:o1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?P1^cm`567888m7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!y1^0\ekb789::>k5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3\7Zgil9:;<<<i;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5Z2Xign;<=>>2b9V4*aun'xm#jb?.vp,crgt&~y"|nm^coijusWog`Rg<4:W3+bta&{l$ka>!ws-dsdu)z~x#ob_`nnkvrXn|fgSdQbuy2345523\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXiegdyQiumn\mZkrp9:;<<=m;T2,cw`)zo%l`= xr.etev(p{}y$~lcPamolwqYa}efTeR``t12354YNF_U;>55Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]efZo5=2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rg=d:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXe|r;<=>=e:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXe|r;<=>>1e9V4*aun'xm#jb?.vp,vdkX{UnbRg>1g9V4*aun'xm#jb?.vp,vdkX{UnbRg>_000?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp7433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov761=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}?986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at707?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz7259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq?512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;97Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:56;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;=78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4149o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8=8Xz}8j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8585i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=3=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2=>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4=49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv939:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>5:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|37?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz535>i5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:>6Vx=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7<3?i;T2,cw`)zo%l`= xr.usjqt(kfex1?11g9V4*aun'xm#jb?.vp,suhsz&idycz32?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=1=5c=R8&myj#|i/fn3*rt(yd~"m`uov?0;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9399o1^<"i}f/pe+bj7&~x${}`{r.alqkr;>7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5=5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~743?i;T2,cw`)zo%l`= xr.usjqt(kfex1711d9V4*aun'xm#jb?.vp,suhsz&idyczP00g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_03f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^02a>S7'nxm"h gm2-sw)pxg~y#naznu]05`=R8&myj#|i/fn3*rt(yd~"m`uov\04c<]9%l~k }f.eo4+qu'~zex!lotlw[07b3\:$kh!rg-dh5(pz&}{by| cnwmpZ06m2_;#j|i.sd,ci6){%||cz}/bmvjqY09l1^<"i}f/pe+bj7&~x${}`{r.alqkrX08o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW0897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><5<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28=85:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9535><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q?209V4*aun'xm#jb?.vp,suhsz&idyczPd0]264=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y5:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U8><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q;209V4*aun'xm#jb?.vp,suhsz&idyczPd0]664=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y1:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U<><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q7209V4*aun'xm#jb?.vp,suhsz&idyczPd0]:67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87=3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<3<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18385:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5=5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?27>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?=;463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W98:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[6463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W=8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S8<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[2463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W18:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S4<=;T2,cw`)zo%l`= xr.usjqt(kfexRj<<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g7979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl:692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f08185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc;5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb44149>6[?/fpe*w`(oe:%{!xpovq+firf}Uo?171209V4*aun'xm#jb?.vp,suhsz&idyczPd2]364=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q<209V4*aun'xm#jb?.vp,suhsz&idyczPd2]764=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y2:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U=><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q8209V4*aun'xm#jb?.vp,suhsz&idyczPd2];64=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y>:=1^<"i}f/pe+bj7&~x${}`{r.alqkrXff~;<=?>7:W3+bta&{l$ahc dnww[l:76820Y=!hrg,qb*kbe&ndyyQf<02=5==R8&myj#|i/lgn+air|Vc7=<0>8:W3+bta&{l$ahc dnww[l:6:7;37X> gsd-vc)jmd%ocxzPi=30:4><]9%l~k }f.ofi*bh}}Ub0<:1199V4*aun'xm#`kb/emvpZo;9<4:46[?/fpe*w`(elg$hb{{_h>22;7?3\:$kh!rg-nah)cg|~Te1?8>0:8Q5)`zo$yj"cjm.flqqYn4825=55Z0.eqb+ta'dof#iazt^k?5<86?2_;#j|i.sd,i`k(lfSd2>>0:8Q5)`zo$yj"cjm.flqqYn4;:5=55Z0.eqb+ta'dof#iazt^k?648602_;#j|i.sd,i`k(lfSd2=2?3;?P6(o{l%~k!bel-gkprXa5882<64U1-dvc(un&gna"j`uu]j8729911^<"i}f/pe+hcj'me~xRg324<2<>S7'nxm"h mdo,`jssW`69:3?7;T2,cw`)zo%fi`!kotv\m9406820Y=!hrg,qb*kbe&ndyyQf<3:=5==R8&myj#|i/lgn+air|Vc7>40>7:W3+bta&{l$ahc dnww[l:56820Y=!hrg,qb*kbe&ndyyQf<22=5==R8&myj#|i/lgn+air|Vc7?<0>8:W3+bta&{l$ahc dnww[l:4:7;37X> gsd-vc)jmd%ocxzPi=10:4><]9%l~k }f.ofi*bh}}Ub0>:1199V4*aun'xm#`kb/emvpZo;;<4:;6[?/fpe*w`(elg$hb{{_h>0:41<]9%l~k }f.ofi*bh}}Ub090>7:W3+bta&{l$ahc dnww[l:268=0Y=!hrg,qb*kbe&ndyyQf<7<23>S7'nxm"h mdo,`jssW`6<2<94U1-dvc(un&gna"j`uu]j8=86?2_;#j|i.sd,i`k(lfSd26>048Q5)`zo$yj"cjm.flqqYnW9;=7X> gsd-vc)jmd%ocxzPi^323>S7'nxm"h mdo,`jssW`U:<<94U1-dvc(un&gna"j`uu]j[476?2_;#j|i.sd,i`k(lfSdQ>2058Q5)`zo$yj"cjm.flqqYnW89:;6[?/fpe*w`(elg$hb{{_h]2041<]9%l~k }f.ofi*bh}}UbS<;>7:W3+bta&{l$ahc dnww[lY6>8=0Y=!hrg,qb*kbe&ndyyQf_0523>S7'nxm"h mdo,`jssW`U:4<94U1-dvc(un&gna"j`uu]j[4?6>2_;#j|i.sd,i`k(lfSdQ=169V4*aun'xm#`kb/emvpZoX:9;<7X> gsd-vc)jmd%ocxzPi^0252=R8&myj#|i/lgn+air|VcT>??8;T2,cw`)zo%fi`!kotv\mZ449>1^<"i}f/pe+hcj'me~xRgP2534?P6(o{l%~k!bel-gkprXaV8>=:5Z0.eqb+ta'dof#iazt^k\63703\:$kh!rg-nah)cg|~TeR<8169V4*aun'xm#`kb/emvpZoX:1;<7X> gsd-vc)jmd%ocxzPi^0:53=R8&myj#|i/lgn+air|VcT?<94U1-dvc(un&gna"j`uu]j[666?2_;#j|i.sd,i`k(lfSdQ<1058Q5)`zo$yj"cjm.flqqYnW:8:;6[?/fpe*w`(elg$hb{{_h]0741<]9%l~k }f.ofi*bh}}UbS>:>7:W3+bta&{l$ahc dnww[lY4=8<0Y=!hrg,qb*kbe&ndyyQf_535?P6(o{l%~k!bel-gkprXaV?::6[?/fpe*w`(elg$hb{{_h]553=R8&myj#|i/lgn+air|VcT;<84U1-dvc(un&gna"j`uu]j[=713\:$kh!rg-nah)cg|~TeR7>9:W3+bta&{l$ahc dnww[hcj494:m6[?/fpe*w`(elg$hb{{_lgn84699h1^<"i}f/pe+hcj'me~xRcjm=32:4g<]9%l~k }f.ofi*bh}}Ufi`2>2?3b?P6(o{l%~k!bel-gkprXelg7=>0>a:W3+bta&{l$ahc dnww[hcj48>5=l5Z0.eqb+ta'dof#iazt^ofi97268k0Y=!hrg,qb*kbe&ndyyQbel>22;7f3\:$kh!rg-nah)cg|~Tahc316<2e>S7'nxm"h mdo,`jssWdof0<611`9V4*aun'xm#`kb/emvpZkbe5;22<74U1-dvc(un&gna"j`uu]nah:668k0Y=!hrg,qb*kbe&ndyyQbel>14;7f3\:$kh!rg-nah)cg|~Tahc320<2e>S7'nxm"h mdo,`jssWdof0?<11`9V4*aun'xm#`kb/emvpZkbe5882<o4U1-dvc(un&gna"j`uu]nah:5<7;j7X> gsd-vc)jmd%ocxzPmdo?6086i2_;#j|i.sd,i`k(lfS`kb<34=5d=R8&myj#|i/lgn+air|Vgna1<8>0c8Q5)`zo$yj"cjm.flqqYjmd6943?n;T2,cw`)zo%fi`!kotv\i`k;:04:56[?/fpe*w`(elg$hb{{_lgn8786i2_;#j|i.sd,i`k(lfS`kb<22=5d=R8&myj#|i/lgn+air|Vgna1=>>0c8Q5)`zo$yj"cjm.flqqYjmd68>3?n;T2,cw`)zo%fi`!kotv\i`k;;:4:m6[?/fpe*w`(elg$hb{{_lgn86299h1^<"i}f/pe+hcj'me~xRcjm=16:4?<]9%l~k }f.ofi*bh}}Ufi`2<>0;8Q5)`zo$yj"cjm.flqqYjmd6?2<74U1-dvc(un&gna"j`uu]nah:26830Y=!hrg,qb*kbe&ndyyQbel>5:4?<]9%l~k }f.ofi*bh}}Ufi`28>0;8Q5)`zo$yj"cjm.flqqYjmd632<74U1-dvc(un&gna"j`uu]nah:>6>>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$hy| r`ookjv\8T$ym` }/r1\jjoia}$ym`!kpscn*av60q9="ob1c9V4*aun'xm#`kb/uos[wgjW{nTic?l;T2,cw`)zo%fi`!{mq]qehYulVoe=<94U1-dvc(un&xjaR|jgnww[`h6l2_;#j|i.sd,vvredb%yhR||t^cpv`a582_;#j|i.sd,vvredb%yhR||t^cpv`aXl88;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo><m4U1-dvc(un&xxxobd/sf\vvrXkfgi=i5Z0.eqb+ta'{ynae re]qwqYdgdh:=h5Z0.eqb+ta'{ynae re]qwqYumn6;2<k4U1-dvc(un&xxxobd/sf\vvrXzlm7=3?j;T2,cw`)zo%yylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR>>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR?>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{ySl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim({UyyQlol`25`=R8&myj#|i/sqwfim({UyyQ}ef>3:4c<]9%l~k }f.pppgjl'~xT~~zPrde?5;7c3\:$kh!rg-qwqdkc&}yS}{_sgd[57c3\:$kh!rg-qwqdkc&}yS}{_sgd[4g<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J3:UFE6=PMKi0[_G[E^OL@@YFk2]YEYKPMNFF[Gb<_[C_IRYFDUJ\Ea=PZ@^NSZGKTI]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`nb9bhhit|Vof|ywm;`nnkvrXn|fg:6lncjws`>dfkb{S`o}kdp0?fjll2njxlQlotlw,5/c3mkmRm`uov+5,b<lh~jSnaznu*1-a=ci}kTob{at)1*`>bf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkfex%9&d:fbpdYdg|d$5'k;ecweZeh}g~#5$h4d`vb[firf}626=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&6:fjj-4.>2nbb%=&6:fjj-2.>2nbb%;&6:fjj-0.>2nbb%9&6:fjj->.>2nbb%7&6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?538>3mce0<950?58`lh;9>4=7iga<0<5?aoi4;4=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-==cg|~#=5'7;emvp-7>!>1ocxz'2(:8`jss ;:"46j`uu*15,><lf$?<&8:flqq.5; 20hb{{(36*<>bh}}"99$64dnww,70.02ndyy&=7(:8`jss ;2"46j`uu*1=,1<lf$>'7;emvp-57!11ocxz'30+;?air|!99%55kotv+76/?3me~x%=;)99gkpr/;<#<7iazt)6*3>bh}}">%:5kotv+2,1<lf$:'8;emvp->.?2ndyy&6)69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;><lf0<818:flqq:6?720hb{{<0:=<>bh}}6:5394dnww848?3me~x1<?>99gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;g<lf0>;50?:8`jss4:?5;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss40437hjff3ld`0=bey~r>k5iigm\c`hbzh~d~Rx9_0.#\ljnfq*HC_K/Gdlfvdrhz);9"<?l;gkekZ~kfqU>=?v<6^0`hnY60}e8:>o4fhdl[}jipV?:>u=9_3aooZ7?|f9= kgio^efj`tf|fxTz;Q>,OMMA)HHFL>;?6hffn]{hk~X=88s?;Q=cmi\5=rh;?&mekaPgdlfvdrhzV|=S<"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUnbllce^pppZu~fj7: nQgar]q`Zbf|hUhcx`{=1.`[aoiW~coxe3>8-a\lduX{UomyoPcnwmp86+kVl~`aQil`ep[wusWkg1="l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1>8#c^opcjhX~hf6=!mPre]gauro5<;9 nQjrsmq[lhmmj~bccQ{yqg>4)eX`hyTycjjrgnls86+kVbjRocmnqw[cskd4;'oRfns^ppp87+kVnjxlQlotlw[roc|a7:<!mPh`q\swYfkb7; nQzsd]fgf;7$jUhc`c`n^aokfm:8%iT{Qncj]okr;7$jUyhR~ats]tmaro50&hSx}j_guepZbf|hUhcx`{=1.`[mgtWhffc~zPelrw}86+kVxoSio{a^alqkrX`nd07#c^muaw`kg~Ugcz3?,b]tvZvi|{U|eizg=02/gZvugnUna}zv_u{sa86+kV}ySio{a^alqkrX`nd0??,b]sv`jhimUyij}21-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>4)eXx{elSk{cl^vkv`uoWgolmykPv`n>5573$jU|~Rjjpuj>5543$jU{~biPelrw}ZrozlycSckhaug\rdj:=%iT|ah_dosp|Ys`{oxdRo|sdpw[sgk5=&hSeo|_wcoma;7$jU{~biPftno[qnumzbTm~}jru]uei;688?'obcoogmpZhfel7mekaPxml{[075p:<T>nbd_0:wk60+kV|j`djPlnu>4)eXx{cfSkgio^vzt`;6cq;3 nQrne\bpjkW}s{i0?#c^ofijt~W}s{i0?#}248bl`hWqfetR;>2y15[7ekcV;3xb=9_gkekZabflxjxb|Pv7]2[}usW8>0jxbcd:kmn`eslkci|k;hliafrtj`~n~94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-42<x{elShctx]wlwct`!8"=95rne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntqX|axne&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,713yxdkRkbpu{\pmtb{a636=0>b:rqkbYbey~rSyf}erj\evubz}";%<l4psmd[`kw|pUdk|h^cpw`ts 8#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|ah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShctx]wlwct`Vkxh|{(4+2f>vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx$:'>b:rqkbYbey~rSyf}erj\evubz}"3%<j4psmd[`kw|pUdk|h^cpw`ts410;2<l4psmd[`kw|pUdk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8h0|ah_dosp|Ys`{oxdR`jg`vf,7/6j2zycjQjmqvz[qnumzbTbhintd*0-4d<x{elShctx]wlwct`Vdnklzj(5+2f>vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%<l4psmd[`kw|pUdk|h^lfcdrb 1#:h6~}of]fiur~W}byi~fPndebp`:?29427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeUdk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=>5rne\bpjkW}byi~f'9(36?uthoVl~`aQ{hsgpl9?=87;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj~k}t)3*5d=wzfmTjxbc_ujqavnXizyn~y&=)0c8twi`Wog`Rzgrdqk[dutm{~#?$?n;qplcZ`rdeUdk|h^cpw`ts =#:m6~}of]eqijX|axneQnsrgqp-3.9h1{~biPftno[qnumzbTm~}jru*5-4g<x{elSk{cl^vkv`uoWhyxiz'7(3b?uthoVl~`aQ{hsgplZgt{lx$5'>a:rqkbYa}efTxe|jsi]bwvcu|!3"=n5rne\bpjkW}byi~fParqfvq:>294:m6~}of]eqijX|axneQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4g<x{elSk{cl^vkv`uoWgolmyk'2(3b?uthoVl~`aQ{hsgplZhboh~n$>'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8k0|ah_gwohZrozlycSckhaug+2,7f3yxdkRhzlm]wlwct`Vdnklzj(6+2e>vugnUmyabPtipfwmYimnki%6&1`9svjaXn|fgSyf}erj\j`af|l"2%<m4psmd[cskdV~c~h}g_ogdeqc;13:5:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7jPd`vb[firf}"9%<>4re]geqgXkfex%=&119q`Zbf|hUhcx`{(5+24>tcWmkmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5<zz~<7~lftdpq0>uu{}837yc/^ad+coagVrgbuQ:13z02Z4ddbU:4ya<6/ldk1=sz|o27x`kesdokr3<~hfbh;5xr^c`o3=pzVigg<>4ws]geqgXkfex%>&119tvZbf|hUhcx`{(0+24>quWmkmRm`uov+6,773~xThlzn_bmvjq.4!8:0{Qkauc\gjsi|!>"==5xr^fbpdYdg|d$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:>6y}_ecweZeh}g~757>15:uq[`h13~xT~~zr@Ar``==GHq?=>7H54;3xW1c==991;7?<2c4g6?4f:k=pb96=:09m0=5=>2.?4=4;7`9~W1e==991;7?<2c4g6?4f:k=0_<<m:42f>5<6;;h=h?4=a3`;?V2d2<:n6=4>33`5`7<5i;h37i;?6;295?7|[=o19==57;306g0c:38j>o94vU3;e?6=93;1=n>tS5g9155=?3;8>o8k2;0b6g1<,==86<<l;W6;5?4|}89?6<5z12794>{#9>o1=55m51494?5a2:08jvF;709Y01<5s8=1==4r$0:e>0613-><j7;?5:k61a<722e?484?::m66c<722c>;>4?::m64d<722e><94?::k622<722c>9k4?::m7`2<72-;3<7:ia:l23c<732e?h;4?:%3;4?2ai2d:;k4>;:m7`0<72-;3<7:ia:l23c<532e?h94?:%3;4?2ai2d:;k4<;:m7`6<72-;3<7:ia:l23c<332e?h?4?:%3;4?2ai2d:;k4:;:m7`5<72-;3<7:ia:l23c<132e?ok4?:%3;4?2ai2d:;k48;:m7g`<72-;3<7:ia:l23c<?32e?oi4?:%3;4?2ai2d:;k46;:m7gf<72-;3<7:ia:l23c<f32e?oo4?:%3;4?2ai2d:;k4m;:m7gd<72-;3<7:ia:l23c<d32e?o44?:%3;4?2ai2d:;k4k;:m7g=<72-;3<7:ia:l23c<b32e?o:4?:%3;4?2ai2d:;k4i;:m7g0<72-;3<7:ia:l23c<6821d8n:50;&2<5<3nh1e=:h51098k1e4290/=5>54gc8j41a28807b:l2;29 4>72=lj7c?8f;30?>i3k80;6)?70;6ee>h6?o0:865`4b294?"6090?jl5a16d950=<g=hm6=4+19290cg<f8=m6<84;n6aa?6=,82;69hn;o34b?7032e?ni4?:%3;4?2ai2d:;k4>8:9l0ge=83.:4=4;f`9m52`=9010c9jk:18'5=6=<ok0b<9i:0c8?j2ck3:1(<6?:5db?k70n3;i76a;dc83>!7?83>mm6`>7g82g>=h<mk1<7*>8187bd=i9>l1=i54o5f:>5<#91:18ko4n05e>4c<3f>o47>5$0:3>1`f3g;<j7?i;:m7`4<72-;3<7:ia:l23c<5821d8n850;&2<5<3nh1e=:h52098k1de290/=5>54gc8j41a2;807b:ma;29 4>72=lj7c?8f;00?>o31l0;6)?70;6bb>h6?o0;76g;9e83>!7?83>jj6`>7g82?>o31j0;6)?70;6bb>h6?o0976g;9c83>!7?83>jj6`>7g80?>o31h0;6)?70;6bb>h6?o0?76g;9883>!7?83>jj6`>7g86?>o3110;6)?70;6bb>h6?o0=76g;9683>!7?83>jj6`>7g84?>o3i?0;6)?70;6bb>h6?o0376g;a483>!7?83>jj6`>7g8:?>o3i=0;6)?70;6bb>h6?o0j76g;a283>!7?83>jj6`>7g8a?>o3i;0;6)?70;6bb>h6?o0h76g;a083>!7?83>jj6`>7g8g?>o3i90;6)?70;6bb>h6?o0n76g;9g83>!7?83>jj6`>7g8e?>o31?0;6)?70;6bb>h6?o0:<65f48794?"6090?mk5a16d954=<a<?:6=44b551>5<6290;wE:81:&2<c<3?;1d=:j50;9~fa1=83;1<7>tH552?!7?n3n<7bj9:188yg24290j:7?74;3`4~N3?81Q894>6z0;>71=:00h644n:c823?772;k1h7m5d;34>7g=:10:<7l5a;;96<<5?3w/=5h551;8 11==9=0(9j551:8 41>28=h7d;=3;29 4>72<8?7c?8f;28?l35:3:1(<6?:407?k70n3;07d;=1;29 4>72<8?7c?8f;08?l3583:1(<6?:407?k70n3907d;>f;29 4>72<8?7c?8f;68?l36m3:1(<6?:407?k70n3?07d;>d;29 4>72<8?7c?8f;48?l36k3:1(<6?:407?k70n3=07d;>b;29 4>72<8?7c?8f;:8?l37k3:17d;:e;29?l3193:17b;95;29?l3613:1(<6?:43b?k70n3:07d;>8;29 4>72<;j7c?8f;38?l36?3:1(<6?:43b?k70n3807d;>6;29 4>72<;j7c?8f;18?l36=3:1(<6?:43b?k70n3>07d;>4;29 4>72<;j7c?8f;78?l36;3:1(<6?:43b?k70n3<07d;>2;29 4>72<;j7c?8f;58?l3693:1(<6?:43b?k70n3207d;:d;29?j37n3:17d;=d;29 4>72<8n7c?8f;28?l35k3:1(<6?:40f?k70n3;07d;=b;29 4>72<8n7c?8f;08?l35i3:1(<6?:40f?k70n3907d;=9;29 4>72<8n7c?8f;68?l3503:1(<6?:40f?k70n3?07d;=7;29 4>72<8n7c?8f;48?l35>3:1(<6?:40f?k70n3=07d;=5;29 4>72<8n7c?8f;:8?j2?=3:17b:62;29 4>72=387c?8f;28?j2>93:1(<6?:5;0?k70n3;07b:60;29 4>72=387c?8f;08?j2?n3:1(<6?:5;0?k70n3907b:7e;29 4>72=387c?8f;68?j2?l3:1(<6?:5;0?k70n3?07b:7c;29 4>72=387c?8f;48?j2?j3:1(<6?:5;0?k70n3=07b:7a;29 4>72=387c?8f;:8?j2?13:1(<6?:5;0?k70n3307b;=f;29?l30;3:17d;<9;29 4>72<9j7c?8f;28?l3403:1(<6?:41b?k70n3;07d;<7;29 4>72<9j7c?8f;08?l34>3:1(<6?:41b?k70n3907d;<5;29 4>72<9j7c?8f;68?l34<3:1(<6?:41b?k70n3?07d;<3;29 4>72<9j7c?8f;48?l34:3:1(<6?:41b?k70n3=07d;<1;29 4>72<9j7c?8f;:8?l3483:1(<6?:41b?k70n3307b;?a;29?j37<3:17d;97;29?l2??3:17d;;4;29 4>72<>>7c?8f;28?l33;3:1(<6?:466?k70n3;07d;;2;29 4>72<>>7c?8f;08?l3393:1(<6?:466?k70n3907d;;0;29 4>72<>>7c?8f;68?l34n3:1(<6?:466?k70n3?07d;<e;29 4>72<>>7c?8f;48?l34l3:1(<6?:466?k70n3=07d;<c;29 4>72<>>7c?8f;:8?l34j3:1(<6?:466?k70n3307d;;f;29 4>72<?;7c?8f;28?l33m3:1(<6?:473?k70n3;07d;;d;29 4>72<?;7c?8f;08?l33k3:1(<6?:473?k70n3907d;;b;29 4>72<?;7c?8f;68?l33i3:1(<6?:473?k70n3?07d;;9;29 4>72<?;7c?8f;48?l3303:1(<6?:473?k70n3=07d;;7;29 4>72<?;7c?8f;:8?l33>3:1(<6?:473?k70n3307b:74;29?l37l3:17d:78;29?l30<3:17b;90;29?j31>3:17d;:f;29?j2c?3:1(<6?:5db?k70n3:07b:k6;29 4>72=lj7c?8f;38?j2c=3:1(<6?:5db?k70n3807b:k4;29 4>72=lj7c?8f;18?j2c;3:1(<6?:5db?k70n3>07b:k2;29 4>72=lj7c?8f;78?j2c83:1(<6?:5db?k70n3<07b:lf;29 4>72=lj7c?8f;58?j2dm3:1(<6?:5db?k70n3207b:ld;29 4>72=lj7c?8f;;8?j2dk3:1(<6?:5db?k70n3k07b:lb;29 4>72=lj7c?8f;`8?j2di3:1(<6?:5db?k70n3i07b:l9;29 4>72=lj7c?8f;f8?j2d03:1(<6?:5db?k70n3o07b:l7;29 4>72=lj7c?8f;d8?j2d=3:1(<6?:5db?k70n3;;76a;c583>!7?83>mm6`>7g825>=h<j91<7*>8187bd=i9>l1=?54o5a1>5<#91:18ko4n05e>45<3f>h=7>5$0:3>1`f3g;<j7?;;:m7g5<72-;3<7:ia:l23c<6=21d8oh50;&2<5<3nh1e=:h51798k1db290/=5>54gc8j41a28=07b:md;29 4>72=lj7c?8f;3;?>i3jj0;6)?70;6ee>h6?o0:565`4ef94?"6090?jl5a16d95d=<g=nh6=4+19290cg<f8=m6<l4;n6gf?6=,82;69hn;o34b?7d32e?hl4?:%3;4?2ai2d:;k4>d:9l0a?=83.:4=4;f`9m52`=9l10c9j7:18'5=6=<ok0b<9i:0d8?j2c93:1(<6?:5db?k70n38;76a;c783>!7?83>mm6`>7g815>=h<kh1<7*>8187bd=i9>l1>?54o5`b>5<#91:18ko4n05e>75<3`>2i7>5$0:3>1ga3g;<j7>4;h6:`?6=,82;69oi;o34b?7<3`>2o7>5$0:3>1ga3g;<j7<4;h6:f?6=,82;69oi;o34b?5<3`>2m7>5$0:3>1ga3g;<j7:4;h6:=?6=,82;69oi;o34b?3<3`>247>5$0:3>1ga3g;<j784;h6:3?6=,82;69oi;o34b?1<3`>j:7>5$0:3>1ga3g;<j764;h6b1?6=,82;69oi;o34b??<3`>j87>5$0:3>1ga3g;<j7o4;h6b7?6=,82;69oi;o34b?d<3`>j>7>5$0:3>1ga3g;<j7m4;h6b5?6=,82;69oi;o34b?b<3`>j<7>5$0:3>1ga3g;<j7k4;h6:b?6=,82;69oi;o34b?`<3`>2:7>5$0:3>1ga3g;<j7??;:k7=0<72-;3<7:nf:l23c<6921b85850;9l10g=83.:4=4:5b9m52`=821d98750;&2<5<2=j1e=:h51:9l10>=83.:4=4:5b9m52`=:21d98950;&2<5<2=j1e=:h53:9l100=83.:4=4:5b9m52`=<21d98;50;&2<5<2=j1e=:h55:9l102=83.:4=4:5b9m52`=>21d98=50;&2<5<2=j1e=:h57:9l104=83.:4=4:5b9m52`=021d9:?50;&2<5<2?;1e=:h50:9l126=83.:4=4:739m52`=921d9;h50;&2<5<2?;1e=:h52:9l13c=83.:4=4:739m52`=;21d9;j50;&2<5<2?;1e=:h54:9l13e=83.:4=4:739m52`==21d9;l50;&2<5<2?;1e=:h56:9l13g=83.:4=4:739m52`=?21d9;750;&2<5<2?;1e=:h58:9l13>=83.:4=4:739m52`=121d9<>50;9j15d=831d84:50;9j107=831d9;:50;9a02b=83;1<7>t$0:e>a1<@==h7E:81:mg2?6=3th?;h4?:083>5}#91l18:<4H55`?M2092e:;i4?::a6d6=83>1<7>t$0:e>a4<@==h7E:81:&f1?0<a=?1<75f4g83>>o6080;66a>8383>>{e<<o1<7=50;2x 4>a2jl0D99l;I645>N3:2.:??4:629'a0<63`>>6=44i5d94?=h9181<75rb54:>5<4290;w)?7f;ae?M20k2B?;<5G439'564==?90(h;51:k71?6=3`>m6=44o0:1>5<<uk>>h7>53;294~"60o0hj6F;7b9K027<@=80(<==:440?!c2281b884?::k7b?6=3f;3>7>5;|`722<72<0;6=u+19d9`6=O<>i0D99>;I61?!74:3?=?6g;5;29?l212900e9h50;9j5=7=831d=5<50;9~f10?29086=4?{%3;b?ea3A><o6F;709K07=#9:819;=4$d795>o3=3:17d:i:188k4>52900qo::c;291?6=8r.:4k4k3:J73f=O<>;0D9<4$011>0043`>>6=44i5494?=n<o0;66g>8083>>i60;0;66sm47494?2=83:p(<6i:e08L11d3A><=6*j5;48m13=831b8k4?::k2<4<722e:4?4?::a033=83>1<7>t$0:e>a4<@==h7E:81:&f1?0<a=?1<75f4g83>>o6080;66a>8383>>{e<?>1<7:50;2x 4>a2m80D99l;I645>"b=3<0e9;50;9j0c<722c:4<4?::m2<7<722wi8;h50;694?6|,82m6i<4H55`?M2092.n9784i5794?=n<o0;66g>8083>>i60;0;66sm47g94?2=83:p(<6i:e08L11d3A><=6*j5;48m13=831b8k4?::k2<4<722e:4?4?::a642=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd59:0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8:>7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn??>:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm20294?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`14c<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg47m3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;:o6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi>=m50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl>b383>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c3a5?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4d7290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9hl1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th:mh4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo?nd;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb0c`>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a5dd=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd6ih0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8=<7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn?;i:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm24g94?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`11a<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg42k3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;?i6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi>8o50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl=5883>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c06<?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4`f290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9o31<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th:j54?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo?i7;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb0d5>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a5c3=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd6n=0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk;m?7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn<h=:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25g94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25f94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25a94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25`94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25c94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25;94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25:94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25594?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25494?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25794?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d:94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d594?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d494?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d794?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d694?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d194?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d094?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d394?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d294?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1e494?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`2`0<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg7c<3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj8n86=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi=i<50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl>d083>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c3g4?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4ea290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9jo1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th9?l4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo<<9;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb31;>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a661=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd5;?0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8897>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn?=;:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm22194?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`177<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg4493:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;n<6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`1`3<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn?j::186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd5l=0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb3f0>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th9h?4?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f7b7290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl=cg83>0<729q/=5h5d29K02e<@==:7)k::79j00<722c?:7>5;h6e>5<<a82:6=44o0:1>5<<uk8o=7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a6f2=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi>n=50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a6gg=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`1g7<72:0;6=u+19d902d<@==h7E:81:k7<?6=3`;957>5;n34f?6=3th9n54?:583>5}#91l1=>=4H55`?M2092c?47>5;h72>5<<a8236=44o05a>5<<uk8h=7>53;294~"60o0?;o5G46a8L1163`>36=44i00:>5<<g8=i6=44}c0a2?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb3a3>5<4290;w)?7f;64f>N3?j1C8:?4i5:94?=n9;31<75`16`94?=zj;h?6=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e:kl1<7=50;2x 4>a2==i7E:8c:J734=n<10;66g>2883>>i6?k0;66sm2c094?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl=bd83>6<729q/=5h546`8L11d3A><=6g;8;29?l7513:17b?8b;29?xd5j90;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg4el3:1?7>50z&2<c<3?k1C8:m4H552?l2?2900e<<6:188k41e2900qo<ne;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn?ll:180>5<7s-;3j7:8b:J73f=O<>;0e9650;9j57?=831d=:l50;9~f7gd290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a6`?=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo<j8;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e:l=1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c0f2?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi>h;50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg4b<3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm2d194?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk8n>7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a6`7=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo<84;290?6=8r.:4k4k2:J73f=O<>;0(h;56:k71?6=3`>m6=44i0:2>5<<g8296=44}c041?6=<3:1<v*>8g8g6>N3?j1C8:?4$d792>o3=3:17d:i:188m4>62900c<6=:188yg41n3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;<n6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi?=>50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a75?=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi>k:50;694?6|,82m6<=<;I64g>N3?81b854?::k65?6=3`;347>5;n34f?6=3th8<54?:283>5}#91l18:l4H55`?M2092c?47>5;h31=?6=3f;<n7>5;|`1b6<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c133?6=;3:1<v*>8g873g=O<>i0D99>;h6;>5<<a8826=44o05a>5<<uk8m>7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj::=6=4<:183!7?n3><n6F;7b9K027<a=21<75f13;94?=h9>h1<75rb3d2>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm31794?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e:o:1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd48=0;6>4?:1y'5=`=<>h0D99l;I645>o303:17d?=9;29?j70j3:17pl=eg83>1<729q/=5h51218L11d3A><=6g;8;29?l362900e<67:188k41e2900qo=?3;297?6=8r.:4k4;7c9K02e<@==:7d:7:188m44>2900c<9m:188yg4bm3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f66529086=4?{%3;b?20j2B?;n5G4638m1>=831b=?750;9l52d=831vn?kk:187>5<7s-;3j7?<3:J73f=O<>;0e9650;9j14<722c:454?::m23g<722wi?=?50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a6`e=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`1ag<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c121?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f67c290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e;8i1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th8=o4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo=>a;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb23:>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a74>=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd49>0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk9::7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn>?;:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm33:94?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk82?7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj;396=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e:0;1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd5190;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg4?n3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f7>7290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a62`=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`13`<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c04`?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb35`>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm3c`94?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk9i57>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7gg=83?1<7>t$0:e>a5<@==h7E:81:&f1?0<a=?1<75f4783>>o3n3:17d?71;29?j7?:3:17pl<bb83>0<729q/=5h5d09K02e<@==:7)k::09j00<722c?:7>5;h6a>5<<a=l1<75`19094?=zj:ho6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`0g5<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn>lj:186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd4jo0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2a2>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8o?4?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6ea290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl<ce83>0<729q/=5h5d29K02e<@==:7)k::79j00<722c?:7>5;h6e>5<<a82:6=44o0:1>5<<uk9hi7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7a6=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo=k1;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e;m>1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c1g6?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi?i=50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg5c=3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm3e494?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk9jh7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7de=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo=ne;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e;hk1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c1b=?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi?ll50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg5f?3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm3`494?3=83:p(<6i:e18L11d3A><=6*j5;48m13=831b8;4?::k7b?6=3`;3=7>5;n3;6?6=3th8m54?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6g2290>6=4?{%3;b?b43A><o6F;709'a0<13`>>6=44i5494?=n<o0;66g>8083>>i60;0;66sm38;94?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e;021<7=50;2x 4>a2==i7E:8c:J734=n<10;66g>2883>>i6?k0;66sm39g94?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl<9683>6<729q/=5h546`8L11d3A><=6g;8;29?l7513:17b?8b;29?xd40j0;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg5>>3:1?7>50z&2<c<3?k1C8:m4H552?l2?2900e<<6:188k41e2900qo=7a;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn>7::180>5<7s-;3j7:8b:J73f=O<>;0e9650;9j57?=831d=:l50;9~f6>?290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a7<2=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi?5850;694?6|,82m6<=<;I64g>N3?81b854?::k65?6=3`;347>5;n34f?6=3th85>4?:283>5}#91l18:l4H55`?M2092c?47>5;h31=?6=3f;<n7>5;|`0<1<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c1:6?6=;3:1<v*>8g873g=O<>i0D99>;h6;>5<<a8826=44o05a>5<<uk93>7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj:3:6=4<:183!7?n3><n6F;7b9K027<a=21<75f13;94?=h9>h1<75rb2:3>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm38294?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e;>o1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd4mh0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2g:>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8i54?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6c0290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl<e783>0<729q/=5h5d09K02e<@==:7)k::09j00<722c?:7>5;h6a>5<<a=l1<75`19094?=zj:o>6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`0a1<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn>k<:186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd4m;0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2g2>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8??4?:583>5}#91l1=>=4H55`?M2092c?47>5;h72>5<<a8236=44o05a>5<<uk98?7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj:9?6=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e;:?1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd4;?0;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg53=3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f621290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a711=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`00=<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c17=?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb27a>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm34a94?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl<5e83>1<729q/=5h51218L11d3A><=6g;8;29?l362900e<67:188k41e2900qo=:e;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn>;i:187>5<7s-;3j7?<3:J73f=O<>;0e9650;9j14<722c:454?::m23g<722wi?;k50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl<6g83>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c15e?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi8?j50;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd3:j0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c61f?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9<n:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<;31<7;50;2x 4>a2m>0D99l;I645>"b=3;0e9;50;9j03<722c?j7>5;h3;7?6=3f;3>7>5;|`76=<72<0;6=u+19d9`1=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2a2900e<6<:188k4>52900qo:=6;291?6=8r.:4k4k4:J73f=O<>;0(h;51:k71?6=3`>=6=44i5d94?=n9191<75`19094?=zj=8>6=4::183!7?n3n?7E:8c:J734=#m<0:7d:::188m10=831b8k4?::k2<6<722e:4?4?::a072=83?1<7>t$0:e>a2<@==h7E:81:&f1?7<a=?1<75f4783>>o3n3:17d?73;29?j7?:3:17pl;2283>0<729q/=5h5d59K02e<@==:7)k::09j00<722c?:7>5;h6e>5<<a8286=44o0:1>5<<uk>9>7>55;294~"60o0o86F;7b9K027<,l?1=6g;5;29?l212900e9h50;9j5=5=831d=5<50;9~f146290>6=4?{%3;b?b33A><o6F;709'a0<63`>>6=44i5494?=n<o0;66g>8283>>i60;0;66sm43294?3=83:p(<6i:e68L11d3A><=6*j5;38m13=831b8;4?::k7b?6=3`;3?7>5;n3;6?6=3th?=k4?:483>5}#91l1h95G46a8L1163-o>6<5f4483>>o3>3:17d:i:188m4>42900c<6=:188yg26m3:197>50z&2<c<c<2B?;n5G4638 `3=92c?97>5;h65>5<<a=l1<75f19194?=h9181<75rb53g>5<2290;w)?7f;f7?M20k2B?;<5+e482?l222900e9850;9j0c<722c:4>4?::m2<7<722wi8<l50;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd39h0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c62=?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9?7:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<8=1<7;50;2x 4>a2m>0D99l;I645>"b=3;0e9;50;9j03<722c?j7>5;h3;7?6=3f;3>7>5;|`753<72<0;6=u+19d9`1=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2a2900e<6<:188k4>52900qo:>5;291?6=8r.:4k4k4:J73f=O<>;0(h;51:k71?6=3`>=6=44i5d94?=n9191<75`19094?=zj=;?6=4::183!7?n3n?7E:8c:J734=#m<0:7d:::188m10=831b8k4?::k2<6<722e:4?4?::a045=83?1<7>t$0:e>a2<@==h7E:81:&f1?7<a=?1<75f4783>>o3n3:17d?73;29?j7?:3:17pl;1383>0<729q/=5h5d59K02e<@==:7)k::09j00<722c?:7>5;h6e>5<<a8286=44o0:1>5<<uk>8?7>55;294~"60o0o86F;7b9K027<,l?1=6g;5;29?l212900e9h50;9j5=5=831d=5<50;9~f155290>6=4?{%3;b?b33A><o6F;709'a0<63`>>6=44i5494?=n<o0;66g>8283>>i60;0;66sm42394?3=83:p(<6i:e68L11d3A><=6*j5;38m13=831b8;4?::k7b?6=3`;3?7>5;n3;6?6=3th??=4?:483>5}#91l1h95G46a8L1163-o>6<5f4483>>o3>3:17d:i:188m4>42900c<6=:188yg25n3:197>50z&2<c<c<2B?;n5G4638 `3=92c?97>5;h65>5<<a=l1<75f19194?=h9181<75rb50f>5<2290;w)?7f;f7?M20k2B?;<5+e482?l222900e9850;9j0c<722c:4>4?::m2<7<722wi8?950;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd39j0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c625?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9??:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<?h1<7=50;2x 4>a288n7E:8c:J734=#m<0:56gk9;29?lbf2900c<9m:188yg2183:1?7>50z&2<c<6:l1C8:m4H552?!c22830ei750;9j`d<722e:;o4?::a640=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3a0?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm1`294?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo?6f;297?6=8r.:4k4>2d9K02e<@==:7)k::0f8ma?=831bhl4?::m23g<722wi=4k50;194?6|,82m6<<j;I64g>N3?81/i84>d:kg=?6=3`nj6=44o05a>5<<uk;2h7>53;294~"60o0:>h5G46a8L1163-o>6<j4ie;94?=nlh0;66a>7c83>>{e90i1<7=50;2x 4>a288n7E:8c:J734=#m<0:h6gk9;29?lbf2900c<9m:188yg7>j3:1?7>50z&2<c<6:l1C8:m4H552?!c228n0ei750;9j`d<722e:;o4?::a5<g=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3:=?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm18:94?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo?67;297?6=8r.:4k4>2d9K02e<@==:7)k::0f8ma?=831bhl4?::m23g<722wi=4850;194?6|,82m6<<j;I64g>N3?81/i84>d:kg=?6=3`nj6=44o05a>5<<uk;297>53;294~"60o0:>h5G46a8L1163-o>6<j4ie;94?=nlh0;66a>7c83>>{e90>1<7=50;2x 4>a288n7E:8c:J734=#m<0:h6gk9;29?lbf2900c<9m:188yg7>;3:1?7>50z&2<c<6:l1C8:m4H552?!c228n0ei750;9j`d<722e:;o4?::a5<4=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3:5?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm18294?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo<83;290?6=8r.:4k4>2g9K02e<@==:7)k::ba8ma?=831bhl4?::kgf?6=3f;<n7>5;|`15=<72=0;6=u+19d957`<@==h7E:81:&f1?433`n26=44iec94?=nlk0;66a>7c83>>{e9k<1<7:50;2x 4>a288m7E:8c:J734=#m<0986gk9;29?lbf2900eil50;9l52d=831vn?9>:186>5<7s-;3j7?<0:J73f=O<>;0(h;51`9j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;;j6=4::183!7?n3;8<6F;7b9K027<,l?1=k5fd883>>oci3:17djm:188mae=831d=:l50;9~f4d?290>6=4?{%3;b?7482B?;n5G4638 `3=9o1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb354>5<2290;w)?7f;304>N3?j1C8:?4$d7964=nl00;66gka;29?lbe2900eim50;9l52d=831vn?8m:187>5<7s-;3j7?=f:J73f=O<>;0(h;52c9j`<<722com7>5;hfa>5<<g8=i6=44}c02g?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm1cc94?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo<>e;290?6=8r.:4k4>2g9K02e<@==:7)k::368ma?=831bhl4?::kgf?6=3f;<n7>5;|`2ff<72=0;6=u+19d957`<@==h7E:81:&f1?433`n26=44iec94?=nlk0;66a>7c83>>{e:;:1<7;50;2x 4>a289;7E:8c:J734=#m<0:j6gk9;29?lbf2900eil50;9j`f<722e:;o4?::a5gc=83?1<7>t$0:e>4573A><o6F;709'a0<6n2co57>5;hfb>5<<amh1<75fdb83>>i6?k0;66sm33c94?3=83:p(<6i:013?M20k2B?;<5+e4814>oc13:17djn:188mad=831bhn4?::m23g<722wi88o50;694?6|,82m6<<i;I64g>N3?81/i84:0:kg=?6=3`nj6=44ie`94?=h9>h1<75rb34b>5<2290;w)?7f;304>N3?j1C8:?4$d7957=nl00;66gka;29?lbe2900eim50;9l52d=831vn?7k:180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th94l4?:283>5}#91l1=?k4H55`?M2092.n97<=;hf:>5<<amk1<75`16`94?=zj:<26=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f634290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb263>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn>87:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj:?96=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f65a290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb3;`>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn?66:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj:<<6=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f636290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb21f>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn?7m:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;236=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f601290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb273>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn>=k:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;3j6=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f7>0290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb252>5<3290;w)?7f;31b>N3?j1C8:?4$d79ga=nl00;66gka;29?lbe2900c<9m:188yg51k3:187>50z&2<c<6:o1C8:m4H552?!c22jn0ei750;9j`d<722con7>5;n34f?6=3th9m?4?:583>5}#91l1=?h4H55`?M2092.n97mk;hf:>5<<amk1<75fdc83>>i6?k0;66sm37794?2=83:p(<6i:00e?M20k2B?;<5+e486?lb>2900eio50;9j`g<722e:;o4?::a71`=83>1<7>t$0:e>44a3A><o6F;709'a0<23`n26=44iec94?=nlk0;66a>7c83>>{e;:i1<7:50;2x 4>a288m7E:8c:J734=#m<0>7dj6:188mag=831bho4?::m23g<722wi>4750;794?6|,82m6<=?;I64g>N3?81/i84=3:kg=?6=3`nj6=44ie`94?=nlj0;66a>7c83>>{e:1<1<7;50;2x 4>a289;7E:8c:J734=#m<09?6gk9;29?lbf2900eil50;9j`f<722e:;o4?::a675=8391<7>t$0:e>44b3A><o6F;709'a0<5:2co57>5;hfb>5<<g8=i6=44}c3`5?6=;3:1<v*>8g826`=O<>i0D99>;%g6>74<am31<75fd`83>>i6?k0;66sm23494?2=83:p(<6i:00e?M20k2B?;<5+e482a>oc13:17djn:188mad=831d=:l50;9~f4e3290?6=4?{%3;b?75n2B?;n5G4638 `3=9l1bh44?::kge?6=3`ni6=44o05a>5<<uk9<>7>53;294~"60o0:>h5G46a8L1163-o>6<?4ie;94?=nlh0;66a>7c83>>{e:kh1<7<50;2x 4>a288o7E:8c:J734=#m<0:=6gk9;29?j70j3:17pl=b883>7<729q/=5h513f8L11d3A><=6*j5;32?lb>2900c<9m:188yg4e?3:1>7>50z&2<c<6:m1C8:m4H552?!c228;0ei750;9l52d=831vn?l::181>5<7s-;3j7?=d:J73f=O<>;0(h;5109j`<<722e:;o4?::a6g5=8381<7>t$0:e>44c3A><o6F;709'a0<692co57>5;n34f?6=3th9n<4?:383>5}#91l1=?j4H55`?M2092.n97?>;hf:>5<<g8=i6=44}c0bb?6=:3:1<v*>8g826a=O<>i0D99>;%g6>47<am31<75`16`94?=zj;ko6=4=:183!7?n3;9h6F;7b9K027<,l?1=<5fd883>>i6?k0;66sm39d94?4=83:p(<6i:00g?M20k2B?;<5+e4825>oc13:17b?8b;29?xd40m0;6?4?:1y'5=`=9;n0D99l;I645>"b=3;:7dj6:188k41e2900qo=7b;296?6=8r.:4k4>2e9K02e<@==:7)k::038ma?=831d=:l50;9~f6>>29096=4?{%3;b?75l2B?;n5G4638 `3=981bh44?::m23g<722wi?5950;094?6|,82m6<<k;I64g>N3?81/i84>1:kg=?6=3f;<n7>5;|`0<0<72;0;6=u+19d957b<@==h7E:81:&f1?763`n26=44o05a>5<<uk93?7>52;294~"60o0:>i5G46a8L1163-o>6<?4ie;94?=h9>h1<75rb2:2>5<5290;w)?7f;31`>N3?j1C8:?4$d7954=nl00;66a>7c83>>{e;>l1<7<50;2x 4>a288o7E:8c:J734=#m<0:=6gk9;29?j70j3:17pl=c483>7<729q/=5h513f8L11d3A><=6*j5;32?lb>2900c<9m:188yg5>i3:1>7>50z&2<c<6:m1C8:m4H552?!c228;0ei750;9l52d=831vn?h7:180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th9j44?:283>5}#91l1=?k4H55`?M2092.n97<=;hf:>5<<amk1<75`16`94?=zj;lj6=4<:183!7?n3;9i6F;7b9K027<,l?1>?5fd883>>oci3:17b?8b;29?xd5nk0;6>4?:1y'5=`=9;o0D99l;I645>"b=3897dj6:188mag=831d=:l50;9~f7`d29086=4?{%3;b?75m2B?;n5G4638 `3=:;1bh44?::kge?6=3f;<n7>5;|`1ba<72:0;6=u+19d957c<@==h7E:81:&f1?453`n26=44iec94?=h9>h1<75rb3df>5<4290;w)?7f;31a>N3?j1C8:?4$d7967=nl00;66gka;29?j70j3:17pl=fg83>6<729q/=5h513g8L11d3A><=6*j5;01?lb>2900eio50;9l52d=831vn?h::180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th8>n4?:483>5}#91l1=>>4H55`?M2092.n97j:;hf:>5<<amk1<75fdc83>>ock3:17b?8b;29?xd4:k0;684?:1y'5=`=9::0D99l;I645>"b=38;7dj6:188mag=831bho4?::kgg?6=3f;<n7>5;|`036<72:0;6=u+19d957c<@==h7E:81:&f1?763`n26=44iec94?=h9>h1<75rb3d4>5<5290;w)?7f;31<>N3?j1C8:?4ie:94?=h9>h1<75rb24g>5<5290;w)?7f;31<>N3?j1C8:?4ie:94?=h9>h1<75rb301>5<1290;w)?7f;305>N3?j1C8:?4$d7960=nl00;66gka;29?lbe2900eim50;9l57g=831d=:l50;9~f4e7290=6=4?{%3;b?7492B?;n5G4638 `3=:<1bh44?::kge?6=3`ni6=44iea94?=h9;k1<75`16`94?=zj=?36=477;294~N3?81/=5h51968^12=ir21>;4j:07956<6j3l1=;4>4;3`>x"6:>087)jk:59'``<33-nm695+e187?!c62=1/i?4;;%g0>1=#kh0hi6*j6;68 `1=<2.n47:4$d;90>"bi3>0(hl54:&fg?2<,ln186*je;68 ``=<2.m<7:4$g390>"a:3>0(k=54:&e0?2<,o?186*i6;68 c1=<2.m47:4$g;90>"ai3>0(kl54:&eg?2<,on186*ie;68 c`=<2.:<=4;;%335?2<,8:9695+11190>"68=0?7)??5;68 4612=1/==954:&24=<33-;;57:4$02b>1=#99h186*>0b87?!77l3>0(<>j:59'55`=<2.:==4;;%325?2<,8;9695+10190>"69=0?7)?>5;68 4712=1/=<954:&25=<33-;:57:4$03b>1=#98h186*>1b87?!76l3>0(<?j:59'54`=<2.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412;1/=575409'a1<33-;3n7<4$555>4>23-><;7?75:l:`?6<fkl1<6`>87826>h60>0986`;79826>h3?00986*lb;af?!7?k390e9750;9j0d<722c?;94?::k`=?6=3`2<6=4+1929<d=i9>l1?65f19g94?=n;?0;6)?70;16?k70n3:07d=;:18'5=6=;<1e=:h51:9j76<72-;3<7=:;o34b?4<3`996=4+192970=i9>l1?65f3083>!7?839>7c?8f;68?l57290/=5>5349m52`==21b>k4?:%3;4?523g;<j784;h0f>5<#91:1?85a16d93>=n:m0;6)?70;16?k70n3207d<l:18'5=6=;<1e=:h59:9j05<72-;3<7=i;o34b?6<3`9n6=4+19297c=i9>l1=65f3e83>!7?839m7c?8f;08?l5d290/=5>53g9m52`=;21b?o4?:%3;4?5a3g;<j7:4;h1b>5<#91:1?k5a16d91>=n;00;6)?70;1e?k70n3<07d=7:18'5=6=;o1e=:h57:9j72<72-;3<7=i;o34b?><3`?26=4+19291==i9>l1<65f5683>!7?83?37c?8f;38?l31290/=5>5599m52`=:21b984?:%3;4?3?3g;<j7=4;h77>5<#91:1955a16d90>=n=:0;6)?70;7;?k70n3?07d8=:18'5=6==11e=:h56:9j24<72-;3<7;7;o34b?1<3`<;6=4+19291==i9>l1465f5g83>!7?83?37c?8f;;8?l3b290/=5>5599m52`=i21b9i4?:%3;4?3?3g;<j7l4;h7`>5<#91:1955a16d9g>=n=k0;6)?70;7;?k70n3n07d;n:18'5=6==11e=:h5e:9j17<72-;3<7;7;o34b?`<3`2=6=4+1929<0=i9>l1<65f8583>!7?832>7c?8f;38?jd0290/=5>5b79m52`=821dn84?:%3;4?d13g;<j7?4;n`0>5<#91:1n;5a16d96>=hj;0;6)?70;`5?k70n3907bl>:18'5=6=j?1e=:h54:9lf5<72-;3<7l9;o34b?3<3fkm6=4+1929f3=i9>l1:65`ad83>!7?83h=7c?8f;58?jgc290/=5>5b79m52`=021dmn4?:%3;4?d13g;<j774;nca>5<#91:1n;5a16d9e>=hih0;6)?70;`5?k70n3h07bo7:18'5=6=j?1e=:h5c:9le2<72-;3<7l9;o34b?b<3fk=6=4+1929f3=i9>l1i65`a483>!7?83h=7c?8f;d8?jg3290/=5>5b79m52`=9910cl=50;&2<5<e>2d:;k4>1:9le7<72-;3<7l9;o34b?7532ej=7>5$0:3>g0<f8=m6<=4;nc3>5<#91:1n;5a16d951=<g0l1<7*>818a2>h6?o0:965`bd83>!7?83h=7c?8f;35?>iel3:1(<6?:c48j41a28=07bll:18'5=6=j?1e=:h51998kgd=83.:4=4m6:l23c<6121dnl4?:%3;4?d13g;<j7?n;:ma=?6=,82;6o84n05e>4d<3fh36=4+1929f3=i9>l1=n54oc694?"6090i:6`>7g82`>=hi00;6)?70;`5?k70n3;n76a6e;29 4>72k<0b<9i:0d8?je0290/=5>5c79m52`=821do84?:%3;4?e13g;<j7?4;na7>5<#91:1o;5a16d96>=hk:0;6)?70;a5?k70n3907dm7:188m4>c2900e99::188m2e=83.:4=48b:l23c<732c<m7>5$0:3>2d<f8=m6<54i6:94?"6090<n6`>7g81?>o0?3:1(<6?:6`8j41a2:10e:850;&2<5<0j2d:;k4;;:k41?6=,82;6:l4n05e>0=<a>>1<7*>8184f>h6?o0=76g83;29 4>72>h0b<9i:698m24=83.:4=48b:l23c<?32c<=7>5$0:3>2d<f8=m6454i6294?"6090<n6`>7g8b?>o1n3:1(<6?:6`8j41a2k10e;j50;&2<5<0j2d:;k4l;:k5g?6=,82;6:l4n05e>a=<a?h1<7*>8184f>h6?o0n76g9a;29 4>72>h0b<9i:g98m3?=83.:4=48b:l23c<6821b:54?:%3;4?1e3g;<j7?>;:k53?6=,82;6:l4n05e>44<3`<=6=4+19293g=i9>l1=>54i7794?"6090<n6`>7g820>=n>=0;6)?70;5a?k70n3;>76g73;29 4>72>h0b<9i:048?l>5290/=5>57c9m52`=9>10e5?50;&2<5<0j2d:;k4>8:9j<5<72-;3<79m;o34b?7>32c<j7>5$0:3>2d<f8=m6<o4;h5f>5<#91:1;o5a16d95g=<a>n1<7*>8184f>h6?o0:o65f7883>!7?83=i7c?8f;3g?>o1m3:1(<6?:6`8j41a28o07d8<:18'5=6=?k1e=:h51g98m=d=83.:4=47a:l23c<732c357>5$0:3>=g<f8=m6<54i9:94?"60903m6`>7g81?>i>;3:1(<6?:808j41a2910c4?50;&2<5<>:2d:;k4>;:m:4?6=,82;64<4n05e>7=<g1l1<7*>818:6>h6?o0876a7e;29 4>72080b<9i:598k=b=83.:4=462:l23c<232e2o7>5$0:3><4<f8=m6;54o8`94?"60902>6`>7g84?>i>i3:1(<6?:808j41a2110c4750;&2<5<>:2d:;k46;:m:<?6=,82;64<4n05e>d=<g0=1<7*>818:6>h6?o0i76a66;29 4>72080b<9i:b98k<3=83.:4=462:l23c<c32e287>5$0:3><4<f8=m6h54o9a94?"60902>6`>7g8e?>id:3:1(<6?:b38j41a2910cn>50;&2<5<d92d:;k4>;:p10b=83<pR8;k;<047?bf348<=7j6;<043?bd348=n7jm;<05e?b>3ty>9o4?:6gxZ1?33W?=:6P:659]0=2<V<<;7S;?f:\620=Y=8:0R97=;_6:5>X3191U85h4^5:f?[2?l2T?4n5Q49`8Z1>f3W>356P:709]126<V<<m7S;9e:\62a=Y=?i0R88m;_75e>X2>01U9;64^47b?[3212T>955Q5458Z0313W?>96P:559]105<V<?970:8d;f5?821?3>m70::c;6e?821>3>m70:95;6e?821<3>m70:9f;6e?821m3>m70<ma;72?84e03?:70<m6;72?84e<3?:70<m2;72?84e83?:70<ne;72?84fk3?:70<jb;3;<>;51:0>=63=93865>;5180>=63=91865>;50o0>=63=81865>;5?o0>=63=7d865>;5?m0>=63=7b865>;40l0>=63<8b865>;40h0>=63<89865>;40?0>=63<85865>;40;0>=63<81865>;4?l0>=63<33865>;4;:0>=63<35865>;4;<0>=63<37865>;4<<0>=63<47865>;4<>0>=63<49865>;4<00>=63<5c865>;4=j0>=63<5e865>;4=l0>=63<5g865>;3=103;63;5982<a=:<<218:;4=57;>2e<5=?36:o4=57;>2><5=?36:94=57;>20<5=?36:;4=57;>22<5=?36:=4=57;>24<5=?36:?4=57;>26<5=?36;h4=57;>3b<5=?36;m4=57;>3d<5=?36;o4=57;>3?<5=?36;64=57;>31<5=?36;84=57;>33<5=?36;:4=57;>=5<5=?365<4=57;>=7<5=?365>4=57;>2`<5=?36:k4=57;>2b<5=?36:74=57;>3c<5=?36;=4=57;>=d<5=?36574=57;>=><uz9=m7>52z\7<0=:;?k1=5<4}r76b?6=;rT>9k5247595=7<5=?h6<6>;|q130<72;qU9=:4=356>4>53ty>;>4?:2y]125<5:=96i74=250>a?<uz?>=7>569y]107<5;k;69;4=57f>13<5=?o69;4=57`>13<5=<=69;4=546>13<5=<?69;4=337>13<5;;869;4=331>13<5;;:69;4=333>13<5;:m69;4=32f>13<5;:o69;4=32`>13<5;<;69;4=37e>13<5;?n69;4=37g>13<5;?h69;4=37a>13<5;?j69;4=37:>13<5;?369;4=36f>13<5;>o69;4=36`>13<5;>i69;4=36b>13<5;>269;4=36;>13<5;><69;4=365>13<5;>>69;4=0f5>13<58n>69;4=0f7>13<58n869;4=0f1>13<58n:69;4=0f3>13<58im69;4=0af>13<5;n<69;4=3f5>13<5;n>69;4=3f7>13<5;n869;4=3f1>13<5;n;69;4=3ae>13<5;n:69;4=3g:>13<5;o369;4=3g4>13<5;o=69;4=3g6>13<5;o?69;4=3g0>13<5;o969;4=3g2>13<5;=?69;4=356>13<5;<m69;4=34f>13<5=8o69;4=50`>13<5=8i69;4=50b>13<5=8269;4=50;>13<5=8=69;4=506>13<5=8?69;4=500>13<5=8969;4=502>13<5=8;69;4=53e>13<5=;n69;4=53g>13<5=;i69;4=53b>13<5=;269;4=53;>13<5=;<69;4=535>13<5=;>69;4=537>13<5=;869;4=531>13<5=9869;4=511>13<5=9:69;4=513>13<5=8m69;4=50f>13<5=8<69;4=53`>13<5=;:69;4=533>13<5=?369o4}r15b?6=:rT><l5237d95=4<uz?=;7>563y]131<5=<269;4=544>13<5=<369;4=54e>13<5=<n69;4=0`1>13<58h:69;4=0`3>13<58km69;4=0cf>13<58ko69;4=0c`>13<58ki69;4=0cb>13<58lj69;4=0d:>13<58l369;4=0d4>13<58l=69;4=0d6>13<58l?69;4=0d0>13<58l969;4=0g;>13<58o<69;4=0g5>13<58o>69;4=0g7>13<58o869;4=0g1>13<58o:69;4=0g3>13<5;9j69;4=31:>13<5;9369;4=314>13<5;9=69;4=316>13<5;9?69;4=310>13<5;9969;4=312>13<5:;>69;4=23g>13<5:;h69;4=23a>13<5:;j69;4=23:>13<5:;369;4=234>13<5:;=69;4=237>13<5:8369;4=2`a>13<5:h269;4=2`b>13<5:hh69;4=2`g>13<5:i;69;4=2`f>13<5:hm69;4=2a2>13<5:i969;4=2ae>13<5:io69;4=2af>13<5:n;69;4=2f2>13<5:n?69;4=2f1>13<5:n869;4=2f6>13<5:n=69;4=2cg>13<5:kh69;4=2cf>13<5:kj69;4=2c:>13<5:ki69;4=2c4>13<5:k=69;4=2c;>13<5:k>69;4=2gb>13<5:o269;4=2g;>13<5:o<69;4=2g5>13<5:o>69;4=2g7>13<5:o869;4=2g1>13<5:o:69;4=24f>13<5:<m69;4=24b>13<5=?36974}r11<?6=:rT>>k5233:95=4<uz9m87>52z\7`2=:<831=5<4}r1e7?6=:rT?h;5240:95=4<uz9m>7>52z\7`0=:<8=1=5<4}r1e5?6=:rT?h95240495=4<uz9m<7>52z\7`6=:<8?1=5<4}r1fb?6=:rT?h?5240695=4<uz9ni7>52z\7`5=:<891=5<4}r1f`?6=:rT?ok5240095=4<uz>;j7>52z\7g`=:<:91=5<4}r63a?6=:rT?oi5242095=4<uz>;h7>52z\7gf=:<:;1=5<4}r63g?6=:rT?oo5242295=4<uz>;n7>52z\7gd=:<;l1=5<4}r63e?6=:rT?o45243g95=4<uz>;57>52z\7g==:<;=1=5<4}r636?6=:rT?o:5240a95=4<uz9m;7>52z\7g0=:<8;1=5<4}r1fg?6=:rT?o95240295=4<uz>;47>52z\7g6=:<;n1=5<4}r633?6=:rT?o?5243a95=4<uz>;:7>52z\7g4=:<;h1=5<4}r631?6=:rT?o=5243c95=4<uz>;87>52z\7fc=:<;31=5<4}r637?6=:rT?nh5243:95=4<uz>;=7>52z\7fa=:<;<1=5<4}r634?6=:rT?nn5243795=4<uz9mj7>52z\7`a=:<;>1=5<4}r1ea?6=:rT?hn5243195=4<uz9mh7>52z\7`g=:<;81=5<4}r1eg?6=:rT?hl5243395=4<uz9mn7>52z\7`<=:<;:1=5<4}r1ee?6=:rT?h55240d95=4<uz9m57>52z\7`4=:<8o1=5<4}r1e<?6=:rT?o;5240f95=4<uz9m:7>52z\7fg=:<8h1=5<4}r1e1?6=:rT?nl5240c95=4<uz>i<7>52z\7=`=:<<214;5rs5cf>5<5sW>2h63;5986=>{t<hn1<7<t^5;`?82203?<7p};ab83>7}Y<0h019;7:448yv2fj3:1>vP;9`9>00>==<1v9on:181[2>127?954:4:p0d?=838pR977;<66<?343ty?m54?:3y]0<1<5=?36;<4}r6a=?6=:rT?m;5244:924=z{=h36=4={_6b1>;3=10386s|4c594?4|V=k?70::8;43?xu3j?0;6?uQ4`18913?2<l0q~:m5;296~X3i;1688655d9~w1d32909wS:n1:?71=<2l2wx8o=50;0xZ1g734>>47;l;|q7f7<72;qU84h4=57;>0d<uz>i=7>52z\7=3=:<<219l5rs5c4>5<5sW>2963;59866>{t9:=1<7mt=55f>41c348io7:7;<0bg?2?348no7;>;<0ff?2?3483j7:7;<04g?2?3492<7:7;<14a?2?3498>7:7;<171?2?349>n7:7;|q1e4<72;q6>l>54g9>6d4=9>h0q~:93;295=}::h:1=5?4=545>4>5348o;7:m;<0g2?2e348o97:m;<0g0?2e348o?7:m;<0g6?2e348o<7:m;<0`b?7?9279h<4;b:?1a<<3j279i54;b:?1a2<3j279i;4;b:?1a0<3j279i94;b:?1a6<3j279i?4;b:?1a4<3j279;94>809>623=91;01?8i:5`8970b2=h0q~<n0;290~;5i90:4?522639`f=:<<k1h45227c9`d=z{=?o6=4<{<66a?2a34>>h7?72:?71f<3>2wx88k50;0x913b282970:90;f:?xu3>10;6>u247;90c=:<?=18;5247:95=4<uz>=57>52z?72<<60;168;l5d89~w13d2908w0::d;6e?822k3;3>63;618ge>{t<?=1<7=t=544>4>534>=47:i;<65f?bf3ty?9k4?:5y>030=91;0198::0:2?821<3;3=63;61823g=z{=<96=4=6z?720<60;16><:54c9>645=<k16><<54c9>647=<k16><>54c9>65`=<k16>=k54c9>65b=<k16>=m54c9>636=<k16>8h54c9>60c=<k16>8j54c9>60e=<k16>8l54c9>60g=<k16>8754c9>60>=<k16>9k54c9>61b=<k16>9m54c9>61d=<k16>9o54c9>61?=<k16>9654c9>611=<k16>9854c9>613=<k16=i854c9>5a3=<k16=i:54c9>5a5=<k16=i<54c9>5a7=<k16=i>54c9>5f`=<k16=nk54c9~w1062909;v3;6582<7=:<;n1=5=4=50`>4>434>9n7?73:?76d<60:168?751918914?282870:=6;3;7>;3:<0:4>5243695=5<5=886<6<;<616?7?;27?><4>829>076=919019?i:0:0?826m3;3?63;1e82<6=:<8h1=5=4=53b>4>434>:57?73:?75=<60:168<9519189171282870:>5;3;7>;39=0:4>5240195=5<5=;96<6<;<607?7?;27???4>829>067=919019=?:0:0?825n3;3?63;2d82<6=:<;=1=5=4=53`>4>434>:=7?73:?755<60:1688o5d`9>00>=91o0q~:9a;297~;3>o0:4<5247g95=7<5=<i6<9m;|q72a<72:9p198i:0:1?856=3>i70=>d;6a?856k3>i70=>b;6a?856i3>i70=>9;6a?85603>i70=>7;6a?856>3>i70=>4;6a?85ej3>i70=m9;6a?85ei3;3=63<bb87f>;4jm0?n63<c187f>;4jl0?n63<bg87f>;4k80?n63<c387f>;4ko0?n63<ce82<4=:;jo18o523e290g=:;m;18o523e690g=:;m818o523e190g=:;m?18o523e490g=:;hn18o523`a90g=:;ho18o523`c90g=:;h318o523``90g=:;h=18o523`495=7<5:k369l4=2c6>4>6349nm7:m;<1f=?2e349n47:m;<1f3?2e349n:7:m;<1f1?2e349n87:m;<1f7?2e349n>7:m;<1f5?2e3ty?:n4?:3cx910b282970?m2;6a?87e93>i70?m0;6a?87fn3>i70?ne;6a?87fl3>i70?nc;6a?87fj3>i70?na;6a?87ai3>i70?i9;6a?87a03>i70?i7;6a?87a>3>i70?i5;6a?87a<3>i70?i3;6a?87a:3>i70?j8;6a?87b?3>i70?j6;6a?87b=3>i70?j4;6a?87b;3>i70?j2;6a?87b93>i70?j0;6a?844i3>i70<<9;6a?84403>i70<<7;6a?844>3>i70<<5;6a?844<3>i70<<3;6a?844:3>i70<<1;6a?85503>i70=9e;6a?851n3>i70=9a;6a?xu5=>0;68u220690c=::?:1=5<4=335>ag<5;;36i74=33b>ae<uz8;n7>53z?151<60;16>4j5d89>6=g=l01v??::181846;3>m70<>6;34f>{t:9k1<7=t=330>4>53482o7jn;<0;=?bf3ty9=:4?:3y>644=<o16><6516`8yv4713:1?v3=1382<7=::0i1hn5229;9`f=z{;;26=49{<025?2a348:m7?8b:?15f<ci279=h4k9:?165<cj279>?4kc:p65>=839p1??>:0:1?84>j3nj70<78;fb?xu59k0;6?u220290c=::8i1=:l4}r033?6=;r79==4>839>6<d=lj16>565db9~w77c2909w0<?f;6e?846m3;<n6s|21494?5|5;:m6<6=;<0:e?bf3483;7jn;|q15c<72;q6>=k54g9>676=9>h0q~<?5;297~;58l0:4?5228c9`f=::1=1hn5rs302>5<5s48;h7:i;<017?70j2wx>=:50;1x976c282970<69;fb?84?>3nj7p}=2483>7}::9i18k52234952d<uz8;?7>53z?14f<60;16>475db9>6=0=lj1v<h>:18687e:3>m70?ia;3;6>;6j=0om63>b78g=>;6j10oo6s|1`;94?3|58h96<6=;<15=?b>349>?7j6;<174?b>348m97jn;|q2f6<72;q6=o?54g9>5g2=9>h0q~?n8;291~;6j80:4?5237;9`g=:;<91ho523529`g=::ol1hl5rs0`6>5<5s4;i<7:i;<3a2?70j2wx=l950;7x94d7282970=98;f:?852:3n270=<f;f:?84am3nj7p}>b683>3}:9hl18k521c:952d<58hj6io4=0``>a?<58hn6il4=0a3>ae<uz;j:7>55z?2ec<60;16?;65dc9>704=lk16?>h5dc9>6cb=lh1v<l6:18187fm3>m70?ma;34f>{t9h?1<7;t=0cf>4>5349=;7j6;<165?b>3498i7j6;<0eg?bf3ty:no4?:3y>5db=<o16=om516`8yv7f<3:19v3>ae82<7=:;?=1ho523439`g=:;:o1ho522g`9`d=z{8ho6=4={<3bg?2a34;ii7?8b:p5d5=83?p1<ol:0:1?851>3n270=:0;f:?854l3n270<ia;fb?xu6jo0;6?u21``90c=:9j;1=:l4}r3b6?6==r7:mo4>839>730=lk16?8>5dc9>76b=lk16>k75d`9~w4e42909w0?na;6e?87d<3;<n6s|1`394?3|58kj6<6=;<151?b>349?j7j6;<10g?b>348m47jn;|q101<72;q6>;>54g9>61c=9180q~<;3;296~;5=o0?j63=4e82<7=z{;?=6=4;{<06b?7?:279=;4k9:?15=<ci279=l4kb:p614=838p1?;j:5d8972d28297p}=5483>6}::<o1=5<4=33;>ad<5;;j6io4}r075?6=:r799i4;f:?10g<60;1v?;;:181842l3;3>63=1`8g=>{t:=:1<7<t=37`>1`<5;>j6<6=;|q116<72<q6>8m51908977d2m301??j:ec897472mi01?<=:e`8yv44n3:1>v3=5c87b>;5<00:4?5rs371>5<3s48>n7?72:?15`<cj279>=4k9:?167<ci2wx>>k50;0x973f2=l01?:7:0:1?xu5=80;6>u224c95=4<5;8;6io4=301>a?<uz88h7>52z?11<<3n2798:4>839~w7372908w0<:9;3;6>;5::0o563=278ge>{t::i1<7<t=37;>1`<5;>=6<6=;|q10c<72;q6>865190897412m30q~?kf;296~;6nh0?j63>e982<7=z{8nn6=4={<3e=?2a34;n;7?72:p5c6=83>p1<h6:0:1?87e<3n270?m6;fb?87e03ni7p}>de83>7}:9o218k521d495=4<uz;nj7>53z?2b=<60;16=o85dc9>5g>=lh1v<jl:18187a?3>m70?j5;3;6>{t9lo1<7<t=0d4>4>534;i47j6;|q2`g<72;q6=k854g9>5`2=9180q~?jd;291~;6n?0:4?521cc9`<=:9ki1hl521cg9`f=:9j:1ho5rs0fb>5<5s4;m97:i;<3f7?7?:2wx=hm50;6x94`2282970?mc;fa?87em3n270?l0;fb?xu6l00;6?u21g690c=:9l81=5<4}r3ff?6=;r7:j94>839>5gc=lh16=n>5d89~w4b?2909w0?i3;6e?87b93;3>6s|1dc94?5|58l86<6=;<3`5?b>34;h87jn;|q2`2<72;q6=k<54g9>5`6=9180q~?j9;296~;6n;0:4?521b69`<=z{;9;6=4={<07a?2a3488m7?72:p67`=838p1?:k:5d8975>28297p}=2d83>7}::=i18k5222:95=4<uz89h7>52z?10g<3n279?:4>839~w74d2909w0<;a;6e?844>3;3>6s|23`94?4|5;>269h4=316>4>53ty9>l4?:3y>61>=<o16>>:51908yv4513:1>v3=4687b>;5;:0:4?5rs30;>5<5s48?:7:i;<006?7?:2wx>?950;0x97222=l01?=>:0:1?xu5;k0;6<u225795=4<uz;hh7>52z?2a=<3n27:h;4>839~w4ed2909w0?j7;6e?87c=3;3>6s|1b`94?4|58o=69h4=0f7>4>53ty:ol4?:3y>5`3=<o16=i=51908yv7d13:1>v3>e587b>;6l;0:4?5rs0a;>5<5s4;n?7:i;<3g5?7?:2wx=n950;0x94c52=l01<j?:0:1?xu6k?0;6?u21d390c=:9jl1=5<4}r3`1?6=:r7:i=4;f:?2g`<60;1v?k?:18687c>3>m70<j9;3;6>;6190o563=8`8ge>;3=10?<6s|1g`94?4|58n>69h4=0;3>41e3ty:jn4?:3y>5a2=<o16=4?516`8yv7al3:1>v3>d287b>;61;0:;o5rs0df>5<5s4;o>7:i;<3:7?70j2wx=kh50;0x94b62=l01<7;:05a?xu5890;6?u21e290c=:90?1=:l4}r035?6=:r7:ok4;f:?2=3<6?k1v?>=:18187dm3>m70?67;34f>{t;l:1<7:t=31b>1`<5:oj6<6=;<3:<?b>34>>47=9;|q124<72;q6>>754g9>5<>=9>h0q~<92;296~;5;10?j63>98823g=z{;<86=4={<003?2a34;2m7?8b:p632=838p1?=9:5d894?e28=i7p}=6483>7}:::?18k5218a952d<uz8=:7>52z?171<3n27:5i4>7c9~w7002909w0<<3;6e?87>m3;<n6s|27:94?4|5;9969h4=0;e>41e3ty9:44?:3y>667=<o16=l>516`8yv4bi3:1=8u22e5903=::m<18;522e7903=::m>18;522e1903=::m818;522e2903=::jl18;522e3903=::l318;522d:903=::l=18;522d4903=::l?18;522d6903=::l918;522d0903=::l;18;5244c9`g=::?k1=:l4=3c1>ag<uz8jn7>52z?1`2<3n279o94>7c9~w7eb290?w0<k7;3;6>;5m00?j63=9e8ge>;5k<0o56s|2`c94?4|5;n=69h4=3a0>41e3ty9oi4?:5y>6a0=91801?k7:5d897?d2m301?lm:e;8yv4f13:1>v3=d487b>;5k;0:;o5rs3a`>5<3s48o97?72:?1a2<3n2795n4kb:?1f<<c12wx>l650;0x97b32=l01?m>:05a?xu5kk0;69u22e695=4<5;o=69h4=3;a>a?<5;h<6i74}r0b3?6=:r79h>4;f:?1g5<6?k1v?mn:18784c;3;3>63=e487b>;51k0on63=b48g=>{t:h<1<7<t=3f1>1`<5;hm6<9m;|q1g<<72=q6>i<5190897c32=l01?7n:e;897d42m30q~<n4;296~;5l90?j63=be823g=z{;i<6=4;{<0g4?7?:279i?4;f:?1=<<c1279mk4k9:p6d5=838p1?mi:5d897dd28=i7p}=c783>1}::jl1=5<4=3g2>1`<5;326il4=3cg>a?<uz8j97>52z?1`4<3n279nh4>7c9~w7e?290?w0<k1;3;6>;5m:0?j63=9`8gf>;5j80o56s|2cc94?4|5;i?6964=3`b>41e3ty9o84?:3y>6f2=9;301?m::05a?xu5j10;6>u22b190==::kk185522c:952d<uz8in7>53z?1g6<6:016>oo519:897de28=i7p}=b783>6}::j8185522c:90==::k<1=:l4}r0a=?6=;r79o?4>289>6g>=91201?l6:05a?xu5j=0;6>u22b390==::k<185522c6952d<uz8i;7>53z?1g4<6:016>o8519:897d028=i7p}=b383>6}::j:185522c690==::k81=:l4}r0a1?6=;r79o=4>289>6g2=91201?l::05a?xu5j90;6>u22cd90==::k8185522c2952d<uz8i?7>53z?1fc<6:016>o<519:897d428=i7p}=ad83>6}::ko185522c290==::ho1=:l4}r0a5?6=;r79nh4>289>6g6=91201?l>:05a?xu5ij0;6>u22cf90==::ho185522`a952d<uz8jj7>53z?1fa<6:016>lk519:897ga28=i7p}=ae83>6}::ki1=?74=3c`>4>?348jh7?8b:p6a`=83?p1?k7:0:1?87>93n270?60;fb?84?13n270::8;1f?xu5ll0;68u22d595=4<58396i74=0;2>ag<5;226il4=57;>6b<uz8oh7>55z?1a3<60;16=4=5d89>5<4=lh16>565d89>00>=;j1v?jl:18684b=3;3>63>958g=>;61:0om63=898gf>;3=108n6s|2e`94?3|5;o?6<6=;<3:1?b>34;287jn;<0;3?b>34>>47=n;|q1`d<72<q6>h=5190894?12m301<7::ec897>02mh019;7:2;8yv4c13:19v3=e382<7=:90=1h4521849`d=::1<1h45244:97==z{;n36=4;{<0f5?7?:27:5:4ka:?1<3<cj27?954<7:p620=839p1?9;:5d897122=l01?98:05a?xu5?=0;6?u226695=4<5;=<6i74}r044?6=:r79:k4;f:?134<6?k1v?8k:185841n3;3>63=728gf>;5?80on63=768gf>;5>k0o563=6`8gg>{t:>81<7<t=34f>1`<5;=86<9m;|q12f<72?q6>;k5190897142m301?9>:ec897102mk01?8m:ec8970f2mh0q~<i4;296~;4890?463=f5823g=z{;l>6=4={<134?751279j84>7c9~w67b2909w0=?0;34f>;49<0?j6s|2g194?5|5::26964=3d7>1><5;l86<9m;|q1bc<72:q6?=7513;897`3282370<if;34f>{t;;=1<7<t=22:>41e349:h7:i;|q0gg<72<q6>k:5509>7a3=91801>k6:5d896272mi01?hi:e;8yv4a:3:1?v3<0987<>;5n:0?463=f3823g=z{;ln6=4<{<13<?751279j>4>899>6cc=9>h0q~==6;296~;4810:;o5230a90c=z{:ij6=4:{<0e7?36349o87?72:?0a=<3n278?k4ka:?1b`<c12wx>k?50;1x96602=201?h=:5:897`628=i7p}=fe83>6}:;9=1=?74=3d1>4>?348mh7?8b:p773=838p1>>8:05a?856j3>m7p}<c883>0}::o819<523e195=4<5:o<69h4=21e>ae<5;lo6i74}r0e4?6=;r78<;4;8:?1b4<30279j=4>7c9~w7`d2908w0=?6;31=>;5n80:45522ga952d<uz9987>52z?043<6?k16?<o54g9~w6e?290>w0<i1;72?85c:3;3>63<e787b>;4;l0om63=fb8g=>{t:ll1<7=t=226>1><5;l;6964=3ge>41e3ty9jo4?:2y>753=9;301?h?:0:;?84aj3;<n6s|33194?4|5::>6<9m;<12=?2a3ty8o:4?:4y>6c6==816?i?5190896c22=l01>=j:ea897`e2m30q~<je;297~;48=0?463=eg87<>;5ml0:;o5rs3db>5<4s49;87?=9:?1ac<60116>ko516`8yv55:3:1>v3<05823g=:;8218k5rs2a5>5<2s48nj7;>;<1g4?7?:278i94;f:?07a<ci279jl4k9:p6`b=839p1>><:5:897cb2=201?kk:05a?xu5n00;6>u2311957?<5;on6<67;<0e=?70j2wx???50;0x966428=i70=>7;6e?xu4k<0;68u22dg914=:;jl1=5<4=2g0>1`<5:9o6im4=3d:>a?<uz8no7>53z?047<30279ii4;8:?1af<6?k1v?h7:180857:3;9563=ee82<==::o21=:l4}r114?6=:r78<?4>7c9>740=<o1v>m;:18684bl3?:70=le;3;6>;4m;0?j63<3b8ge>;5n10o56s|2d`94?5|5:::6964=3g`>1><5;oi6<9m;|q1b3<72:q6?=?513;897cd282370<i7;34f>{t;8l1<7<t=222>41e349:87:i;|q037<72;kp1?km:43896de2=<01>l6:54896df2=<01>ll:54896dc2=<01>m?:54896db2=<01>li:54896e62=<01>m=:54896ea2=<01>mk:54896eb2=<01>j?:54896b62=<01>j;:54896b52=<01>j<:54896b22=<01>j9:54896gc2=<01>ol:54896gb2=<01>on:54896g>2=<01>om:54896g02=<01>o9:54896g?2=<01>o::54896cf2=<01>k6:54896c?2=<01>k8:54896c12=<01>k::54896c32=<01>k<:54896c52=<01>k>:548961428=i7p}<0`83>7}:;8?1=5<4=20b>ae<uz9:?7>52z?05a<60;16??o5dc9~w6752909w0=>c;3;6>;4:h0om6s|30394?4|5:;i6<6=;<11e?b>3ty8==4?:3y>74g=91801><m:ec8yv57n3:1>v3<1882<7=:;;h1h45rs22f>5<5s49:47?72:?06f<ck2wx?=j50;0x9670282970==c;fa?xu48j0;6?u230495=4<5:8h6io4}r13f?6=:r78=94>839>77e=l01v><k:18085503>=70=9a;65?851l3;<n6s|33;94?4|5:8369h4=20a>41e3ty94h4?:3y>6<5=<116>4<516`8yv4>03:1>v3=9282<==::0n1=:l4}r0:b?6=:r795>4>7c9>6d4=l01v?6k:18184>:3>370<61;34f>{t:0=1<7<t=3;1>4>?3482o7?8b:p6=e=838p1?7>:5:897?728=i7p}=9783>7}::0;1=564=3;a>41e3ty94o4?:3y>6<6=<116>5h516`8yv4>=3:1>v3=9182<==::0k1=:l4}r0:0?6=:r794k4>899>6<?=9>h0q~<8b;296~;5090?463=7g823g=z{;2>6=4={<0;4?7?02794l4>7c9~w7?b2909w0<70;34f>;5i;0on6s|26c94?4|5;=m6964=35f>41e3ty9494?:3y>62`=91201?66:05a?xu5?00;6?u226g90==::>n1=:l4}r0;7?6=:r79;h4>899>6=>=9>h0q~<88;296~;5?m0?463=7b823g=z{;296=4={<04`?7?02794:4>7c9~w7>62909w0<8c;3;<>;50?0:;o5rs2;g>5<3s49in7:i;<1b3?7?:278:;4kc:?0<6<c12wx?o?50;1x96de282970=lf;6e?85283nh7p}<9c83>1}:;k318k523`795=4<5:<>6il4=25e>a?<uz9jj7>53z?0f<<60;16?nj54g9>71`=lk1v>7l:18785ei3>m70=n6;3;6>;4><0om63<808g=>{t;k:1<7=t=2`b>4>5349hi7:i;<17b?bf3ty85h4?:5y>7ge=<o16?l65190896012mk01>6::e;8yv5e:3:1?v3<bb82<7=:;m:18k523429`d=z{:3m6=4;{<1a`?2a349j57?72:?022<ck2784:4k9:p7g5=839p1>lk:0:1?85c93>m70=:1;f`?xu4i;0;69u23b290c=:;hi1=5<4=24;>ag<5:2o6i74}r1a2?6=;r78o=4>839>7a2=<o16?8<5d`9~w6g7290?w0=me;6e?85fi3;3>63<668ge>;4000o56s|3c694?5|5:hn6<6=;<1g6?2a349>=7jn;|q0e4<72=q6?oh54g9>7dd=91801>87:ea896>e2m30q~=m5;297~;4jo0:4?523e190c=:;<81hn5rs2c0>5<3s49h=7:i;<1b`?7?:278:44kc:?0<c<c12wx?o950;1x96e6282970=k5;6e?852;3nh7p}<a583>1}:;j818k523`g95=4<5:<26io4=2;b>a?<uz9i47>53z?0g7<60;16?i854g9>705=lh1v>m<:18785dl3;3>63<e087b>;4;j0on63=f68g<>{t;ji1<7:t=2f5>4>5349nm7:i;<174?bf348m97j6;|q03f<72;q6?lj54g9>7<>=9>h0q~=8b;296~;4ij0?j63<96823g=z{:=o6=4={<1ba?2a349257?8b:p72?=838p1>on:5d896?228=i7p}<7983>7}:;h318k52386952d<uz9<m7>52z?0eg<3n2785;4>7c9~w6112909w0=n7;6e?85>:3;<n6s|36794?4|5:k=69h4=2;2>41e3ty8;:4?:3y>7d>=<o16?4=516`8yv50<3:1>v3<a487b>;4190:;o5rs2:f>5<5s49257:7;<1;a?70j2wx?4o50;0x96?>288270=6a;34f>{t;1i1<7=t=2;;>1><5:2n6964=2:`>41e3ty84k4?:2y>7<>=9;301>6j:0:;?85?n3;<n6s|39c94?5|5:3<6964=2:`>1><5:2j6<9m;|q0<a<72:q6?49513;896>d282370=7d;34f>{t;121<7=t=2;5>1><5:2j6964=2:;>41e3ty84o4?:2y>7<0=9;301>6n:0:;?85?j3;<n6s|39494?5|5:3>6964=2:;>1><5:2=6<9m;|q0<<<72:q6?4;513;896>?282370=79;34f>{t;1>1<7=t=2;7>1><5:2=6964=2:7>41e3ty84:4?:2y>7<2=9;301>69:0:;?85??3;<n6s|39094?5|5:386964=2:7>1><5:296<9m;|q0<0<72:q6?4=513;896>3282370=75;34f>{t;1:1<7=t=2;1>1><5:296964=2:3>41e3ty84>4?:2y>7<4=9;301>6=:0:;?85?;3;<n6s|36g94?5|5:3:6964=2:3>1><5:=n6<9m;|q0<4<72:q6?4?513;896>7282370=71;34f>{t;>l1<7=t=2;3>44>349<i7?78:?03c<6?k1v>ji:18785b13;3>63>988g=>;6110om63;59800>{t;mo1<7:t=2g;>4>534;2m7j6;<3:=?bf34>>47=<;|q0`a<72=q6?h95190894?e2m301<7n:ec8913?2:80q~=kc;290~;4m?0:4?5218a9`<=:90h1hl5244:974=z{:ni6=4;{<1f1?7?:27:5i4k9:?2=f<ci27?954<0:p7ag=83>p1>k;:0:1?87>m3n270?6d;fb?822038m7p}<d883>1}:;l91=5<4=0;e>a?<583n6io4=57;>7c<uz9o47>54z?0a7<60;16=l>5d89>5<`=lh1688652e9~w6b02908w0=j1;3;6>;6i90om63;5981g>{t;:=1<7<t=211>4>?3498o7?8b:p77c=838p1>==:05a?854;3>37p}<3983>7}:;:91=564=21g>41e3ty8>k4?:3y>765=9>h01>=;:5:8yv5413:1>v3<3582<==:;:o1=:l4}r104?6=:r78?94>7c9>763=<11v>=n:181854=3;3463<3g823g=z{:9:6=4={<101?70j278?;4;8:p76d=838p1>=9:0:;?85383;<n6s|34694?4|5:9=6<9m;<145?be3ty88l4?:3y>713=91201>:i:05a?xu4<80;6?u2357952d<5:>=6964}r17f?6=:r788;4>899>706=9>h0q~=;2;296~;4<?0:;o5235590==z{:>h6=4={<173?7?02789<4>7c9~w6242909w0=;7;34f>;4<10?46s|35f94?4|5:>36<67;<166?70j2wx?9:50;0x962?28=i70=;9;6;?xu4<l0;6?u235;95=><5:?86<9m;|q010<72:q6?97516`896162m301>8l:e`8yv5183:1>v3<5c82<==:;??1=:l4}r163?6=:r789o4>7c9>70e=<11v>8>:181852k3;3463<67823g=z{:?36=4={<16g?70j2789i4;8:p734=838p1>;k:0:;?851?3;<n6s|34;94?4|5:?o6<9m;<16a?2?3ty8:>4?:3y>70c=91201>87:05a?xu4=h0;6?u234g952d<5:?m6964}r150?6=:r789k4>899>73?=9>h0q~=:6;296~;4=o0:;o5237a9`<=z{:=;6=4<{<15a?2a349=j7:i;<145?70j2wx?;k50;6x960b282970=82;fb?850;3nj70=9d;f;?xu4>k0;6?u237c90c=:;?i1=:l4}r66f?6=:<q68?j5479>07e=<?168?l5479>07g=<?168?75479>07>=<?168?85479>073=<?168?:5479>075=<?168?<5479>077=<?168?>5479>04`=<?168<k5479>04b=<?168<l5479>04g=<?168<75479>04>=<?168<95479>040=<?168<;5479>042=<?168<=5479>044=<?168>=5479>064=<?168>?5479>066=<?168?h5479>07c=<?168?95479>04e=<?168<?5479>046=<?16>;l516`8yv2283:1>v3;2e87b>;3=10h;6s|45d94?4|5=8h69h4=57;>g1<uz>?i7>52z?76g<3n27?954m5:p01b=838p19<n:5d8913?2k90q~:;c;296~;3:00?j63;598a6>{t<=h1<7<t=50;>1`<5=?36o?4}r67=?6=:r7?>;4;f:?71=<e82wx89650;0x91422=l019;7:`d8yv23?3:1>v3;2587b>;3=10ji6s|45494?4|5=8869h4=57;>f3<uz>?97>52z?767<3n27?954nd:p012=838p19<>:5d8913?2hi0q~:;3;296~;3:90?j63;598bf>{t<=81<7<t=53e>1`<5=?36lo4}r675?6=:r7?=h4;f:?71=<f02wx89>50;0x917c2=l019;7:`58yv24m3:1>v3;1c87b>;3=10j:6s|42f94?4|5=;j69h4=57;>d3<uz>8o7>52z?75<<3n27?954l4:p06d=838p19?7:5d8913?2h>0q~:<a;296~;39>0?j63;598b7>{t<:31<7<t=535>1`<5=?36l<4}r60<?6=:r7?=84;f:?71=<f92wx8>950;0x91732=l019;7:`28yv24>3:1>v3;1287b>;3=102j6s|42794?4|5=;969h4=57;>gc<uz>>;7>52z?776<3n27?954md:p000=838p19==:5d8913?2j90q~::5;296~;3;80?j63;598ag>{t<<>1<7<t=513>1`<5=?36ol4}r667?6=:r7?>k4;f:?71=<ei2wx88<50;0x914b2=l019;7:c;8yv2293:1>v3;2687b>;3=10i46s|45c94?4|5=;h69h4=57;>g2<uz>8j7>52z?754<3n27?954n9:p062=838p19??:5d8913?20o0q~==a;296~;4:h0:;o5233`9`g=z{=?26=4={<66e?70j27?954l9:p7`d=83?p1>9>:ec8960d2mk01>9=:05a?82203><863;598`<>{t9?:1<7<t=300>ag<5;896<<n;|q22g<72;q6=n?5d`9>5f6=9;k0q~<=4;296~;5:?0on63=23823g=z{8i96=4={<3`0?be34;h<7?8b:p560=838p1><l:05a?855j3nh7ps|54d94?4|V<?m70:<:47e?!2083;=h6s|57594?4|V<<<70:<:444?!2083;=i6s|54394?4|V<?:70:<:472?!2083;=j6s|4c294?4|V=3n70:<:5;f?!2083;?>6s|4`g94?4|V=3o70:<:5;g?!2083;?h6s|4`f94?4|V=3h70:<:5;`?!2083;>;6s|4`a94?4|V=3i70:<:5;a?!2083;>56s|4``94?4|V=3j70:<:5;b?!2083;>m6s|4`c94?4|V=3270:<:5;:?!2083;>n6s|4`;94?4|V=3370:<:5;;?!2083;>h6s|4`:94?4|V=3<70:<:5;4?!2083;>i6s|4c;94?4|V=k=70:<:5c5?!2083;>j6s|4c:94?4|V=k>70:<:5c6?!2083;==6s|4c594?4|V=k?70:<:5c7?!2083;=>6s|4c494?4|V=k870:<:5c0?!2083;=?6s|4c794?4|V=k970:<:5c1?!2083;=86s|4c694?4|V=k:70:<:5c2?!2083;=96s|4c194?4|V=k;70:<:5c3?!2083;=:6s|4c094?4|V=3m70:<:5;e?!2083;=;6s|4c394?4|V=3=70:<:5;5?!2083;=46s|4`594?4|V=3>70:<:5;6?!2083;=56s|56194?4|V<=870:<:450?!2083;=m6s|54f94?4|V<?o70:<:47g?!2083;=o6s|4g`94?4|V=n<70:<:5f4?!2083;<<6s|4g;94?4|V=n=70:<:5f5?!2083;<=6s|4g:94?4|V=n>70:<:5f6?!2083;<>6s|4g594?4|V=n?70:<:5f7?!2083;<?6s|4g494?4|V=n870:<:5f0?!2083;<86s|4g794?4|V=n970:<:5f1?!2083;<96s|4g194?4|V=n;70:<:5f3?!2083;<:6s|4g094?4|V=im70:<:5ae?!2083;<;6s|4g394?4|V=in70:<:5af?!2083;<46s|4g294?4|V=io70:<:5ag?!2083;846s|4dd94?4|V=ih70:<:5a`?!2083;856s|4dg94?4|V=ii70:<:5aa?!2083;8m6s|4df94?4|V=ij70:<:5ab?!2083;8n6s|4da94?4|V=i270:<:5a:?!2083;8o6s|4d`94?4|V=i370:<:5a;?!2083;8h6s|4dc94?4|V=i<70:<:5a4?!2083;8i6s|4d:94?4|V=i>70:<:5a6?!2083;8j6s|4d594?4|V=i?70:<:5a7?!2083;?<6s|4d494?4|V=i870:<:5a0?!2083;?=6s|4d794?4|V=i970:<:5a1?!2083;??6s|4d694?4|V=i:70:<:5a2?!2083;?86s|4d194?4|V=i;70:<:5a3?!2083;?96s|4d094?4|V=hm70:<:5`e?!2083;?:6s|4d394?4|V=hn70:<:5`f?!2083;?;6s|4d294?4|V=ho70:<:5`g?!2083;?46s|4ed94?4|V=hh70:<:5``?!2083;?56s|51094?4|V=no70:<:5fg?!2083;?m6s|51394?4|V=nh70:<:5f`?!2083;?n6s|51294?4|V=ni70:<:5fa?!2083;?o6s|4gd94?4|V=nj70:<:5fb?!2083;?i6s|4gg94?4|V=n270:<:5f:?!2083;?j6s|4gf94?4|V=n370:<:5f;?!2083;><6s|4ga94?4|V=n:70:<:5f2?!2083;>=6s|4g694?4|V=i=70:<:5a5?!2083;>>6s|4d;94?4|V=hi70:<:5`a?!2083;>?6s|4eg94?4|V=hj70:<:5`b?!2083;>86s|51c94?4|V<:j70:<:42b?!2083;>96s|49794?4|V=2>70:<:5:6?!2083;>:6s|51694?4|V<:?70:<:427?!2083;>46s|53d94?4|V<8m70:<:40e?!2083;>o6srn`f:>5<5sA><=6saaec94?4|@==:7p`ndc83>7}O<>;0qcokc;296~N3?81vbljk:181M2092wemik50;0xL1163tdjhk4?:3yK027<ugkn<7>52zJ734=zfho:6=4={I645>{iil81<7<tH552?xhfm:0;6?uG4638ykgb<3:1>vF;709~jdc22909wE:81:me`0=838pD99>;|lba2<72;qC8:?4}ocf<?6=:rB?;<5rn`g:>5<5sA><=6saadc94?4|@==:7p`nec83>7}O<>;0qcojc;296~N3?81vblkk:181M2092wemhk50;0xL1163tdjik4?:3yK027<ugkm<7>52zJ734=zfhl:6=4={I645>{iio81<7<tH552?xhfn:0;6?uG4638ykga<3:1>vF;709~jd`22909wE:81:mec0=838pD99>;|lbb2<72;qC8:?4}oce<?6=:rB?;<5rn`d:>5<5sA><=6saagc94?4|@==:7p`nfc83>7}O<>;0qcoic;296~N3?81vblhk:181M2092wemkk50;0xL1163tdjjk4?:3yK027<ugh;<7>52zJ734=zfk::6=4={I645>{ij981<7<tH552?xhe8:0;6?uG4638ykd7<3:1>vF;709~jg622909wE:81:mf50=838pD99>;|la42<72;qC8:?4}o`3<?6=:rB?;<5rnc2:>5<5sA><=6sab1c94?4|@==:7p`m0c83>7}O<>;0qcl?c;296~N3?81vbo>k:181M2092wen=k50;0xL1163tdi<k4?:3yK027<ugh:<7>52zJ734=zfk;:6=4={I645>{ij881<7<tH552?xhe9:0;6?uG4638ykd6<3:1>vF;709~jg722909wE:81:mf40=838pD99>;|la52<72;qC8:?4}o;2e?6=9rB?;<5rn87:>5<6sA><=6sa94c94?7|@==:7p`65c83>4}O<>;0qc7:c;295~N3?81vb4;k:182M2092we58k50;3xL1163td29k4?:0yK027<ug3=<7>51zJ734=zf0<:6=4>{I645>{i1?81<7?tH552?xh>>:0;6<uG4638yk?1<3:1=vF;709~j<02290:wE:81:m=30=83;pD99>;|l:22<728qC8:?4}o;5<?6=9rB?;<5rn84:>5<6sA><=6sa97c94?7|@==:7p`66c83>4}O<>;0qc79c;295~N3?81vb48k:182M2092we5;k50;3xL1163td2:k4?:0yK027<ug3<<7>51zJ734=zf0=:6=4>{I645>{i1>81<7?tH552?xh>?:0;6<uG4638yk?0<3:1=vF;709~j<12290:wE:81:m=20=83;pD99>;|l:32<728qC8:?4}o;4<?6=9rB?;<5rn85:>5<6sA><=6sa96c94?7|@==:7p`67c83>4}O<>;0qc78c;295~N3?81vb49k:182M2092we5:k50;3xL1163td2;k4?:0yK027<ug33<7>51zJ734=zf02:6=4>{I645>{i1181<7?tH552?xh>0:0;6<uG4638yk??<3:1=vF;709~j<>2290:wE:81:m==0=83;pD99>;|l:<2<728qC8:?4}o;;<?6=9rB?;<5rn8::>5<6sA><=6sa99c94?7|@==:7p`68c83>4}O<>;0qc77c;295~N3?81vb46k:182M2092we55k50;3xL1163td24k4?:0yK027<ug32<7>51zJ734=zf03:6=4>{I645>{i1081<7?tH552?xh>1:0;6<uG4638yk?><3:1=vF;709~j<?2290:wE:81:m=<0=83;pD99>;|l:=2<728qC8:?4}o;:<?6=9rB?;<5rn8;:>5<6sA><=6sa98c94?7|@==:7p`69c83>4}O<>;0qc76c;295~N3?81vb47k:182M2092we54k50;3xL1163td25k4?:0yK027<ug3j<7>51zJ734=zf0k:6=4>{I645>{i1h81<7?tH552?xh>i:0;6<uG4638yk?f<3:1=vF;709~j<g2290:wE:81:m=d0=83;pD99>;|l:e2<728qC8:?4}o;b<?6=9rB?;<5rn8c:>5<6sA><=6sa9`c94?7|@==:7p`6ac83>4}O<>;0qc7nc;295~N3?81vb4ok:182M2092we5lk50;3xL1163td2mk4?:0yK027<ug3i<7>51zJ734=zf0h:6=4>{I645>{i1k81<7?tH552?xh>j:0;6<uG4638yk?e<3:1=vF;709~j<d2290:wE:81:m=g0=83;pD99>;|l:f2<728qC8:?4}o;a<?6=9rB?;<5rn8`:>5<6sA><=6sa9cc94?7|@==:7p`6bc83>4}O<>;0qc7mc;295~N3?81vb4lk:182M2092we5ok50;3xL1163td2nk4?:0yK027<ug3h<7>51zJ734=zf0i:6=4>{I645>{i1j81<7?tH552?xh>k:0;6<uG4638yk?d<3:1=vF;709~j<e2290:wE:81:m=f0=83;pD99>;|l:g2<728qC8:?4}o;`<?6=9rB?;<5rn8a:>5<6sA><=6sa9bc94?7|@==:7p`6cc83>4}O<>;0qc7lc;295~N3?81vb4mk:182M2092we5nk50;3xL1163td2ok4?:0yK027<ug3o<7>51zJ734=zf0n:6=4>{I645>{i1m81<7?tH552?xh>l:0;6<uG4638yk?c<3:1=vF;709~j<b2290:wE:81:m=a0=83;pD99>;|l:`2<728qC8:?4}o;g<?6=9rB?;<5rn8f:>5<6sA><=6sa9ec94?7|@==:7p`6dc83>4}O<>;0qc7kc;295~N3?81vb4jk:182M2092we5ik50;3xL1163td2hk4?:0yK027<ug3n<7>51zJ734=zf0o:6=4>{I645>{i1l81<7?tH552?xh>m:0;6<uG4638yk?b<3:1=vF;709~j<c2290:wE:81:m=`0=83;pD99>;|l:a2<728qC8:?4}o;f<?6=9rB?;<5rn8g:>5<6sA><=6sa9dc94?7|@==:7p`6ec83>4}O<>;0qc7jc;295~N3?81vb4kk:182M2092we5hk50;3xL1163td2ik4?:0yK027<ug3m<7>51zJ734=zf0l:6=4>{I645>{i1o81<7?tH552?xh>n:0;6<uG4638yk?a<3:1=vF;709~j<`2290:wE:81:m=c0=83;pD99>;|l:b2<728qC8:?4}o;e<?6=9rB?;<5rn8d:>5<6sA><=6sa9gc94?7|@==:7p`6fc83>4}O<>;0qc7ic;295~N3?81vb4hk:182M2092we5kk50;3xL1163td2jk4?:0yK027<ugk;<7>51zJ734=zfh::6=4>{I645>{ii981<7?tH552?xhf8:0;6<uG4638ykg7<3:1=vF;709~jd62290:wE:81:me50=83;pD99>;|lb42<728qC8:?4}oc3<?6=9rB?;<5rn`2:>5<6sA><=6saa1c94?7|@==:7p`n0c83>4}O<>;0qco?c;295~N3?81vbl>k:182M2092wem=k50;3xL1163tdj<k4?:0yK027<ugk:<7>51zJ734=zfh;:6=4>{I645>{ii881<7?tH552?xhf9:0;6<uG4638ykg6<3:1=vF;709~jd72290:wE:81:me40=83;pD99>;|lb52<728qC8:?4}oc2<?6=9rB?;<5rn`3:>5<6sA><=6saa0c94?7|@==:7p`n1c83>4}O<>;0qco>c;295~N3?81vbl?k:182M2092wem<k50;3xL1163tdj=k4?:0yK027<ugk9<7>51zJ734=zfh8:6=4>{I645>{ii;81<7?tH552?xhf::0;6<uG4638ykg5<3:1=vF;709~jd42290:wE:81:me70=83;pD99>;|lb62<728qC8:?4}oc1<?6=9rB?;<5rn`0:>5<6sA><=6saa3c94?7|@==:7p`n2c83>4}O<>;0qco=c;295~N3?81vbl<k:182M2092wem?k50;3xL1163tdj>k4?:0yK027<ugk8<7>51zJ734=zfh9:6=4>{I645>{ii:81<7?tH552?xhf;:0;6<uG4638ykg4<3:1=vF;709~jd52290:wE:81:me60=83;pD99>;|lb72<728qC8:?4}oc0<?6=9rB?;<5rn`1:>5<6sA><=6saa2c94?7|@==:7p`n3c83>4}O<>;0qco<c;295~N3?81vbl=k:182M2092wem>k50;3xL1163tdj?k4?:0yK027<ugk?<7>51zJ734=zfh>:6=4>{I645>{ii=81<7?tH552?xhf<:0;6<uG4638ykg3<3:1=vF;709~jd22290:wE:81:me10=83;pD99>;|lb02<728qC8:?4}oc7<?6=9rB?;<5rn`6:>5<6sA><=6saa5c94?7|@==:7p`n4c83>4}O<>;0qco;c;295~N3?81vbl:k:182M2092wem9k50;3xL1163tdj8k4?:0yK027<ugk><7>51zJ734=zfh?:6=4>{I645>{ii<81<7?tH552?xhf=:0;6<uG4638ykg2<3:1=vF;709~jd32290:wE:81:me00=83;pD99>;|lb12<728qC8:?4}oc6<?6=9rB?;<5rn`7:>5<6sA><=6saa4c94?7|@==:7p`n5c83>4}O<>;0qco:c;295~N3?81vbl;k:182M2092wem8k50;3xL1163tdj9k4?:0yK027<ugk=<7>51zJ734=zfh<:6=4>{I645>{ii?81<7?tH552?xhf>:0;6<uG4638ykg1<3:1=vF;709~jd02290:wE:81:me30=83;pD99>;|lb22<728qC8:?4}oc5<?6=9rB?;<5rn`4:>5<6sA><=6saa7c94?7|@==:7p`n6c83>4}O<>;0qco9c;295~N3?81vbl8k:182M2092wem;k50;3xL1163tdj:k4?:0yK027<ugk<<7>51zJ734=zfh=:6=4>{I645>{ii>81<7?tH552?xhf?:0;6<uG4638ykg0<3:1=vF;709~jd12290:wE:81:me20=83;pD99>;|lb32<728qC8:?4}oc4<?6=9rB?;<5rn`5:>5<6sA><=6saa6c94?7|@==:7p`n7c83>4}O<>;0qco8c;295~N3?81vbl9k:182M2092wem:k50;3xL1163tdj;k4?:0yK027<ugk3<7>51zJ734=zfh2:6=4>{I645>{ii181<7?tH552?xhf0:0;6<uG4638ykg?<3:1=vF;709~jd>2290:wE:81:me=0=83;pD99>;|lb<2<728qC8:?4}oc;<?6=9rB?;<5rn`::>5<6sA><=6saa9c94?7|@==:7p`n8c83>4}O<>;0qco7c;295~N3?81vbl6k:182M2092wem5k50;3xL1163tdj4k4?:0yK027<ugk2<7>51zJ734=zfh3:6=4>{I645>{ii081<7?tH552?xhf1:0;6<uG4638ykg><3:1=vF;709~jd?2290:wE:81:me<0=83;pD99>;|lb=2<728qC8:?4}oc:<?6=9rB?;<5rn`;:>5<6sA><=6saa8c94?7|@==:7p`n9c83>4}O<>;0qco6c;295~N3?81vbl7k:182M2092wem4k50;3xL1163tdj5k4?:0yK027<ugkj<7>51zJ734=zfhk:6=4>{I645>{iih81<7?tH552?xhfi:0;6<uG4638ykgf<3:1=vF;709~jdg2290:wE:81:med0=83;pD99>;|lbe2<728qC8:?4}ocb<?6=9rB?;<5rn`c:>5<6sA><=6saa`c94?7|@==:7p`nac83>4}O<>;0qconc;295~N3?81vblok:182M2092wemlk50;3xL1163tdjmk4?:0yK027<ugki<7>51zJ734=zfhh:6=4>{I645>{iik81<7?tH552?xhfj:0;6<uG4638ykge<3:1=vF;709~jdd2290:wE:81:meg0=83;pD99>;|lbf2<728qC8:?4}oca<?6=9rB?;<5rn``:>5<6sA><=6saacc94?7|@==:7p`nbc83>4}O<>;0qcomc;295~N3?81vbllk:182M2092wemok50;3xL1163tdjnk4?:0yK027<ugkh<7>51zJ734=zfhi:6=4>{I645>{iij81<7?tH552?xhfk:0;6<uG4638ykgd<3:1=vF;709~jde2290:wE:81:mef0=83;pD99>;|lbg2<728qC8:?4}oc`<?6=9rB?;<5rn`a:>5<6sA><=6saabc94?7|@==:7p`ncc83>4}O<>;0qcolc;295~N3?81vblmk:182M2092wemnk50;3xL1163tdjok4?:0yK027<ugko<7>51zJ734=zfhn:6=4>{I645>{iim81<7?tH552?xhfl:0;6<uG4638ykgc<3:1=vF;709~jdb2290:wE:81:mea0=83;pD99>;|lb`2<728qC8:?4}ocg<?6=9rB?;<5r}|CDF}dl10<jh:ke93~DED|8tJK\vsO@
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v deleted file mode 100644 index 25ac9779e..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_18to36.v when simulating -// the core, fifo_xlnx_512x36_2clk_18to36. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_512x36_2clk_18to36( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - almost_full, - empty, - prog_full); - - -input rst; -input wr_clk; -input rd_clk; -input [17 : 0] din; -input wr_en; -input rd_en; -output [35 : 0] dout; -output full; -output almost_full; -output empty; -output prog_full; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(10), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(18), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(0), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(1), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(0), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(0), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("1kx18"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(1015), - .C_PROG_FULL_THRESH_NEGATE_VAL(1014), - .C_PROG_FULL_TYPE(1), - .C_RD_DATA_COUNT_WIDTH(9), - .C_RD_DEPTH(512), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(9), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(0), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(10), - .C_WR_DEPTH(1024), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(10), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .ALMOST_FULL(almost_full), - .EMPTY(empty), - .PROG_FULL(prog_full), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .RD_DATA_COUNT(), - .WR_DATA_COUNT(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.veo b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.veo deleted file mode 100644 index db2795098..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_512x36_2clk_18to36 YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [17 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .almost_full(almost_full), - .empty(empty), - .prog_full(prog_full)); - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_18to36.v when simulating -// the core, fifo_xlnx_512x36_2clk_18to36. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco deleted file mode 100644 index f888ba5f4..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.1 -# Date: Wed Aug 18 17:27:35 2010 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = false -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=true -CSET component_name=fifo_xlnx_512x36_2clk_18to36 -CSET data_count=false -CSET data_count_width=10 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=0 -CSET full_threshold_assert_value=1015 -CSET full_threshold_negate_value=1014 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=18 -CSET input_depth=1024 -CSET output_data_width=36 -CSET output_depth=512 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant -CSET read_clock_frequency=1 -CSET read_data_count=false -CSET read_data_count_width=9 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=false -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=false -CSET write_data_count_width=10 -# END Parameters -GENERATE -# CRC: 77234081 diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise deleted file mode 100644 index 04acaf578..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise +++ /dev/null @@ -1,72 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_xlnx_512x36_2clk_18to36.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_xlnx_512x36_2clk_18to36.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc3s2000" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan3" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_xlnx_512x36_2clk_18to36" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_xlnx_512x36_2clk_18to36.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_xlnx_512x36_2clk_18to36" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="fg456" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_xlnx_512x36_2clk_18to36" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-08-18T10:27:37" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="224FA43C81F32871F9E1930EA6CDD6AD" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_flist.txt deleted file mode 100644 index 2f8d522f6..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_flist.txt +++ /dev/null @@ -1,12 +0,0 @@ -# Output products list for <fifo_xlnx_512x36_2clk_18to36> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_xlnx_512x36_2clk_18to36.gise -fifo_xlnx_512x36_2clk_18to36.ngc -fifo_xlnx_512x36_2clk_18to36.v -fifo_xlnx_512x36_2clk_18to36.veo -fifo_xlnx_512x36_2clk_18to36.xco -fifo_xlnx_512x36_2clk_18to36.xise -fifo_xlnx_512x36_2clk_18to36_flist.txt -fifo_xlnx_512x36_2clk_18to36_readme.txt -fifo_xlnx_512x36_2clk_18to36_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_readme.txt deleted file mode 100644 index 03829e876..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_readme.txt +++ /dev/null @@ -1,47 +0,0 @@ -The following files were generated for 'fifo_xlnx_512x36_2clk_18to36' in directory -/home/ianb/ettus/sram_fifo/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_xlnx_512x36_2clk_18to36.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_18to36.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_512x36_2clk_18to36.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_512x36_2clk_18to36.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_512x36_2clk_18to36.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_512x36_2clk_18to36.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_18to36_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_512x36_2clk_18to36_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_xlnx_512x36_2clk_18to36_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_xmdf.tcl deleted file mode 100644 index 9b9b1f37a..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_512x36_2clk_18to36_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_512x36_2clk_18to36_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_512x36_2clk_18to36_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_512x36_2clk_18to36 -} -# ::fifo_xlnx_512x36_2clk_18to36_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_512x36_2clk_18to36_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_18to36.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_18to36.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_18to36.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_18to36.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_18to36_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_512x36_2clk_18to36 -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.gise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.gise deleted file mode 100644 index d0c862319..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.gise +++ /dev/null @@ -1,30 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_xlnx_512x36_2clk_36to18.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_xlnx_512x36_2clk_36to18.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ncf b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ncf deleted file mode 100644 index e69de29bb..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ncf +++ /dev/null diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc deleted file mode 100644 index 00814f02e..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5a540<,[o}e~g`n;"2*726&;$:,)<6;.vnt*Ydo&lbjbQwloz\144;?U9oaeP37vl5=(iof;0<85?0123=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?013856=6&9;87<>5IORVP?GCL[K757>11g924?OIX\^1|ah_dosp|Ys`{oxd1750?05?46=AGZ^X7~}of]fiur~W}byi~fParqfvq:>2949:6??:HLSQQ<wzfmTi`~{y^vkv`uoWgolmyk39;2=5f=683E^X][[:sf\`drfWje~by26:1<26>712@D[YY4KI@>21?699:1::7AZTQWW>AIF48?1<3?;;0:9KPRW]]0ocxz31683:4=5:28:86<<3232?7<NFY__6IG_A=394;753;0DYY^ZT;FLTD:6294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAM<2394;753:<1EC^ZT;fjj952294o7>}=012ec131%<;0895;0GD25>2=AGZ^X7JFPC>0>586:2>1CXZ_UU8GKUD;;3:5=68=;7;7?3?>>=138??;;9G;E4=><23;<4<49768=30>9;126D@_UU8B@ATE410;2<j49;KMTPR=x{elSk{cl^vkv`uo410;2?:49;KMTPR=x{elSk{cl^vkv`uoWhyxiz38;2=61=>2@D[YY4rne\bpjkW}byi~fPndebp`:?294:4675OTVSQQ<ci}kTob{at=:94;7e300DYY^ZT;uq[agsiVidycz38;2=6>G502KOH_O30?:8EABUI5;546OKDSC?6;><IMNYM1=18:CG@WG;<720MIJ]A=7=<>GCL[K7:364AEFQE91902KOH_O38?c8EABUI531<364AEFQE9?902KOH_L30?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF919i2KOH_L38;2=<>GCL[H743=4AMN:?DU^FJUYIJ=4BT0;?GSTW@DMC<5L2:AF57=D@LI@SAGLEOQF[Q_WM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JL2:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE973601OEL2>5;2=3>BNI5;>2;5KI@>2:3=CAH692;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::3=CAK6;2:5KIC>24;1<L@H7=<08;EKA8449?2NBN1?<>69GMG:6<7=0HDL314<4?AOE48<5;6JFB=34:2=CAK6:4394DH@?5<813MCI0<08;EKA8769?2NBN1<>>69GMG:5:7=0HDL322<4?AOE4;>5;6JFB=06:2=CAK69:394DH@?62803MCI0?617:FJF94>6?1OEO2=>69GMG:48730HDL33083:2=CAK68=384DH@?7;0<L@H78384DH@?1;0<L@H7:384DH@?3;0<L@H74384DH@?=;1<L@ZJ0=06;EKSE97=87=0HD^N<0<4?AOWJ5:5;6JFPC>2:2=CAYH7>374DHRA86<76>1OE]L33?48@JG;87=0HBO311<4?AIF48;5;6J@A=31:2=CGH6:?394DNC?518>3MEJ0<;50?58@JG;9<4=7IAN<0<5?AIF4;4=7IAN<2<5?AIF4=4=7IAN<4<5?AIF4?4=7IAN<6<5?AIF414=7IAN<8<4?AIFW[OL:6J@B=2=3>BHJ5;;2:5KOC>25;1<LFH7=?08;EMA8459?2NDN1?;>69GKG:6=7=0HBL317<4?AIE48=5;6J@B=3;:2=CGK6:5384DN@?5;1<LFH7>=08;EMA8779?2NDN1<=>69GKG:5;7=0HBL325<4?AIE4;?5;6J@B=05:2=CGK69;394DN@?6=803MEI0?716:FLF949?2NDN1=?>89GKG:493:5;6J@B=12:3=CGK682;5KOC>7:3=CGK6>2;5KOC>5:3=CGK6<2;5KOC>;:3=CGK622:5KOC]QAB1<LFZJ0=06;EMSE97=87=0HB^N<0<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?18AKG43LDIn6KA_DA@[WCFLj1NBRKLC^UQMQC53O8?7K<I039E<0=AIEYN>6HK3:DGG1=ALJO87KJ_4:DGT@2<NMXN=6I<;FLG6>O7:2C:>6G=2:K0<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41><AGC_\R>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1<AGC_S=H8;HLJPZ77?2CEEYQ>169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1<AGC_S<H8;HLJPZ47?2CEEYQ=169JJLRX:;=0ECG[_314?LHN\V8?;6GAIU]112=NF@^T>;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@1<AGC_S?H8;HLJPZ57?2CEEYQ<169JJLRX;;=0ECG[_214?LHN\V9?;6GAIU]012=NF@^T?;94IOKW[6103@DBXR=77:KMMQY41>1BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1<AGC_S>H9;HLJPZG13@DBXRL=;MK1?II13EEJHHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;6:NVP1YC=2GXKB@:;LW[G\e<Eh`d~[k}shmmg>Knffx]i}foo08J42<F8:2?6@>159M54633G;:=95A1007?K76;=1E=<:;;O3211=I98<?7C?>759M54>33G;:5>5A1368J447<2D:><:4N0010>H6::>0B<<;4:L2602<F88=86@>2618J4533G;8=>5A1518J4343G;=?6@>729M5=5<F8397C<<;O037>H59:1E>?=4N310?K43;2D99>5A2718J7143G83?6@=929M755<F:;87C==3:L076=I;=90B>;<;O157>H4?:1E?5=4N2;0?K27;2D?=>5A4318J1543G>??6@;529M035<F==87C:72:L66>H0:2D2j6@M_CWPTLHXX[E[_:5AEUULVN7<G;1DG<5_4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K<;QPF5>W63[o0^LCM17]P5=YT;?k0^HOK_GKQWQe<ZLKOSZGKTI]Bg>TBIMU\EIZG_C38W45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UC4:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0123[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv5679VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<?Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?011\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4563W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;9R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?07]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3451XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:3S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2344YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1230ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678<UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=8PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0124[Wct}e~:><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1?1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=0=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj959:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=4=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj919:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf525><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb171219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^314>S7'nxm"h gbz-gim'{nT|cz}_ckm[7473\:$kh!rg-dg}(ddbr$~iQnup\flhX;;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR;=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_703?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\376<]9%l~k }f.e`|+ekcq%yhR~ats]amkY?:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV39:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012362=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;<<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34575?2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>>1348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678;8<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?010263=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;??94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234645>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>;269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567<88=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01713>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:>=?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos23434?3\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8>1358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?89n6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0125[gbc8;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0604?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789=:>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0=0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm31?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>1:76<]9%l~k }f.e`|+ekcq%yhR~ats]dg959:91^<"i}f/pe+be&jf`t"|k_qlwvZad4=49<6[?/fpe*w`(ojr%oaew/sf\tkruWni793<?;T2,cw`)zo%lou lljz,vaYwf}xTkn29>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=5=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`8=8582_;#j|i.sd,cf~)keas#jPpovq[be;17;m7X> gsd-vc)`kq$h`fv re]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS<?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_23e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]75c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[07a3\:$kh!rg-dg}(ddbr$~iQnup\cfY19o1^<"i}f/pe+be&jf`t"|k_qlwvZadW>;m7X> gsd-vc)`kq$h`fv re]sjqtXojU3=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS4<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol050=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc9?9:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQ?249V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabY6:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQ=249V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabY4:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQ;249V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabY2:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQ9249V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabY0:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQ7249V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabY>:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g59>9:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=;=6==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U3>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z?5=2_;#j|i.sd,cf~)keas#jPpovq[beXpfx;<=>=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmPxnp34575=2_;#j|i.sd,cf~)keas#jPpovq[beXpfx;<=<=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmPxnp34555=2_;#j|i.sd,cf~)keas#jPpovq[beXpfx;<=:=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmPxnp34535=2_;#j|i.sd,cf~)keas#jPpovq[beXpfx;<=8=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmPxnp34515=2_;#j|i.sd,cf~)keas#jPpovq[beXpfx;<=6=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<1<15>S7'nxm"h gbz-gim'~xT|cz}_ckm848592_;#j|i.sd,cf~)keas#z|Ppovq[goi4;49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0>0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<5<15>S7'nxm"h gbz-gim'~xT|cz}_ckm808592_;#j|i.sd,cf~)keas#z|Ppovq[goi4?49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0:0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<9<14>S7'nxm"h gbz-gim'~xT|cz}_ckm[5473\:$kh!rg-dg}(ddbr${Qnup\flhX9;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU9>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR==0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_503?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\176<]9%l~k }f.e`|+ekcq%|~R~ats]amkY1:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV=9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS5<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34565?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>?1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw67888<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?013263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;>?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234775>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=><269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567;;8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01613>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:?=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2340403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=;>279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567>;20Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?073262=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;:?<m;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp3450Xjmn;>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123371<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<:?=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm30?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>2:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg949:91^<"i}f/pe+be&jf`t"y}_qlwvZad4:49<6[?/fpe*w`(ojr%oaew/vp\tkruWni783<?;T2,cw`)zo%lou lljz,swYwf}xTkn2:>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=4=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`828582_;#j|i.sd,cf~)keas#z|Ppovq[be;07;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS<?i;T2,cw`)zo%lou lljz,swYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_23e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]75c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[07a3\:$kh!rg-dg}(ddbr${Qnup\cfY19o1^<"i}f/pe+be&jf`t"y}_qlwvZadW>;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU3>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>3:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7=3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8785>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1=1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:36;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi35?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4?49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=5=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn632?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT=?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\<7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2?>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86:2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:56;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>0:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2;>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86>2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:16;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>4:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<27>3:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U;>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z7502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_30;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T??64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y3:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^71<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S;<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X?;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0];60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012475=R8&myj#|i/fn3*wb(o{;%~kyit.Onq}YUIDUYHRKA_GUEP775n2_;#j|i.sd,ci6)zm%l~< }fvdw+HkrpVXJAR\JGNWW[@H69;n0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`:76;n0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`:66;i0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`Y7:j1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ74=2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[hs89::0=0<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nS`{w01228586;<1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZkrp9:;=1?1379V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRczx12359799;20Y=!hrg,qb*ak8'xo#j|>.sdtbq)bey~rSkyit^da62=R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSd<i;T2,cw`)zo%l`= }d.eq5+tao~$i`~{y^dtbqYnWds<=>?319V4*aun'xm#jb?.sf,cw7)zo}mx"kbpu{\br`sW`Ufyu>?0131=>S7'nxm"h gm2-va)`zhy%~~z|/b2,gdtuqgo0=0=9:W3+bta&{l$ka>!re-dvdu)zz~x#n> c`pq}kcs484956[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$ol|}yogw878512_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(khxyuck{<2<1b>S7'nxm"h gm2-va)`zhy%~~z|/b2,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+tc'nxj#||tr-`4*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h<"x><1<17>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4:66;90Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:0?0=3:W3+bta&{l$ka>!re-dvdu)zz~x#n> v0>0:75<]9%l~k }f.eo4+tc'nxj#||tr-`4*p64=49n6[?/fpe*w`(oe:%~i!hr`q-vvrt'j:$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d8&|:S<Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f6(~8U9Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`4*p6W:Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b2,r4Y3Whdo<=>?13;8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.abvwim}6;2?74U1-dvc(un&mg<#|k/fpbw+tt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&ij~waeu>1:7?<]9%l~k }f.eo4+tc'nxj#||tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"h gm2-va)`zhy%~~z|/b3,chs&ngP=P hmr,nw7`<]9%l~k }f.eo4+tc'nxj#||tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(ods"jcT3\,div(j{;l0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%~i!hr`q-vvrt'j;$z<2?>318Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t28485;2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8692?=4U1-dvc(un&mg<#|k/fpbw+tt|z%h="x><2<17>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4:36;h0Y=!hrg,qb*ak8'xo#j|ns/pppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6)zm%l~l}!rrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+tc'nxj#||tr-`5*p6W;Ujbi>?0131f>S7'nxm"h gm2-va)`zhy%~~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/pg+btf{'xxx~!l1.t2[1Yffm:;<=?=0:W3+bta&{l$ka>!re-dvdu)zz~x#nabp103?P6(o{l%~k!hl1,q`*auiz$yy} cnos57?<]9%l~k }f.eo4+tc'nxj#||tr-qehYbey~rSklPi228Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~WohTeRa}012374=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np34566::1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw572<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~9>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu110>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|=8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{5368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr1:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by9=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp=433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d5?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>3:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1?1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4;4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2;>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5?5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az838Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw37?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot27>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp632R|{2`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6;2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;978j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8785i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=1=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2;>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx793<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4?49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv919:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>;:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|39?0g?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey040Pru3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=2=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?5;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9499o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;;7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5>5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~793?i;T2,cw`)zo%l`= }d.psjqt(kfex1811g9V4*aun'xm#jb?.sf,vuhsz&idycz37?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=:=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?=;7b3\:$kh!rg-dh5(ul&x{by| cnwmpZ66m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY69l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX:8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW:;n7X> gsd-vc)`d9$yh"|nup,gjsi|V>:i6[?/fpe*w`(oe:%~i!}povq+firf}U>=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T:<k4U1-dvc(un&mg<#|k/srmpw)dg|dS:?j;T2,cw`)zo%l`= }d.psjqt(kfexR6>e:W3+bta&{l$ka>!re-qtkru'je~byQ6239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>3:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;97897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=1=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:36;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;793<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><7<16>S7'nxm"h gm2-va)uxg~y#naznu]g5919:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8632?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?39?02?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[5463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W88:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S?<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_202?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[1463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W<8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S;<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_602?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[=463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W08?7X> gsd-vc)`d9$yh"|nup,gjsi|Vddx=>?13;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28485;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8692?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><2<17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:36;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W;Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[1Yig}:;<=?=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs494956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw848512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<3<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0>0=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28185j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W8Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]7[kis89:;=?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~>219V4*aun'xm#jb?.vp,crgt&~y"m`mq01<>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVliSd<:;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[l4c3\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQbuy23454b3\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQbuy234576l2_;#j|i.sd,ci6){%ym`Qxr^gm[l75;2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov261=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}8986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at207?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex:<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw<7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}979W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<3<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw33?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:36Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}939:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<7<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;?7Uyx?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;878j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8485i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=0=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2<>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx783<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4<49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv909:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>4:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|38?0g?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050Pru3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=2=5c=R8&myj#|i/fn3*rt(yd~"m`uov?5;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9499o1^<"i}f/pe+bj7&~x${}`{r.alqkr;;7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5>5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~793?i;T2,cw`)zo%l`= xr.usjqt(kfex1811g9V4*aun'xm#jb?.vp,suhsz&idycz37?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=:=5`=R8&myj#|i/fn3*rt(yd~"m`uov\44c<]9%l~k }f.eo4+qu'~zex!lotlw[47b3\:$kh!rg-dh5(pz&}{by| cnwmpZ46m2_;#j|i.sd,ci6){%||cz}/bmvjqY49l1^<"i}f/pe+bj7&~x${}`{r.alqkrX<8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW<;n7X> gsd-vc)`d9$|~"ynup,gjsi|V<:i6[?/fpe*w`(oe:%{!xpovq+firf}U<=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T4?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?30?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28485:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?0;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64<49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=181239V4*aun'xm#jb?.vp,suhsz&idyczPd0>4:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;078:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[3463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W>8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S5<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54149=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R>=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^315>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z4592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V99=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R:=1:W3+bta&{l$ka>!ws-ttkru'je~byQk2^715>S7'nxm"h gm2-sw)pxg~y#naznu]g6Z0592_;#j|i.sd,ci6){%||cz}/bmvjqYc:V=9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo>R6=4:W3+bta&{l$ka>!ws-ttkru'je~byQaou2344703\:$kh!rg-nah)cg|~Te1>1199V4*aun'xm#`kb/emvpZo;994:46[?/fpe*w`(elg$hb{{_h>25;7?3\:$kh!rg-nah)cg|~Te1?=>0:8Q5)`zo$yj"cjm.flqqYn4895=55Z0.eqb+ta'dof#iazt^k?518602_;#j|i.sd,i`k(lfSd2>5?3;?P6(o{l%~k!bel-gkprXa5;=2<64U1-dvc(un&gna"j`uu]j84199>1^<"i}f/pe+hcj'me~xRg31?34?P6(o{l%~k!bel-gkprXa585=:5Z0.eqb+ta'dof#iazt^k?7;703\:$kh!rg-nah)cg|~Te1:1169V4*aun'xm#`kb/emvpZo;=7;<7X> gsd-vc)jmd%ocxzPi=4=52=R8&myj#|i/lgn+air|Vc7;3?8;T2,cw`)zo%fi`!kotv\m9>99>1^<"i}f/pe+hcj'me~xRg39?35?P6(o{l%~k!bel-gkprXaV:::6[?/fpe*w`(elg$hb{{_h]252=R8&myj#|i/lgn+air|VcT==?8;T2,cw`)zo%fi`!kotv\mZ769>1^<"i}f/pe+hcj'me~xRgP1334?P6(o{l%~k!bel-gkprXaV;8=:5Z0.eqb+ta'dof#iazt^k\51703\:$kh!rg-nah)cg|~TeR?:169V4*aun'xm#`kb/emvpZoX9?;<7X> gsd-vc)jmd%ocxzPi^3453=R8&myj#|i/lgn+air|VcT><84U1-dvc(un&gna"j`uu]j[6713\:$kh!rg-nah)cg|~TeR:>6:W3+bta&{l$ahc dnww[lY29?1^<"i}f/pe+hcj'me~xRgP6048Q5)`zo$yj"cjm.flqqYnW>;=7X> gsd-vc)jmd%ocxzPi^:22>S7'nxm"h mdo,`jssW`U2=45Z0.eqb+ta'dof#iazt^ofi9699h1^<"i}f/pe+hcj'me~xRcjm=33:4g<]9%l~k }f.ofi*bh}}Ufi`2>1?3b?P6(o{l%~k!bel-gkprXelg7=?0>a:W3+bta&{l$ahc dnww[hcj4895=l5Z0.eqb+ta'dof#iazt^ofi97368k0Y=!hrg,qb*kbe&ndyyQbel>21;7f3\:$kh!rg-nah)cg|~Tahc317<2e>S7'nxm"h mdo,`jssWdof0<91189V4*aun'xm#`kb/emvpZkbe5;5=45Z0.eqb+ta'dof#iazt^ofi949901^<"i}f/pe+hcj'me~xRcjm=1=5<=R8&myj#|i/lgn+air|Vgna1:1189V4*aun'xm#`kb/emvpZkbe5?5=45Z0.eqb+ta'dof#iazt^ofi909901^<"i}f/pe+hcj'me~xRcjm=5=5<=R8&myj#|i/lgn+air|Vgna161189V4*aun'xm#`kb/emvpZkbe535;95Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ;Q#|nm/p,w6Yig`dbx#|nm.fsvdk)ly9=t<6!r`o2f>S7'nxm"h mdo,phvXzhgT~iQjn0a8Q5)`zo$yj"cjm.vntZtfeVxoSh`>169V4*aun'xm#ob_sgdkprXmg;o7X> gsd-vc)u{}hgg"|k_sqw[duumn8;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo=?>4U1-dvc(un&xxxobd/sf\vvrXizxnkRj=1b9V4*aun'xm#}{bmi,vaYu{}Uhc`l>d:W3+bta&{l$~~zmlj-q`Ztt|Vidao?>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1>11d9V4*aun'xm#}{bmi,vaYu{}Uyij2>>0g8Q5)`zo$yj"||tcnh+wbXzz~T~hi32?3g?P6(o{l%~k!}su`oo*tcW{ySkh_13g?P6(o{l%~k!}su`oo*tcW{ySkh_03g?P6(o{l%~k!}su`oo*tcW{ySkh_33g?P6(o{l%~k!}su`oo*quW{ySl}}ef03?P6(o{l%~k!}su`oo*quW{ySl}}ef]g576<]9%l~k }f.pppgjl'~xT~~zParpfcZb59j1^<"i}f/pe+wusjea${Q}su]`khd6l2_;#j|i.sd,vvredb%|~R||t^alig76m2_;#j|i.sd,vvredb%|~R||t^pfc9699l1^<"i}f/pe+wusjea${Q}su]qab:668n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX88n0Y=!hrg,qb*tt|kf`#z|Prrv\v`aX9h1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG0?RCF;2]NNn5XRHVF[HICMVKh7Z\FTD]NKACXJm1\^DZJ_VKGPMYFl2]YEYKPWHFWLZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBhk5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmmg>gkefyShctx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5<keao7io{a^alqkr/8 n0hlzn_bmvjq.6!m1omyoPcnwmp-4.l2njxlQlotlw,6/c3mkmRm`uov+0,b<lh~jSnaznu*6-a=ci}kTob{at)4*`>bf|hUhcx`{(6+g?agsiVidycz'8(d8`drfWje~by27:1<4?adn|lxy:6jfn)2*2>bnf!;";6jfn)33-2=cag":=$94dhl+57/03mce$<=&7:fjj-73!>1oec&>5(58`lh/9?#<7iga(05*3>bnf!;3%:5kio*2=,0<l`d#>$94dhl+65/03mce$??&7:fjj-45!>1oec&=3(58`lh/:=#<7iga(37*3>bnf!8=%:5kio*13,1<l`d#>5'8;ekm,7?.>2nbb%=&7:fjj-57!>1oec&<1(58`lh/;;#<7iga(21*3>bnf!9?%:5kio*01,0<l`d#8$84dhl+1,0<l`d#:$84dhl+3,0<l`d#4$84dhl+=,0<l`d7<394dhl?55803mce0<?17:fjj9756>1oec2>3?58`lh;9=4<7iga<07=3>bnf5;=2:5kio>23;1<l`d7=508;ekm84?9>2nbb1?17:fjj9476>1oec2=1?58`lh;:;4<7iga<31=3>bnf58?2:5kio>11;1<l`d7>;08;ekm8719?2nbb1<7>69gmk:517<0hd`32?58`lh;;94<7iga<23=3>bnf5992:5kio>07;1<l`d7?906;ekm863=87=0hd`334<5?aoi4:4=7iga<5<5?aoi4<4=7iga<7<5?aoi4>4=7iga<9<5?aoi404<7iazt)2*3>bh}}":%55kotv+55/?3me~x%?>)99gkpr/9;#37iazt)30-==cg|~#=9'7;emvp-72!11ocxz'17+;?air|!;<%:5kotv+6,1<lf$>'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=e>bh}}6:;7>18:flqq:6?7=0hb{{<0<4?air|585;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss40437hjff3ld`0=bey~r>k5iigm\c`hbzh~d~Rx9_0.#\ljnfq*HC_K/Gdlfvdrhz);9"<?l;gkekZ~kfqU>=?v<6^0`hnY4>}e:4>o4fhdl[}jipV?:>u=9_3aooZ51|f;3 kgio^efj`tf|fxTz;Q>,OMMA)HHFL>;=6hffn]{hk~X=88s?;Q=cmi\73rh91&mekaPgdlfvdrhzV|=S<"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUnbllce^pppZu~fj7: nQgar]q`Zbf|hUhcx`{=1.`[aoiW~coxe3<6-a\lduX{UomyoPcnwmp86+kVl~`aQil`ep[wusWkg1="l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1<6#c^opcjhX~hf6=!mPre]gauro58:98!mPesplvZoiblieb`Ptxrf95*dWakxSx`kesdokr;7$jUcm~QnllmppZ`rde7; nQgar]qwq;6$jUomyoPcnwmpZqnl}b65!mPh`q\swYfkb7; nQzsd]fgf;7$jUhc`c`n^aokfm:8%iT{Qncj]okr;7$jUyhR~ats]tmaro58:'oR{|e^dtbqYci}kTob{at<2/gZnf{Vkgab}{_dosp|;7$jUyhRjnt`]`kphsW~coxe3>0-a\kscunee|Saax=1.`[rtXxg~ySzgkti?:(fYwzfmTi`~{y^vzt`;7$jU|~Rjnt`]`kphsW~coxe36,b]sv`jhimUyij}21-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>4)eXx{elSk{cl^vkv`uoWgolmykPv`n>15>+kV}ySikti?657*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh83+kVzycjQjmqvz[qnumzbTm~}jru]uei;3$jUcm~Qyamkg95*dWyxdkRhzlm]wlwct`Vkxh|{_wco906>$jef|b`jnu]mehc:n`ldSubax^726}51W;iggR=9tn3;(fYqiecoSaax=1.`[utneVlbjbQ{yqg>144;?&hS}|`g^dvhiYsqyo6<!mPmdolv|Ysqyo6=!s<6:djbjYdgrT9<<w37]1gimX;?~d=5Qiigm\c`hbzh~d~Rx9_0]{wqY6<2l~`aj4iohfgqbea}oy~i5fnkg`pvdn|lxy;6gat^aoo==iojh~eaj7;ntfvcjhh1{~biPelrw}42<x{elShctx]wlwct`!:"=95rne\ahvsqV~c~h}g(0+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntqX|axne&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pUdk|h):*51=wzfmTi`~{y^vkv`uo 0#::6~}of]fiur~W}byi~f39;2=5g=wzfmTi`~{y^vkv`uoWhyxiz'0(3a?uthoVof|ywPtipfwmYf{zoyx%?&1c9svjaXmdzuRzgrdqk[dutm{~#>$?m;qplcZcjx}sTxe|jsi]bwvcu|!9"=o5rne\ahvsqV~c~h}g_`qpawr/< ;i7}|`g^gntqX|axneQnsrgqp-3.9k1{~biPelrw}ZrozlycSl}|esv+2,7e3yxdkRkbpu{\pmtb{aUj~k}t)5*5g=wzfmTi`~{y^vkv`uoWhyxiz'8(3a?uthoVof|ywPtipfwmYf{zoyx%7&1e9svjaXmdzuRzgrdqk[dutm{~757>11c9svjaXmdzuRzgrdqk[kc`i}o#<$?m;qplcZcjx}sTxe|jsi]mabgsm!;"=o5rne\ahvsqV~c~h}g_ogdeqc/: ;i7}|`g^gntqX|axneQaefcwa-5.9k1{~biPelrw}ZrozlycSckhaug+0,7e3yxdkRkbpu{\pmtb{aUeijo{e)7*5g=wzfmTi`~{y^vkv`uoWgolmyk'6(3a?uthoVof|ywPtipfwmYimnki%9&1c9svjaXmdzuRzgrdqk[kc`i}o#4$?m;qplcZcjx}sTxe|jsi]mabgsm!3"=i5rne\ahvsqV~c~h}g_ogdeqc;13:556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+27>vugnUmyabPtipfwm.5!890|ah_gwohZrozlyc$>'>3:rqkbYa}efTxe|jsi*7-45<x{elSk{cl^vkv`uo <#:?6~}of]eqijX|axne&9)018twi`Wog`Rzgrdqk,2/6;2zycjQiumn\pmtb{a"3%<;4psmd[cskdV~c~h}g<983:4g<x{elSk{cl^vkv`uoWhyxiz'0(3b?uthoVl~`aQ{hsgplZgt{lx$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5rne\bpjkW}byi~fParqfvq.4!8k0|ah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkxh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;h7}|`g^dvhiYs`{oxdRo|sdpw8=<768k0|ah_gwohZrozlycSckhaug+4,7f3yxdkRhzlm]wlwct`Vdnklzj(0+2e>vugnUmyabPtipfwmYimnki%<&1`9svjaXn|fgSyf}erj\j`af|l"8%<o4psmd[cskdV~c~h}g_ogdeqc/< ;j7}|`g^dvhiYs`{oxdR`jg`vf,0/6i2zycjQiumn\pmtb{aUeijo{e)4*5d=wzfmTjxbc_ujqavnXflmjxh&8)0c8twi`Wog`Rzgrdqk[kc`i}o#4$?l;qplcZ`rdeUdk|h^lfcdrb410;2;5}d^aoo46<zmUomyoPcnwmp-6.991yhRjnt`]`kphs 8#:<6|k_ecweZeh}g~#>$??;sf\`drfWje~by&<)028vaYci}kTob{at)6*55=ulVnjxlQlotlw,0/682xoSio{a^alqkr/> ;;7jPd`vb[firf}"<%<>4re]geqgXkfex%6&119q`Zbf|hUhcx`{(8+24>tcWmkmRm`uov?4;753{nThlzn_bmvjq:>294>7jPeo48vaYu{}90~~z8;r`jp`tu<2yyy:4tswf=>sillxm`by:;wcoma0<{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{Qkauc\gjsi|!;"==5xr^fbpdYdg|d$?'>0:uq[agsiVidycz'3(33?rtXlh~jSnaznu*7-46<{UomyoPcnwmp-3.991|~Rjnt`]`kphs ?#:<6y}_ecweZeh}g~#;$??;vp\`drfWje~by&7)008swYci}kTob{at=:94;3<{Unb;5xr^pppxFGxh<>7MNw1;D90?7|[=l188;57;306g0dk398:ljtn2f6>4=i;m<1:6*<d280ga=z[=n188;57;306g0dk398:lj4S00b>107290:??l9cb8073gb3Z>o698?:18277d1kj08?;oi;e66<?6=93;p_9h544793?74:k<ho7=<6`f8rQ7?=3:1=7?517;xW1`=<<?1;7?<2c4`g?54>hn0(>m9:00a?S5c<38py<=<:09v562=82w/=:75199a00>=839o6>4<dzJ0g1=]<<09wk4>7;'5=g=<<20(>j=:574?l2e83:17d:l4;29?j22k3:17b::6;29?l2e13:17d:m2;29?j2413:1(<9m:56b?k70i3:07b:<8;29 41e2=>j7c?8a;38?j24?3:1(<9m:56b?k70i3807b:<6;29 41e2=>j7c?8a;18?j24=3:1(<9m:56b?k70i3>07b:<4;29 41e2=>j7c?8a;78?j24;3:1(<9m:56b?k70i3<07b:<2;29 41e2=>j7c?8a;58?j2393:1(<9m:56b?k70i3207b:;0;29 41e2=>j7c?8a;;8?j24n3:1(<9m:56b?k70i3k07b:<e;29 41e2=>j7c?8a;`8?j24l3:1(<9m:56b?k70i3i07b:<c;29 41e2=>j7c?8a;f8?j24j3:1(<9m:56b?k70i3o07b:<a;29 41e2=>j7c?8a;d8?j2493:1(<9m:56b?k70i3;;76a;3183>!70j3>?m6`>7`825>=n<9>1<7*>7c8762=i9>k1<65f41194?"6?k0?>:5a16c95>=n<981<7*>7c8762=i9>k1>65f41394?"6?k0?>:5a16c97>=n<9:1<7*>7c8762=i9>k1865f3gd94?"6?k0?>:5a16c91>=n;on1<7*>7c8762=i9>k1:65f3ga94?"6?k0?>:5a16c93>=n;oh1<7*>7c8762=i9>k1465f3gc94?"6?k0?>:5a16c9=>=n;o31<7*>7c8762=i9>k1m65f3g:94?"6?k0?>:5a16c9f>=n;o=1<7*>7c8762=i9>k1o65f3g494?"6?k0?>:5a16c9`>=n;o?1<7*>7c8762=i9>k1i65f3g694?"6?k0?>:5a16c9b>=n;o81<7*>7c8762=i9>k1==54i2d2>5<#9>h18?94n05b>47<3`9m<7>5$05a>1403g;<m7?=;:k0ac<72-;<n7:=7:l23d<6;21b?hk50;&23g<3:>1e=:o51598m6cc290/=:l54358j41f28?07d=jc;29 41e2=8<7c?8a;35?>o4mk0;6)?8b;613>h6?h0:;65f3dc94?"6?k0?>:5a16c95==<a:o26=4+16`9071<f8=j6<74;h63e?6=,8=i69<8;o34e?7f32c?<44?:%34f?25?2d:;l4>b:9j05>=83.:;o4;269m52g=9j10e9>8:18'52d=<;=0b<9n:0f8?l27>3:1(<9m:504?k70i3;n76g;0483>!70j3>9;6`>7`82b>=n;oo1<7*>7c8762=i9>k1>=54i2d0>5<#9>h18?94n05b>77<3`9n47>5$05a>1403g;<m7<=;:k0a2<72-;<n7:=7:l23d<5;21b8l=50;9a7f3=83;1<7>tH2a7?!7?i39h96a>7983>>{el10;6<4?:1yK7f2<,82j6i64oe594?=zj=>1<7o::00g>40>sA9h86T;5;35d<>2k09m7<8:3:96<<a28=1o7<m:3c96g<a2j0j6<952881<?402k026p*>8`871g=#<10?945+4d871d=#9>>1=:94i554>5<#9>h18:64n05b>5=<a===6=4+16`902><f8=j6<54i556>5<#9>h18:64n05b>7=<a==?6=4+16`902><f8=j6>54i550>5<#9>h18:64n05b>1=<a==96=4+16`902><f8=j6854i552>5<#9>h18:64n05b>3=<a==;6=4+16`902><f8=j6:54i54e>5<#9>h18:64n05b>==<a=<n6=4+16`902><f8=j6454i57f>5<<a=h:6=44i5`7>5<<g=h<6=44i54`>5<#9>h18;j4n05b>5=<a=<i6=4+16`903b<f8=j6<54i54b>5<#9>h18;j4n05b>7=<a=<26=4+16`903b<f8=j6>54i54;>5<#9>h18;j4n05b>1=<a=<<6=4+16`903b<f8=j6854i545>5<#9>h18;j4n05b>3=<a=<>6=4+16`903b<f8=j6:54i547>5<#9>h18;j4n05b>==<a=<86=4+16`903b<f8=j6454i5`3>5<<g=<:6=44i5:1>5<#9>h185=4n05b>5=<a=2:6=4+16`90=5<f8=j6<54i5:3>5<#9>h185=4n05b>7=<a==m6=4+16`90=5<f8=j6>54i55f>5<#9>h185=4n05b>1=<a==o6=4+16`90=5<f8=j6854i55`>5<#9>h185=4n05b>3=<a==i6=4+16`90=5<f8=j6:54i55b>5<#9>h185=4n05b>==<a==26=4+16`90=5<f8=j6454o2f;>5<<g:o?6=4+16`97`3<f8=j6=54o2g0>5<#9>h1?h;4n05b>4=<g:o96=4+16`97`3<f8=j6?54o2g2>5<#9>h1?h;4n05b>6=<g:o;6=4+16`97`3<f8=j6954o2fe>5<#9>h1?h;4n05b>0=<g:nn6=4+16`97`3<f8=j6;54o2fg>5<#9>h1?h;4n05b>2=<g:nh6=4+16`97`3<f8=j6554o5:7>5<<a=i?6=44i5:g>5<#9>h185k4n05b>5=<a=2h6=4+16`90=c<f8=j6<54i5:a>5<#9>h185k4n05b>7=<a=2j6=4+16`90=c<f8=j6>54i5::>5<#9>h185k4n05b>1=<a=236=4+16`90=c<f8=j6854i5:4>5<#9>h185k4n05b>3=<a=2=6=4+16`90=c<f8=j6:54i5:6>5<#9>h185k4n05b>==<g=?h6=44o575>5<<a=h26=44i2fb>5<<a=3<6=4+16`90<><f8=j6=54i5;5>5<#9>h18464n05b>4=<a=3>6=4+16`90<><f8=j6?54i5;7>5<#9>h18464n05b>6=<a=386=4+16`90<><f8=j6954i5;1>5<#9>h18464n05b>0=<a=3:6=4+16`90<><f8=j6;54i5;3>5<#9>h18464n05b>2=<a=2m6=4+16`90<><f8=j6554i5c2>5<#9>h18l<4n05b>5=<a=k;6=4+16`90d4<f8=j6<54i5;e>5<#9>h18l<4n05b>7=<a=3n6=4+16`90d4<f8=j6>54i5;g>5<#9>h18l<4n05b>1=<a=3h6=4+16`90d4<f8=j6854i5;a>5<#9>h18l<4n05b>3=<a=3j6=4+16`90d4<f8=j6:54i5;:>5<#9>h18l<4n05b>==<g:n<6=44i57e>5<<a:ni6=44i5a6>5<<g=h86=44o5`;>5<<a=h96=44o51:>5<#9>h189o4n05b>5=<g=936=4+16`901g<f8=j6<54o514>5<#9>h189o4n05b>7=<g=9=6=4+16`901g<f8=j6>54o516>5<#9>h189o4n05b>1=<g=9?6=4+16`901g<f8=j6854o510>5<#9>h189o4n05b>3=<g=996=4+16`901g<f8=j6:54o562>5<#9>h189o4n05b>==<g=>;6=4+16`901g<f8=j6454o51e>5<#9>h189o4n05b>d=<g=9n6=4+16`901g<f8=j6o54o51g>5<#9>h189o4n05b>f=<g=9h6=4+16`901g<f8=j6i54o51a>5<#9>h189o4n05b>`=<g=9j6=4+16`901g<f8=j6k54o512>5<#9>h189o4n05b>46<3f>8<7>5$05a>12f3g;<m7?>;:k741<72-;<n7:=7:l23d<732c?<>4?:%34f?25?2d:;l4>;:k747<72-;<n7:=7:l23d<532c?<<4?:%34f?25?2d:;l4<;:k745<72-;<n7:=7:l23d<332c8jk4?:%34f?25?2d:;l4:;:k0ba<72-;<n7:=7:l23d<132c8jn4?:%34f?25?2d:;l48;:k0bg<72-;<n7:=7:l23d<?32c8jl4?:%34f?25?2d:;l46;:k0b<<72-;<n7:=7:l23d<f32c8j54?:%34f?25?2d:;l4m;:k0b2<72-;<n7:=7:l23d<d32c8j;4?:%34f?25?2d:;l4k;:k0b0<72-;<n7:=7:l23d<b32c8j94?:%34f?25?2d:;l4i;:k0b7<72-;<n7:=7:l23d<6821b?k?50;&23g<3:>1e=:o51098m6`7290/=:l54358j41f28807d=jf;29 41e2=8<7c?8a;30?>o4ml0;6)?8b;613>h6?h0:865f3df94?"6?k0?>:5a16c950=<a:oh6=4+16`9071<f8=j6<84;h1ff?6=,8=i69<8;o34e?7032c8il4?:%34f?25?2d:;l4>8:9j7`?=83.:;o4;269m52g=9010e9>n:18'52d=<;=0b<9n:0c8?l2713:1(<9m:504?k70i3;i76g;0983>!70j3>9;6`>7`82g>=n<9=1<7*>7c8762=i9>k1=i54i525>5<#9>h18?94n05b>4c<3`>;97>5$05a>1403g;<m7?i;:k0b`<72-;<n7:=7:l23d<5821b?k=50;&23g<3:>1e=:o52098m6c?290/=:l54358j41f2;807d=j7;29 41e2=8<7c?8a;00?>o4l00;66a;ae83>!70j3>jj6`>7`83?>i3ij0;6)?8b;6bb>h6?h0:76a;ac83>!70j3>jj6`>7`81?>i3ih0;6)?8b;6bb>h6?h0876a;a883>!70j3>jj6`>7`87?>i3i10;6)?8b;6bb>h6?h0>76a;a683>!70j3>jj6`>7`85?>i3i?0;6)?8b;6bb>h6?h0<76a;a483>!70j3>jj6`>7`8;?>i3i=0;6)?8b;6bb>h6?h0276a;c383>!70j3>h?6`>7`83?>i3k80;6)?8b;6`7>h6?h0:76a;c183>!70j3>h?6`>7`81?>i3jo0;6)?8b;6`7>h6?h0876a;bd83>!70j3>h?6`>7`87?>i3jm0;6)?8b;6`7>h6?h0>76a;bb83>!70j3>h?6`>7`85?>i3jk0;6)?8b;6`7>h6?h0<76a;b`83>!70j3>h?6`>7`8;?>i3>;0;66g;5e83>>i4m?0;66g;a283>>i3j?0;66l<d183>4<729q/=5o5d99K7f`<@:i?7bj8:188yg5c93:1=7>50z&2<d<4k<1C?nh4H2a7?j7003:17pl=9c83>1<729q/=5o5d59K7f`<@:i?7)k::79j03<722c><7>5;h34g?6=3f;<h7>5;|`052<72=0;6=u+19c9`7=O;jl0D>m;;%g6>7=n<?0;66g;c;29?l372900c<9k:188yg56>3:187>50z&2<d<c:2B8ok5G3b68 `3=:2c?:7>5;h6`>5<<a<:1<75`16f94?=zj:h:6=4<:183!7?i3n:7E=lf:J0g1=O<:1/=>?54c78 `3=:2c?:7>5;h73>5<<g8=o6=44}c1ag?6=;3:1<v*>8`8g5>N4ko1C?n:4H518 4562=h>7)k::39j03<722c><7>5;n34`?6=3th8n=4?:283>5}#91k1h<5G3bd8L6e33A>87)?<1;6a1>"b=380e9850;9j15<722e:;i4?::a7gg=83?1<7>t$0:b>a3<@:im7E=l4:J77>"6;80?n85f4783>>o3?3:17d;?:188m41d2900c<9k:188yg5ej3:1?7>50z&2<d<c92B8ok5G3b68L15<,89:69l:;%g6>7=n<?0;66g:0;29?j70l3:17pl<ag83>0<729q/=5o5d49K7f`<@:i?7E:<;%305?2e=2c?:7>5;h64>5<<a<:1<75f16a94?=h9>n1<75rb2`:>5<3290;w)?7a;f7?M5dn2B8o95+e485?l212900e8>50;9j52e=831d=:j50;9~f6d?290?6=4?{%3;e?b33A9hj6F<c59'a0<13`>=6=44i4294?=n9>i1<75`16f94?=zj:h<6=4;:183!7?i3n?7E=lf:J0g1=#m<0=7d:9:188m06=831b=:m50;9l52b=831vn>m=:187>5<7s-;3m7j;;I1`b>N4k=1/i849;h65>5<<a<:1<75f16a94?=h9>n1<75rb2a2>5<3290;w)?7a;f7?M5dn2B8o95+e485?l212900e8>50;9j52e=831d=:j50;9~f4gc290?6=4?{%3;e?b53A9hj6F<c59'a0<53`>=6=44i5a94?=n=90;66a>7e83>>{e9hi1<7:50;2x 4>f2m80D>mi;I1`0>"b=380e9850;9j0f<722c><7>5;n34`?6=3th:mo4?:583>5}#91k1h?5G3bd8L6e33-o>6?5f4783>>o3k3:17d;?:188k41c2900qo?na;290?6=8r.:4l4k2:J0gc=O;j>0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0c:>5<3290;w)?7a;f1?M5dn2B8o95+e481?l212900e9m50;9j15<722e:;i4?::a5d>=83>1<7>t$0:b>a4<@:im7E=l4:&f1?4<a=<1<75f4b83>>o283:17b?8d;29?xd6i>0;694?:1y'5=g=l;1C?nh4H2a7?!c22;1b8;4?::k7g?6=3`?;6=44o05g>5<<uk;j:7>54;294~"60h0o>6F<cg9K7f2<,l?1>6g;6;29?l2d2900e8>50;9l52b=831vn<o::187>5<7s-;3m7j=;I1`b>N4k=1/i84=;h65>5<<a=i1<75f5183>>i6?m0;66sm20694?2=83:p(<6n:e08L6ea3A9h86*j5;08m10=831b8n4?::k64?6=3f;<h7>5;|`156<72=0;6=u+19c9`7=O;jl0D>m;;%g6>7=n<?0;66g;c;29?l372900c<9k:188yg46:3:187>50z&2<d<c:2B8ok5G3b68 `3=:2c?:7>5;h6`>5<<a<:1<75`16f94?=zj;;:6=4;:183!7?i3n97E=lf:J0g1=#m<097d:9:188m1e=831b9=4?::m23a<722wi><>50;694?6|,82j6i<4H2ae?M5d<2.n97<4i5494?=n<j0;66g:0;29?j70l3:17pl=0g83>1<729q/=5o5d39K7f`<@:i?7)k::39j03<722c?o7>5;h73>5<<g8=o6=44}c03a?6=<3:1<v*>8`8g6>N4ko1C?n:4$d796>o3>3:17d:l:188m06=831d=:j50;9~f76c290?6=4?{%3;e?b53A9hj6F<c59'a0<53`>=6=44i5a94?=n=90;66a>7e83>>{e:9i1<7:50;2x 4>f2m80D>mi;I1`0>"b=380e9850;9j0f<722c><7>5;n34`?6=3th99n4?:583>5}#91k1h?5G3bd8L6e33-o>6?5f4783>>o3k3:17d;?:188k41c2900qo<:b;290?6=8r.:4l4k2:J0gc=O;j>0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb37b>5<3290;w)?7a;f1?M5dn2B8o95+e481?l212900e9m50;9j15<722e:;i4?::a60?=83>1<7>t$0:b>a4<@:im7E=l4:&f1?4<a=<1<75f4b83>>o283:17b?8d;29?xd5=10;694?:1y'5=g=l;1C?nh4H2a7?!c22;1b8;4?::k7g?6=3`?;6=44o05g>5<<uk8>;7>54;294~"60h0o>6F<cg9K7f2<,l?1>6g;6;29?l2d2900e8>50;9l52b=831vn?;9:187>5<7s-;3m7j=;I1`b>N4k=1/i84=;h65>5<<a=i1<75f5183>>i6?m0;66sm24794?2=83:p(<6n:e08L6ea3A9h86*j5;08m10=831b8n4?::k64?6=3f;<h7>5;|`111<72=0;6=u+19c9`7=O;jl0D>m;;%g6>7=n<?0;66g;c;29?l372900c<9k:188yg7a13:187>50z&2<d<c:2B8ok5G3b68 `3=:2c?:7>5;h6`>5<<a<:1<75`16f94?=zj8l36=4;:183!7?i3n97E=lf:J0g1=#m<097d:9:188m1e=831b9=4?::m23a<722wi=k950;694?6|,82j6i<4H2ae?M5d<2.n97<4i5494?=n<j0;66g:0;29?j70l3:17pl>f783>1<729q/=5o5d39K7f`<@:i?7)k::39j03<722c?o7>5;h73>5<<g8=o6=44}c3e1?6=<3:1<v*>8`8g6>N4ko1C?n:4$d796>o3>3:17d:l:188m06=831d=:j50;9~f4`3290?6=4?{%3;e?b53A9hj6F<c59'a0<53`>=6=44i5a94?=n=90;66a>7e83>>{e9o91<7:50;2x 4>f2m80D>mi;I1`0>"b=380e9850;9j0f<722c><7>5;n34`?6=3th:j?4?:583>5}#91k1h?5G3bd8L6e33-o>6?5f4783>>o3k3:17d;?:188k41c2900qo?i1;290?6=8r.:4l4k2:J0gc=O;j>0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb36b>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb36:>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb36;>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb364>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb365>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb366>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb367>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb360>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb361>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g4>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g5>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g6>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g7>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g0>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g1>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g2>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0g3>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0fe>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0ff>5<3290;w)?7a;f1?M5dn2B8o95G429'567=<k?0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb0f0>5<3290;w)?7a;f1?M5dn2B8o95+e481?l212900e9m50;9j15<722e:;i4?::a5a4=83>1<7>t$0:b>a4<@:im7E=l4:&f1?4<a=<1<75f4b83>>o283:17b?8d;29?xd6l80;694?:1y'5=g=l;1C?nh4H2a7?!c22;1b8;4?::k7g?6=3`?;6=44o05g>5<<uk;o<7>54;294~"60h0o>6F<cg9K7f2<,l?1>6g;6;29?l2d2900e8>50;9l52b=831vn<mi:187>5<7s-;3m7j=;I1`b>N4k=1/i84=;h65>5<<a=i1<75f5183>>i6?m0;66sm1bg94?2=83:p(<6n:e08L6ea3A9h86*j5;08m10=831b8n4?::k64?6=3f;<h7>5;|`2ga<72=0;6=u+19c9`7=O;jl0D>m;;%g6>7=n<?0;66g;c;29?l372900c<9k:188yg7dk3:187>50z&2<d<c:2B8ok5G3b68 `3=:2c?:7>5;h6`>5<<a<:1<75`16f94?=zj8ii6=4;:183!7?i3n97E=lf:J0g1=#m<097d:9:188m1e=831b9=4?::m23a<722wi=no50;694?6|,82j6i<4H2ae?M5d<2.n97<4i5494?=n<j0;66g:0;29?j70l3:17pl=3983>1<729q/=5o5d39K7f`<@:i?7)k::39j03<722c?o7>5;h73>5<<g8=o6=44}c003?6=<3:1<v*>8`8g6>N4ko1C?n:4$d796>o3>3:17d:l:188m06=831d=:j50;9~f751290?6=4?{%3;e?b53A9hj6F<c59'a0<53`>=6=44i5a94?=n=90;66a>7e83>>{e::?1<7:50;2x 4>f2m80D>mi;I1`0>"b=380e9850;9j0f<722c><7>5;n34`?6=3th9?94?:583>5}#91k1h?5G3bd8L6e33-o>6?5f4783>>o3k3:17d;?:188k41c2900qo<<3;290?6=8r.:4l4k2:J0gc=O;j>0(h;52:k72?6=3`>h6=44i4294?=h9>n1<75rb311>5<3290;w)?7a;f1?M5dn2B8o95+e481?l212900e9m50;9j15<722e:;i4?::a667=83>1<7>t$0:b>a4<@:im7E=l4:&f1?4<a=<1<75f4b83>>o283:17b?8d;29?xd5;90;694?:1y'5=g=l;1C?nh4H2a7?!c22;1b8;4?::k7g?6=3`?;6=44o05g>5<<uk8o47>55;294~"60h0o?6F<cg9K7f2<,l?1>6g;6;29?l202900e9m50;9j15<722e:;i4?::a6a1=83?1<7>t$0:b>a5<@:im7E=l4:&f1?4<a=<1<75f4683>>o3k3:17d;?:188k41c2900qo<k5;291?6=8r.:4l4k3:J0gc=O;j>0(h;52:k72?6=3`><6=44i5a94?=n=90;66a>7e83>>{e:m>1<7;50;2x 4>f2m90D>mi;I1`0>"b=380e9850;9j02<722c?o7>5;h73>5<<g8=o6=44}c0g2?6==3:1<v*>8`8g7>N4ko1C?n:4$d796>o3>3:17d:8:188m1e=831b9=4?::m23a<722wi>i=50;794?6|,82j6i=4H2ae?M5d<2.n97<4i5494?=n<>0;66g;c;29?l372900c<9k:188yg4c:3:197>50z&2<d<c;2B8ok5G3b68 `3=:2c?:7>5;h64>5<<a=i1<75f5183>>i6?m0;66sm2e294?3=83:p(<6n:e18L6ea3A9h86*j5;08m10=831b8:4?::k7g?6=3`?;6=44o05g>5<<uk8hj7>55;294~"60h0o96F<cg9K7f2<,l?1:6g;6;29?l202900e8>50;9j52e=831d=:j50;9~f7b6290>6=4?{%3;e?b43A9hj6F<c59'a0<53`>=6=44i5594?=n<j0;66g:0;29?j70l3:17pl=c283>6<729q/=5o53bg8L6ea3A9h86g;9;29?l7503:17b?86;29?xd5k;0;6>4?:1y'5=g=;jo0D>mi;I1`0>o313:17d?=8;29?j70>3:17pl=b983>1<729q/=5o51208L6ea3A9h86g;9;29?l352900e<6<:188k4112900qo<l1;297?6=8r.:4l4<cd9K7f`<@:i?7d:6:188m44?2900c<99:188yg4e>3:187>50z&2<d<6;;1C?nh4H2a7?l2>2900e8<50;9j5=5=831d=:850;9~f7e729086=4?{%3;e?5dm2B8ok5G3b68m1?=831b=?650;9l520=831vn?l;:187>5<7s-;3m7?<2:J0gc=O;j>0e9750;9j17<722c:4>4?::m233<722wi>oh50;194?6|,82j6>mj;I1`b>N4k=1b844?::k26=<722e:;;4?::a6g4=83>1<7>t$0:b>4553A9hj6F<c59j0<<722c>>7>5;h3;7?6=3f;<:7>5;|`1f`<72:0;6=u+19c97fc<@:im7E=l4:k7=?6=3`;947>5;n342?6=3th9n=4?:583>5}#91k1=><4H2ae?M5d<2c?57>5;h71>5<<a8286=44o055>5<<uk8ih7>53;294~"60h08oh5G3bd8L6e33`>26=44i00;>5<<g8==6=44}c0ba?6=<3:1<v*>8`8277=O;jl0D>m;;h6:>5<<a<81<75f19194?=h9><1<75rb3``>5<4290;w)?7a;1`a>N4ko1C?n:4i5;94?=n9;21<75`16494?=zj;kh6=4;:183!7?i3;8>6F<cg9K7f2<a=31<75f5383>>o60:0;66a>7783>>{e:kh1<7=50;2x 4>f2:in7E=lf:J0g1=n<00;66g>2983>>i6??0;66sm2`c94?2=83:p(<6n:011?M5dn2B8o95f4883>>o2:3:17d?73;29?j70>3:17pl=b`83>6<729q/=5o53bg8L6ea3A9h86g;9;29?l7503:17b?86;29?xd5i10;694?:1y'5=g=9:80D>mi;I1`0>o313:17d;=:188m4>42900c<99:188yg4bk3:197>50z&2<d<c;2B8ok5G3b68 `3=:2c?:7>5;h64>5<<a=i1<75f5183>>i6?m0;66sm2d`94?3=83:p(<6n:e18L6ea3A9h86*j5;08m10=831b8:4?::k7g?6=3`?;6=44o05g>5<<uk8nm7>55;294~"60h0o?6F<cg9K7f2<,l?1>6g;6;29?l202900e9m50;9j15<722e:;i4?::a6`?=83?1<7>t$0:b>a5<@:im7E=l4:&f1?4<a=<1<75f4683>>o3k3:17d;?:188k41c2900qo<j8;291?6=8r.:4l4k3:J0gc=O;j>0(h;52:k72?6=3`><6=44i5a94?=n=90;66a>7e83>>{e:l=1<7;50;2x 4>f2m90D>mi;I1`0>"b=380e9850;9j02<722c?o7>5;h73>5<<g8=o6=44}c0f2?6==3:1<v*>8`8g7>N4ko1C?n:4$d796>o3>3:17d:8:188m1e=831b9=4?::m23a<722wi>h;50;794?6|,82j6i=4H2ae?M5d<2.n97<4i5494?=n<>0;66g;c;29?l372900c<9k:188yg4b<3:197>50z&2<d<c;2B8ok5G3b68 `3=:2c?:7>5;h64>5<<a=i1<75f5183>>i6?m0;66sm2d194?3=83:p(<6n:e18L6ea3A9h86*j5;08m10=831b8:4?::k7g?6=3`?;6=44o05g>5<<uk8=j7>54;294~"60h0o86F<cg9K7f2<,l?1:6g;6;29?l372900e<9l:188k41c2900qo<80;290?6=8r.:4l4k4:J0gc=O;j>0(h;56:k72?6=3`?;6=44i05`>5<<g8=o6=44}c05e?6=<3:1<v*>8`8g6>N4ko1C?n:4$d796>o3>3:17d:l:188m06=831d=:j50;9~f70>290?6=4?{%3;e?b53A9hj6F<c59'a0<53`>=6=44i5a94?=n=90;66a>7e83>>{e:1o1<7:50;2x 4>f28997E=lf:J0g1=n<00;66g:2;29?l7?;3:17b?86;29?xd50m0;694?:1y'5=g=9:80D>mi;I1`0>o313:17d;=:188m4>42900c<99:188yg4?k3:187>50z&2<d<6;;1C?nh4H2a7?l2>2900e8<50;9j5=5=831d=:850;9~f7>e290?6=4?{%3;e?74:2B8ok5G3b68m1?=831b9?4?::k2<6<722e:;;4?::a6=g=83>1<7>t$0:b>4553A9hj6F<c59j0<<722c>>7>5;h3;7?6=3f;<:7>5;|`13g<72=0;6=u+19c9564<@:im7E=l4:k7=?6=3`?96=44i0:0>5<<g8==6=44}c04e?6=<3:1<v*>8`8277=O;jl0D>m;;h6:>5<<a<81<75f19194?=h9><1<75rb35:>5<3290;w)?7a;306>N4ko1C?n:4i5;94?=n=;0;66g>8283>>i6??0;66sm26:94?2=83:p(<6n:011?M5dn2B8o95f4883>>o2:3:17d?73;29?j70>3:17pl=7683>1<729q/=5o51208L6ea3A9h86g;9;29?l352900e<6<:188k4112900qo=?9;290?6=8r.:4l4>339K7f`<@:i?7d:6:188m04=831b=5=50;9l520=831vn>>7:187>5<7s-;3m7?<2:J0gc=O;j>0e9750;9j17<722c:4>4?::m233<722wi?=950;694?6|,82j6<==;I1`b>N4k=1b844?::k66?6=3`;3?7>5;n342?6=3th8<;4?:583>5}#91k1=><4H2ae?M5d<2c?57>5;h71>5<<a8286=44o055>5<<uk9;97>54;294~"60h0:??5G3bd8L6e33`>26=44i4094?=n9191<75`16494?=zj;l=6=4;:183!7?i3;8>6F<cg9K7f2<a=31<75f5383>>o60:0;66a>7783>>{e:o?1<7:50;2x 4>f28997E=lf:J0g1=n<00;66g:2;29?l7?;3:17b?86;29?xd5n=0;694?:1y'5=g=9:80D>mi;I1`0>o313:17d;=:188m4>42900c<99:188yg4a;3:187>50z&2<d<6;;1C?nh4H2a7?l2>2900e8<50;9j5=5=831d=:850;9~f7`5290?6=4?{%3;e?74:2B8ok5G3b68m1?=831b9?4?::k2<6<722e:;;4?::a70d=83?1<7>t$0:b>a5<@:im7E=l4:&f1?4<a=<1<75f4683>>o3k3:17d;?:188k41c2900qo=:9;291?6=8r.:4l4k5:J0gc=O;j>0(h;56:k72?6=3`><6=44i4294?=n9>i1<75`16f94?=zj:?j6=4::183!7?i3n87E=lf:J0g1=#m<097d:9:188m11=831b8n4?::k64?6=3f;<h7>5;|`01f<72<0;6=u+19c9`6=O;jl0D>m;;%g6>7=n<?0;66g;7;29?l2d2900e8>50;9l52b=831vn>;k:186>5<7s-;3m7j<;I1`b>N4k=1/i84=;h65>5<<a==1<75f4b83>>o283:17b?8d;29?xd4=l0;684?:1y'5=g=l:1C?nh4H2a7?!c22;1b8;4?::k73?6=3`>h6=44i4294?=h9>n1<75rb27e>5<2290;w)?7a;f0?M5dn2B8o95+e481?l212900e9950;9j0f<722c><7>5;n34`?6=3th8:=4?:483>5}#91k1h>5G3bd8L6e33-o>6?5f4783>>o3?3:17d:l:188m06=831d=:j50;9~f606290>6=4?{%3;e?b43A9hj6F<c59'a0<53`>=6=44i5594?=n<j0;66g:0;29?j70l3:17pl<4g83>0<729q/=5o5d29K7f`<@:i?7)k::39j03<722c?;7>5;h6`>5<<a<:1<75`16f94?=zj:>n6=4::183!7?i3n87E=lf:J0g1=#m<097d:9:188m11=831b8n4?::k64?6=3f;<h7>5;|`00f<72<0;6=u+19c9`6=O;jl0D>m;;%g6>7=n<?0;66g;7;29?l2d2900e8>50;9l52b=831vn>:m:186>5<7s-;3m7j<;I1`b>N4k=1/i84=;h65>5<<a==1<75f4b83>>o283:17b?8d;29?xd4<m0;684?:1y'5=g=l:1C?nh4H2a7?!c22;1b8;4?::k73?6=3`>h6=44i4294?=h9>n1<75rb26b>5<2290;w)?7a;f0?M5dn2B8o95+e481?l212900e9950;9j0f<722c><7>5;n34`?6=3th8844?:483>5}#91k1h>5G3bd8L6e33-o>6?5f4783>>o3?3:17d:l:188m06=831d=:j50;9~f620290>6=4?{%3;e?b43A9hj6F<c59'a0<53`>=6=44i5594?=n<j0;66g:0;29?j70l3:17pl<4983>0<729q/=5o5d49K7f`<@:i?7)k::79j03<722c?;7>5;h73>5<<a8=h6=44o05g>5<<uk98o7>53;294~"60h08oh5G3bd8L6e33`>26=44i00;>5<<g8==6=44}c10f?6=;3:1<v*>8`80g`=O;jl0D>m;;h6:>5<<a8836=44o055>5<<uk98>7>54;294~"60h0:??5G3bd8L6e33`>26=44i4094?=n9191<75`16494?=zj:9j6=4<:183!7?i39hi6F<cg9K7f2<a=31<75f13:94?=h9><1<75rb213>5<3290;w)?7a;306>N4ko1C?n:4i5;94?=n=;0;66g>8283>>i6??0;66sm32;94?5=83:p(<6n:2af?M5dn2B8o95f4883>>o6:10;66a>7783>>{e;;o1<7:50;2x 4>f28997E=lf:J0g1=n<00;66g:2;29?l7?;3:17b?86;29?xd4;10;6>4?:1y'5=g=;jo0D>mi;I1`0>o313:17d?=8;29?j70>3:17pl<2b83>1<729q/=5o51208L6ea3A9h86g;9;29?l352900e<6<:188k4112900qo=<7;297?6=8r.:4l4<cd9K7f`<@:i?7d:6:188m44?2900c<99:188yg55i3:187>50z&2<d<6;;1C?nh4H2a7?l2>2900e8<50;9j5=5=831d=:850;9~f65129086=4?{%3;e?5dm2B8ok5G3b68m1?=831b=?650;9l520=831vn><7:187>5<7s-;3m7?<2:J0gc=O;j>0e9750;9j17<722c:4>4?::m233<722wi?>;50;194?6|,82j6>mj;I1`b>N4k=1b844?::k26=<722e:;;4?::a770=83>1<7>t$0:b>4553A9hj6F<c59j0<<722c>>7>5;h3;7?6=3f;<:7>5;|`071<72:0;6=u+19c97fc<@:im7E=l4:k7=?6=3`;947>5;n342?6=3th8>94?:583>5}#91k1=><4H2ae?M5d<2c?57>5;h71>5<<a8286=44o055>5<<uk9<?7>55;294~"60h0o?6F<cg9K7f2<,l?1>6g;6;29?l202900e9m50;9j15<722e:;i4?::a724=83?1<7>t$0:b>a5<@:im7E=l4:&f1?4<a=<1<75f4683>>o3k3:17d;?:188k41c2900qo=81;291?6=8r.:4l4k3:J0gc=O;j>0(h;52:k72?6=3`><6=44i5a94?=n=90;66a>7e83>>{e;>:1<7;50;2x 4>f2m90D>mi;I1`0>"b=380e9850;9j02<722c?o7>5;h73>5<<g8=o6=44}c15b?6==3:1<v*>8`8g7>N4ko1C?n:4$d796>o3>3:17d:8:188m1e=831b9=4?::m23a<722wi?;k50;794?6|,82j6i=4H2ae?M5d<2.n97<4i5494?=n<>0;66g;c;29?l372900c<9k:188yg51l3:197>50z&2<d<c;2B8ok5G3b68 `3=:2c?:7>5;h64>5<<a=i1<75f5183>>i6?m0;66sm37a94?3=83:p(<6n:e18L6ea3A9h86*j5;08m10=831b8:4?::k7g?6=3`?;6=44o05g>5<<uk9=n7>55;294~"60h0o?6F<cg9K7f2<,l?1>6g;6;29?l202900e9m50;9j15<722e:;i4?::a7<6=83?1<7>t$0:b>a0<@:im7E=l4:&f1?4<a=<1<75f4683>>o283:17d?8e;29?j70l3:17pl<8g83>0<729q/=5o5d79K7f`<@:i?7)k::39j03<722c?;7>5;h73>5<<a8=n6=44o05g>5<<uk93i7>55;294~"60h0o:6F<cg9K7f2<,l?1>6g;6;29?l202900e8>50;9j52c=831d=:j50;9~f6>c290>6=4?{%3;e?b13A9hj6F<c59'a0<53`>=6=44i5594?=n=90;66g>7d83>>i6?m0;66sm39a94?3=83:p(<6n:e48L6ea3A9h86*j5;08m10=831b8:4?::k64?6=3`;<i7>5;n34`?6=3th84o4?:483>5}#91k1h;5G3bd8L6e33-o>6?5f4783>>o3?3:17d;?:188m41b2900c<9k:188yg5?i3:197>50z&2<d<c>2B8ok5G3b68 `3=:2c?:7>5;h64>5<<a<:1<75f16g94?=h9>n1<75rb2::>5<2290;w)?7a;f5?M5dn2B8o95+e481?l212900e9950;9j15<722c:;h4?::m23a<722wi?4650;794?6|,82j6i84H2ae?M5d<2.n97<4i5494?=n<>0;66g:0;29?l70m3:17b?8d;29?xd41>0;684?:1y'5=g=l?1C?nh4H2a7?!c22;1b8;4?::k73?6=3`?;6=44i05f>5<<g8=o6=44}c1:2?6==3:1<v*>8`8g2>N4ko1C?n:4$d796>o3>3:17d:8:188m06=831b=:k50;9l52b=831vn>7::186>5<7s-;3m7j9;I1`b>N4k=1/i84=;h65>5<<a==1<75f5183>>o6?l0;66a>7e83>>{e;0>1<7;50;2x 4>f2m<0D>mi;I1`0>"b=380e9850;9j02<722c><7>5;h34a?6=3f;<h7>5;|`0=6<72<0;6=u+19c9`3=O;jl0D>m;;%g6>7=n<?0;66g;7;29?l372900e<9j:188k41c2900qo=62;291?6=8r.:4l4k6:J0gc=O;j>0(h;52:k72?6=3`><6=44i4294?=n9>o1<75`16f94?=zj:3:6=4::183!7?i3n=7E=lf:J0g1=#m<097d:9:188m11=831b9=4?::k23`<722e:;i4?::a7=>=83?1<7>t$0:b>a0<@:im7E=l4:&f1?4<a=<1<75f4683>>o283:17d?8e;29?j70l3:17pl<8683>0<729q/=5o5d79K7f`<@:i?7)k::39j03<722c?;7>5;h73>5<<a8=n6=44o05g>5<<uk9ii7>53;294~"60h0:>i5G3bd8L6e33-o>6<74ie;94?=nlh0;66a>7783>>{e;k91<7=50;2x 4>f288o7E=lf:J0g1=#m<0:56gk9;29?lbf2900c<99:188yg46>3:1?7>50z&2<d<6:m1C?nh4H2a7?!c228n0ei750;9j`d<722e:;;4?::a5d`=8391<7>t$0:b>44c3A9hj6F<c59'a0<6l2co57>5;hfb>5<<g8==6=44}c3:f?6=;3:1<v*>8`826a=O;jl0D>m;;%g6>4b<am31<75fd`83>>i6??0;66sm18c94?5=83:p(<6n:00g?M5dn2B8o95+e482`>oc13:17djn:188k4112900qo?69;297?6=8r.:4l4>2e9K7f`<@:i?7)k::0f8ma?=831bhl4?::m233<722wi=4650;194?6|,82j6<<k;I1`b>N4k=1/i84>d:kg=?6=3`nj6=44o055>5<<uk;2;7>53;294~"60h0:>i5G3bd8L6e33-o>6<j4ie;94?=nlh0;66a>7783>>{e90<1<7=50;2x 4>f288o7E=lf:J0g1=#m<0:h6gk9;29?lbf2900c<99:188yg7>=3:1?7>50z&2<d<6:m1C?nh4H2a7?!c228n0ei750;9j`d<722e:;;4?::a5<2=8391<7>t$0:b>44c3A9hj6F<c59'a0<6l2co57>5;hfb>5<<g8==6=44}c3:7?6=;3:1<v*>8`826a=O;jl0D>m;;%g6>4b<am31<75fd`83>>i6??0;66sm18094?5=83:p(<6n:00g?M5dn2B8o95+e482`>oc13:17djn:188k4112900qo?61;297?6=8r.:4l4>2e9K7f`<@:i?7)k::0f8ma?=831bhl4?::m233<722wi=4>50;194?6|,82j6<<k;I1`b>N4k=1/i84>d:kg=?6=3`nj6=44o055>5<<uk;3j7>53;294~"60h0:>i5G3bd8L6e33-o>6<j4ie;94?=nlh0;66a>7783>>{e91o1<7=50;2x 4>f288o7E=lf:J0g1=#m<0:h6gk9;29?lbf2900c<99:188yg7?l3:1?7>50z&2<d<6:m1C?nh4H2a7?!c228n0ei750;9j`d<722e:;;4?::a5=e=8391<7>t$0:b>44c3A9hj6F<c59'a0<6l2co57>5;hfb>5<<g8==6=44}c3;f?6=;3:1<v*>8`826a=O;jl0D>m;;%g6>4b<am31<75fd`83>>i6??0;66sm27g94?2=83:p(<6n:00f?M5dn2B8o95+e48``>oc13:17djn:188mad=831d=:850;9~f77?290?6=4?{%3;e?75m2B8ok5G3b68 `3=:=1bh44?::kge?6=3`ni6=44o055>5<<uk;i=7>54;294~"60h0:>h5G3bd8L6e33-o>6?:4ie;94?=nlh0;66gkb;29?j70>3:17pl=6b83>0<729q/=5o513d8L6ea3A9h86*j5;3b?lb>2900eio50;9j`g<722coo7>5;n342?6=3th9=l4?:483>5}#91k1=?h4H2ae?M5d<2.n97?i;hf:>5<<amk1<75fdc83>>ock3:17b?86;29?xd6j:0;684?:1y'5=g=9;l0D>mi;I1`0>"b=3;m7dj6:188mag=831bho4?::kgg?6=3f;<:7>5;|`137<72<0;6=u+19c957`<@:im7E=l4:&f1?463`n26=44iec94?=nlk0;66gkc;29?j70>3:17pl<1`83>6<729q/=5o513f8L6ea3A9h86*j5;33?lb>2900eio50;9l520=831vn?89:187>5<7s-;3m7?=e:J0gc=O;j>0(h;52b9j`<<722com7>5;hfa>5<<g8==6=44}c02g?6=;3:1<v*>8`826a=O;jl0D>m;;%g6>4b<am31<75fd`83>>i6??0;66sm1c794?5=83:p(<6n:00g?M5dn2B8o95+e482`>oc13:17djn:188k4112900qo<>e;290?6=8r.:4l4>2d9K7f`<@:i?7)k::368ma?=831bhl4?::kgf?6=3f;<:7>5;|`2f2<72=0;6=u+19c957c<@:im7E=l4:&f1?433`n26=44iec94?=nlk0;66a>7783>>{e:;:1<7;50;2x 4>f288m7E=lf:J0g1=#m<0:j6gk9;29?lbf2900eil50;9j`f<722e:;;4?::a5g?=83?1<7>t$0:b>44a3A9hj6F<c59'a0<6n2co57>5;hfb>5<<amh1<75fdb83>>i6??0;66sm3`f94?2=83:p(<6n:00f?M5dn2B8o95+e4865>oc13:17djn:188mad=831d=:850;9~f702290>6=4?{%3;e?75n2B8ok5G3b68 `3=9;1bh44?::kge?6=3`ni6=44iea94?=h9><1<75rb230>5<4290;w)?7a;31`>N4ko1C?n:4$d7967=nl00;66gka;29?j70>3:17pl<0183>6<729q/=5o513f8L6ea3A9h86*j5;01?lb>2900eio50;9l520=831vn?77:186>5<7s-;3m7?=f:J0gc=O;j>0(h;5219j`<<722com7>5;hfa>5<<ami1<75`16494?=zj;2>6=4::183!7?i3;9j6F<cg9K7f2<,l?1>>5fd883>>oci3:17djm:188mae=831d=:850;9~f675290>6=4?{%3;e?75n2B8ok5G3b68 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9><1<75rb3de>5<2290;w)?7a;31b>N4ko1C?n:4$d7966=nl00;66gka;29?lbe2900eim50;9l520=831vn?78:186>5<7s-;3m7?=f:J0gc=O;j>0(h;5219j`<<722com7>5;hfa>5<<ami1<75`16494?=zj;2?6=4::183!7?i3;9j6F<cg9K7f2<,l?1>=5fd883>>oci3:17djm:188mae=831d=:850;9~f676290>6=4?{%3;e?75n2B8ok5G3b68 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9><1<75rb3df>5<2290;w)?7a;31b>N4ko1C?n:4$d7966=nl00;66gka;29?lbe2900eim50;9l520=831vn?79:186>5<7s-;3m7?=f:J0gc=O;j>0(h;5219j`<<722com7>5;hfa>5<<ami1<75`16494?=zj;286=4::183!7?i3;9j6F<cg9K7f2<,l?1>=5fd883>>oci3:17djm:188mae=831d=:850;9~f677290>6=4?{%3;e?75n2B8ok5G3b68 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9><1<75rb3dg>5<2290;w)?7a;31b>N4ko1C?n:4$d7966=nl00;66gka;29?lbe2900eim50;9l520=831vn?7::186>5<7s-;3m7?=f:J0gc=O;j>0(h;5219j`<<722com7>5;hfa>5<<ami1<75`16494?=zj;296=4::183!7?i3;9j6F<cg9K7f2<,l?1>=5fd883>>oci3:17djm:188mae=831d=:850;9~f7?c290?6=4?{%3;e?75m2B8ok5G3b68 `3=ko1bh44?::kge?6=3`ni6=44o055>5<<uk8287>54;294~"60h0:>h5G3bd8L6e33-o>6<?4ie;94?=nlh0;66gkb;29?j70>3:17pl=8083>1<729q/=5o513g8L6ea3A9h86*j5;32?lb>2900eio50;9j`g<722e:;;4?::a74?=83?1<7>t$0:b>44a3A9hj6F<c59'a0<dm2co57>5;hfb>5<<amh1<75fdb83>>i6??0;66sm31d94?3=83:p(<6n:00e?M5dn2B8o95+e4817>oc13:17djn:188mad=831bhn4?::m233<722wi>km50;794?6|,82j6<<i;I1`b>N4k=1/i84=3:kg=?6=3`nj6=44ie`94?=nlj0;66a>7783>>{e:;91<7=50;2x 4>f288o7E=lf:J0g1=#m<09>6gk9;29?lbf2900c<99:188yg7ek3:1?7>50z&2<d<6:m1C?nh4H2a7?!c22;80ei750;9j`d<722e:;;4?::a670=83>1<7>t$0:b>44b3A9hj6F<c59'a0<6m2co57>5;hfb>5<<amh1<75`16494?=zj8hm6=4;:183!7?i3;9i6F<cg9K7f2<,l?1=h5fd883>>oci3:17djm:188k4112900qo<m9;296?6=8r.:4l4>2b9K7f`<@:i?7)k::028ma?=831d=:850;9~f7d029096=4?{%3;e?75k2B8ok5G3b68 `3=991bh44?::m233<722wi>o;50;094?6|,82j6<<l;I1`b>N4k=1/i84>0:kg=?6=3f;<:7>5;|`1f6<72;0;6=u+19c957e<@:im7E=l4:&f1?773`n26=44o055>5<<uk8i=7>52;294~"60h0:>n5G3bd8L6e33-o>6<>4ie;94?=h9><1<75rb3ce>5<5290;w)?7a;31g>N4ko1C?n:4$d7955=nl00;66a>7783>>{e:hn1<7<50;2x 4>f288h7E=lf:J0g1=#m<0:<6gk9;29?j70>3:17pl=ac83>7<729q/=5o513a8L6ea3A9h86*j5;33?lb>2900c<99:188yg4f13:1>7>50z&2<d<6:j1C?nh4H2a7?!c228:0ei750;9l520=831vn>=<:181>5<7s-;3m7?=c:J0gc=O;j>0(h;5119j`<<722e:;;4?::a767=8381<7>t$0:b>44d3A9hj6F<c59'a0<682co57>5;n342?6=3th8>k4?:383>5}#91k1=?m4H2ae?M5d<2.n97??;hf:>5<<g8==6=44}c11`?6=:3:1<v*>8`826f=O;jl0D>m;;%g6>46<am31<75`16494?=zj:8i6=4=:183!7?i3;9o6F<cg9K7f2<,l?1==5fd883>>i6??0;66sm33;94?4=83:p(<6n:00`?M5dn2B8o95+e4824>oc13:17b?86;29?xd4:>0;6?4?:1y'5=g=9;i0D>mi;I1`0>"b=3;;7dj6:188k4112900qo==5;296?6=8r.:4l4>2b9K7f`<@:i?7)k::028ma?=831d=:850;9~f7e329096=4?{%3;e?75k2B8ok5G3b68 `3=991bh44?::m233<722wi?>j50;094?6|,82j6<<l;I1`b>N4k=1/i84>0:kg=?6=3f;<:7>5;|`167<72?0;6=u+19c9566<@:im7E=l4:&f1?423`n26=44iec94?=nlk0;66gkc;29?j7513:17b?86;29?xd6jk0;6;4?:1y'5=g=9::0D>mi;I1`0>"b=38>7dj6:188mag=831bho4?::kgg?6=3f;957>5;n342?6=3th8mo4?:9594?6|@:i?7)?7a;34b>\3=3kp47<9:e821?7428h1i7?9:0695f<z,88<695+de86?!bb2<1/hk4:;%g3>0=#m80>7)k=:49'a6<23-ii6i>4$d491>"b?3?0(h655:&f=?3<,lk196*jb;78 `e==2.nh7;4$dg91>"bn3?0(k>55:&e5?3<,o8196*i3;78 c2==2.m97;4$g491>"a?3?0(k655:&e=?3<,ok196*ib;78 ce==2.mh7;4$gg91>"an3?0(<>?:49'557==2.:<?4:;%337?3<,8:?685+11791>"68?0>7)??7;78 46?2<1/==755:&24d<23-;;n7;4$02`>0=#99n196*>0d86?!77n3?0(<??:49'547==2.:=?4:;%327?3<,8;?685+10791>"69?0>7)?>7;78 47?2<1/=<755:&25d<23-;:n7;4$03`>0=#98n196*>1d86?!76n3?0(<<?:49'577==2.:>?4:;%317?3<,88?685+13791>"6:?087)?74;61?!c32<1/=5853:&0g<<6091/?no51928j<c=82dh<7>4n0:2>44<f8296?:4n2aa>44<f:ih6?:4$ba9`5=#91=186g;a;29?l2e2900en750;9j7f>=831b=5650;9j<0<72-;<n769;o34e?7<3`9<6=4+16`973=i9>k1<65f3483>!70j39=7c?8a;38?l53290/=:l5379m52g=:21b?>4?:%34f?513g;<m7=4;h11>5<#9>h1?;5a16c90>=n;80;6)?8b;15?k70i3?07d=?:18'52d=;?1e=:o56:9j6c<72-;<n7=9;o34e?1<3`8n6=4+16`973=i9>k1465f2e83>!70j39=7c?8a;;8?l26290/=:l5419m52g=821b?k4?:%34f?273g;<m7?4;h1f>5<#9>h18=5a16c96>=n;m0;6)?8b;63?k70i3907d=l:18'52d=<91e=:o54:9j7g<72-;<n7:?;o34e?3<3`9j6=4+16`905=i9>k1:65f3883>!70j3>;7c?8a;58?l5?290/=:l5419m52g=021b;i4?:%34f?1d3g;<m7>4;h5a>5<#9>h1;n5a16c95>=n?00;6)?8b;5`?k70i3807d97:18'52d=?j1e=:o53:9j32<72-;<n79l;o34e?2<3`==6=4+16`93f=i9>k1965f7483>!70j3=h7c?8a;48?l13290/=:l57b9m52g=?21b;>4?:%34f?1d3g;<m764;h51>5<#9>h1;n5a16c9=>=n?80;6)?8b;5`?k70i3k07d9?:18'52d=?j1e=:o5b:9j2`<72-;<n79l;o34e?e<3`<o6=4+16`93f=i9>k1h65f6b83>!70j3=h7c?8a;g8?l0e290/=:l57b9m52g=n21b:l4?:%34f?1d3g;<m7??;:k5=?6=,8=i6:m4n05b>47<3`<36=4+16`93f=i9>k1=?54i7594?"6?k0<o6`>7`827>=n>?0;6)?8b;5`?k70i3;?76g95;29 41e2>i0b<9n:078?l>3290/=:l57b9m52g=9?10e5=50;&23g<0k2d:;l4>7:9j<7<72-;<n79l;o34e?7?32c3=7>5$05a>2e<f8=j6<74;h:3>5<#9>h1;n5a16c95d=<a>l1<7*>7c84g>h6?h0:n65f7d83>!70j3=h7c?8a;3`?>o0i3:1(<9m:6a8j41f28n07d8i:18'52d=?j1e=:o51d98m32=83.:;o48c:l23d<6n21b4n4?:%34f?>e3g;<m7>4;h:b>5<#9>h14o5a16c95>=n000;6)?8b;:a?k70i3807d67:18'52d=0k1e=:o53:9l=1<72-;<n77<;o34e?6<3f396=4+16`9=6=i9>k1=65`9083>!70j3387c?8a;08?j?7290/=:l5929m52g=;21d4k4?:%34f??43g;<m7:4;n:f>5<#9>h15>5a16c91>=h1m0;6)?8b;;0?k70i3<07b7l:18'52d=1:1e=:o57:9l=g<72-;<n77<;o34e?><3f3j6=4+16`9=6=i9>k1565`9883>!70j3387c?8a;c8?j??290/=:l5929m52g=j21d5:4?:%34f??43g;<m7m4;n;5>5<#9>h15>5a16c9`>=h1<0;6)?8b;;0?k70i3o07b6k:18'52d=1:1e=:o5f:9lg6<72-;<n7m=;o34e?6<3fi:6=4+16`9g7=i9>k1=65fc`83>>o6000;66g<c683>>o2i3:1(<9m:4;8j41f2910e8650;&23g<212d:;l4>;:k63?6=,8=i6874n05b>7=<a<<1<7*>7c86=>h6?h0876g:5;29 41e2<30b<9n:598m02=83.:;o4:9:l23d<232c=?7>5$05a>0?<f8=j6;54i7094?"6?k0>56`>7`84?>o193:1(<9m:4;8j41f2110e;>50;&23g<212d:;l46;:k6b?6=,8=i6874n05b>d=<a<o1<7*>7c86=>h6?h0i76g:d;29 41e2<30b<9n:b98m0e=83.:;o4:9:l23d<c32c>n7>5$05a>0?<f8=j6h54i4194?"6?k0>56`>7`8e?>o??3:1(<9m:948j41f2910co650;&23g<e?2d:;l4?;:ma2?6=,8=i6o94n05b>4=<gk>1<7*>7c8a3>h6?h0976am3;29 41e2k=0b<9n:298kg4=83.:;o4m7:l23d<332ei=7>5$05a>g1<f8=j6854oc294?"6?k0i;6`>7`85?>ifn3:1(<9m:c58j41f2>10clk50;&23g<e?2d:;l47;:mb`?6=,8=i6o94n05b><=<ghi1<7*>7c8a3>h6?h0j76anb;29 41e2k=0b<9n:c98kd?=83.:;o4m7:l23d<d32ej47>5$05a>g1<f8=j6i54o`594?"6?k0i;6`>7`8f?>if>3:1(<9m:c58j41f2o10cl;50;&23g<e?2d:;l4>0:9le1<72-;<n7l8;o34e?7632ej?7>5$05a>g1<f8=j6<<4;nc1>5<#9>h1n:5a16c956=<gh;1<7*>7c8a3>h6?h0:865`a183>!70j3h<7c?8a;36?>ien3:1(<9m:c58j41f28<07blj:18'52d=j>1e=:o51698kgb=83.:;o4m7:l23d<6021dnn4?:%34f?d03g;<m7?6;:maf?6=,8=i6o94n05b>4g<3fhj6=4+16`9f2=i9>k1=o54oc;94?"6?k0i;6`>7`82g>=hj<0;6)?8b;`4?k70i3;o76ana;29 41e2k=0b<9n:0g8?j?a290/=:l5b69m52g=9o10cn650;&23g<d?2d:;l4?;:m`2?6=,8=i6n94n05b>4=<gj?1<7*>7c8`3>h6?h0976al4;29 41e2j=0b<9n:298yv2e83:1:vP;b19>63c=lh16>;m5d89>624=lj16>;85dc9>633=l01v9oj:1853~X4m?1U?i64^5`;?[2e>2T8h:5Q4c18Z1063W>i;6P;639]0=2<V:o?7S=j3:\0a7=Y;l;0R>k?;_1gb>X4ll1U?ij4^2f`?[2d:2T?o<5Q4b28Z1da3W>ii6P;be9]0ge<V=hi7S:ma:\7ea=Y<hi0R9om;_6be>X3i01U8l64^5c4?[2f>2T?m85Q4`6896b72m=01>ln:42896ga2<:01>l6:42896d?2<:01>l8:42896e52<:01>m>:42897d?2<801?l9:40897d32<801?l=:40897d72<801?oj:40897gd2<801?on:40897g?2<801?6j:40897>c2<801?6l:40897>e2<801?6n:408971e2<801?9n:408971>2<801?97:40897102<801>>6:408966?2<801>>8:40896612<801>>::40897`12<801?h::40897`32<801?h<:40897`52<801>==:40896572<801><j:408964d2<801><n:408964?2<801><9:40896432<801>om:97896ge282270=nb;1`3>;4ik0>m63<ac86<>;4ik0>;63<ac862>;4ik0>963<ac860>;4ik0=?63<ac856>;4ik0==63<ac854>;4ik0>j63<ac86a>;4ik0>h63<ac86g>;4ik0>n63<ac867>;4ik03;6s|4c094?5|V=h970=ma;34g>;4io0:;n5rs353>5<5sW>>:63=71823a=z{=i?6=4<{_6`0>;49h0o563<188g=>{t<h91<7;7{_6b7>;51k0?:63<b0872>;4j90?:63<ag872>;4j00?:63<b9872>;4j>0?:63=15872>;59:0?:63=13872>;5980?:63=11872>;58o0?:63=0d872>;58m0?:63=0b872>;5=j0?:63=5c872>;5=h0?:63=58872>;5=10?:63=56872>;5=?0?:63=54872>;5==0?:63=4`872>;5<00?:63=49872>;5<>0?:63=47872>;5<<0?:63=45872>;5<:0?:63=43872>;6l:0?:63>d3872>;6l80?:63>d1872>;6ko0?:63>cd872>;6km0?:63>cb872>;6kk0?:63>c`872>;5l10?:63=d6872>;5l<0?:63=d5872>;5l?0?:63=d2872>;5l;0?:63=d1872>;5ko0?:63=d0872>;5mj0?:63=ec872>;5mh0?:63=e8872>;5m10?:63=e6872>;5m?0?:63=e4872>;5m=0?:63=e2872>;5>o0?:63=71872>;5>h0?:63=68872>;4190?:63<8g872>;40l0?:63<8e872>;40j0?:63<8c872>;40h0?:63<88872>;4110?:63<96872>;41?0?:63<94872>;41=0?:63<92872>;41;0?:63<90872>;4010?:63<86872>;4ik0?m6s|30594?4|V=?h70=>7;34`>{t<k31<7:6{_6a=>;49>0?:63<17872>;4jj0?:63<b`872>;4jk0?:63<c3872>;4k80?:63>ae872>;6ij0?:63>ac872>;6ih0?:63>a8872>;6i10?:63>a6872>;6i?0?:63>a4872>;6n00?:63>f9872>;6n>0?:63>f7872>;6n<0?:63>f5872>;6n:0?:63>f3872>;6n80?:63>e6872>;6m?0?:63>e4872>;6m=0?:63>e2872>;6m;0?:63>e0872>;6m90?:63>dg872>;6ll0?:63=39872>;5;>0?:63=37872>;5;<0?:63=35872>;5;:0?:63=33872>;5;80?:63=31872>;4=k0?:63<58872>;4=h0?:63<5b872>;4=m0?:63<5d872>;4=o0?:63<61872>;4>80?:63<4g872>;4<l0?:63<4b872>;4<k0?:63<4e872>;4<h0?:63<48872>;4<>0?:63<49872>;4?:0?:63<73872>;4?80?:63<71872>;4>o0?:63<6d872>;4>m0?:63<6b872>;4>k0?:63<ac87f>{t;>n1<7<t^51:?85>83;<h6s|36a94?4|V=9370=7f;34`>{t;>h1<7<t^514?85?m3;<h6s|36c94?4|V=9=70=7d;34`>{t;>31<7<t^516?85?k3;<h6s|36:94?4|V=9?70=7b;34`>{t;>=1<7<t^510?85?i3;<h6s|36494?4|V=9970=79;34`>{t;1<1<7<t^562?85>03;<h6s|39794?4|V=>;70=67;34`>{t;1>1<7<t^51e?85>>3;<h6s|39194?4|V=9n70=65;34`>{t;181<7<t^51g?85><3;<h6s|39394?4|V=9h70=63;34`>{t;1:1<7<t^51a?85>:3;<h6s|36d94?4|V=9j70=61;34`>{t;>o1<7<t^512?85?03;<h6s|36794?4|V=9;70=77;34`>{t<;21<7<t^527?85fj3227p};2783>7}Y<9901>om:7c8yv25=3:1>vP;039>7dd=>01v9<;:181[279278mo498:p075=838pR9>?;<1bf?003ty?>?4?:3y]7c`<5:ki6;84}r614?6=:rT8ji523``920=z{=;m6=4={_1eg>;4ik0386s|40g94?4|V:li70=nb;:0?xu39m0;6?uQ3gc896ge2120q~:>c;296~X4n016?ll5839~w17e2909wS=i8:?0eg<?92wx8<o50;0xZ6`0349jn76?;|q75<<72;qU?k84=2ca>2`<uz>:47>52z\0b0=:;hh1;h5rs534>5<5sW9m863<ac84e>{t<8?1<7<t^2d1?85fj3<m7p};1583>7}Y;o;01>om:768yv26;3:1>vP<f19>7dd=0j1v9?=:181[5bn278mo48d:p047=838pR>kj;<1bf?1e3ty?==4?:3y]7`b<5:ki6:74}r63b?6=:rT8in523``93==z{=:n6=4={_1ff>;4ik0<;6s|41f94?4|V:oj70=nb;55?xu38j0;6?uQ3d;896ge2>?0q~:=f;296~X38h16?ll5759~w14b2909wS:?9:?0eg<?i2wx8?j50;0xZ16?349jn79<;|q76f<72;qU8=94=2ca>24<uz>9n7>52z\743=:;hh1;<5rs50b>5<5sW>;963<ac844>{t<;31<7<t^2df?85fj3<n7p};2083>7}Y;o901>om:7f8yv26>3:1>vP<e99>7dd=>j1v9>m:181[5b?278mo49b:p563=833p1>j>:05;?84ei3>270<n8;6:?84?i3>270<87;6:?857=3>270<i2;6:?854<3>270==4;6:?xu51j0;6?u228`915=::0n1=:84}r1a2?6=9hq6>4l516a896d>28=o70<k8;6`?84c?3>h70<k5;6`?84c<3>h70<k6;6`?84c;3>h70<k2;6`?84c83>h70<lf;34g>;5l80?o63=eb87g>;5mk0?o63=e`87g>;5m00?o63=e987g>;5m>0?o63=e787g>;5m<0?o63=e587g>;5m:0?o63=6g823f=::>:1=:m4=34b>1e<5;<269m4}r0:f?6=<r795o4>7e9>63e=lj16?lj5d89>633=lh1v>li:181<~;49>0?o63<1787g>;4k80:;i521`f90f=:9hi18n521``90f=:9hk18n521`;90f=:9h218n521`590f=:9h<18n521`790f=:9o318n521g:90f=:9o=18n521g490f=:9o?18n521g690f=:9o918n521g090f=:9o;18n521d590f=:9l<18n521d790f=:9l>18n521d190f=:9l818n521d390f=:9l:18n521ed90f=:9mo18n5222:90f=:::=18n5222490f=:::?18n5222690f=:::918n5222090f=:::;18n5222290f=z{:;36=4<{<123?37349::7;?;<12=?70>2wx?<850;1x967128=o70=>a;fb?85613nj7p}<b183>6}:;k;19=523c2952b<5:km6994}r1a5?6=:r78n<4>7e9>7g5=l01v>lm:18085ek3?;70=ma;64?85ej3;<h6s|3ca94?4|5:hh6<9k;<1aa?b>3ty8mk4?:2y>7g6==916?lh516f896d42mk0q~=ma;297~;4jh0:;i523c`915=:;ko1hl5rs2`1>5<3s49i57?8c:?0f=<6?j16?o9516a896d428==7p}<b483>70|5:h36<9k;<020?2d348:?7:l;<026?2d348:=7:l;<024?2d348;j7:l;<03a?2d348;h7:l;<03g?2d348>o7:l;<06f?2d348>m7:l;<06=?2d348>47:l;<063?2d348>:7:l;<061?2d348>87:l;<07e?2d348?57:l;<07<?2d348?;7:l;<072?2d348?97:l;<070?2d348??7:l;<076?2d34;o?7:l;<3g6?2d34;o=7:l;<3g4?2d34;hj7:l;<3`a?2d34;hh7:l;<3`g?2d34;hn7:l;<3`e?2d3ty8n94?:07x96d028=o70=60;34a>;40o0:;h5239g952c<5:2o6<9j;<1;g?70m2784o4>7d9>7=g=9>o01>66:05f?85>03;<i63<96823`=:;0<1=:k4=2;6>41b349287?8e:?0=6<6?l16?4<516g896?628=n70=78;34a>;40>0:;h523`f9`d=:;hh1=564}r1a`?6=;r78o?4>7b9>7f7=9>i01>lj:055?xu4k90;6<mt=2a1>41c349>n7:l;<16=?70k2789l4;c:?01f<3k2789i4;c:?01`<3k2789k4;c:?025<3k278:<4;c:?00c<3k2788h4;c:?00f<3k2788o4;c:?00a<3k2788l4;c:?00<<3k2788:4;c:?00=<6?j16?:=54b9>724=<j16?:?54b9>726=<j16?;h54b9>73c=<j16?;j54b9>73e=<j16?;l54b9~w4`7290>w0?nd;73?87a13;<h63>ag8ge>;6j80o563>b28gg>{t9h>1<7=t=0cg>41c349:?7jn;<134?bf3ty:mh4?:3y>5de==916=lh51648yv7f;3:1?v3>ab823a=:;881h4522gd9`<=z{8h;6=4={<3bf?3734;i=7?86:p5d4=839p1<om:05g?856:3ni70<if;fa?xu6j;0;6;u21`c915=:9k91=:84=0`6>ag<58h<6i74=0`:>ae<58hi6im4}r3b5?6=;r7:ml4>7e9>747=l016>kk5d89~w4d32909w0?n9;73?87e=3;<:6s|1`294?5|58k26<9k;<125?be348mi7jm;|q2f3<72;q6=l65519>5g1=9><0q~?6f;297~;6i10:;i523029`<=::on1h45rs0`;>5<5s4;j;7;?;<3a=?70>2wx=4k50;1x94g028=o70=>0;fa?84al3ni7p}>b`83>7}:9h<19=521ca9520<uz;2h7>53z?2e3<6?m16?=h5d89>6ce=l01v<lj:18187f=3?;70?mf;342>{t90i1<7=t=0c6>41c349;j7jm;<0eg?be3ty99>4?:4y>642==916>8m516f897712mk01??7:e;8977f2mi0q~<?b;297~;59=0:;i5228:9`d=::1?1hl5rs336>5<5s48:?7;?;<022?70>2wx>=o50;1x977428=o70<68;f:?84?=3nh7p}=1683>7}::8819=5220:9520<uz8;57>53z?157<6?m16>495d`9>6=2=lh1v??6:18584693?;70<>a;342>;59j0om63=1d8g=>;5:90oo63=238gg>{t:921<7=t=332>41c3482;7j6;<0;0?b>3ty9=o4?:3y>646==916><m51648yv47?3:1?v3=11823a=::0<1hl522919`d=z{;;o6=4={<03b?37348:i7?86:p650=839p1?>i:05g?84>>3n270<73;f:?xu59o0;6?u221g915=::;:1=:84}r031?6=;r79<h4>7e9>6<3=lh16>5<5d`9~w7462909w0<?d;73?845;3;<:6s|21694?5|5;:o6<9k;<0:1?b>3483>7j6;|q160<72;q6>=m5519>670=9><0q~<?3;297~;58j0:;i522869`<=::1;1h45rs362>5<5s48>o7;?;<07e?70l2wx>9>50;0x973e2<:01?:6:05g?xu5=;0;69u224`952b<5;;=6i74=33;>ag<5;;j6il4}r00b?6=:r799l4:0:?10=<6?m1v?;>:180842i3;<h63=198gf>;59h0om6s|22g94?4|5;?268>4=364>41c3ty99=4?:3y>60?=9>n01??n:e;8yv44l3:1>v3=59864>;5<?0:;i5rs36e>5<2s48>47?8d:?15f<c1279=h4ka:?165<cj279>?4kb:p66e=838p1?;8:428972228=o7p}=4d83>1}::<=1=:j4=33f>ad<5;8;6io4=301>ag<uz88n7>52z?113<28279894>7e9~w72c2908w0<:6;34`>;5:90o563=238g=>{t::k1<7<t=376>06<5;>86<9k;|q10f<72:q6>8;516f897442m301?<9:ec8yv4413:1>v3=55864>;5<;0:;i5rs36a>5<5s48>87?8d:?163<c12wx=ij50;0x94`>2<:01<k8:05g?xu6lj0;6?u21g:915=:9l<1=:j4}r3fb?6=<r7:j54>7e9>5d`=l016=o?5d`9>5g5=lk1v<jm:18187a?3?;70?j5;34`>{t9lo1<7=t=0d4>41c34;i=7jm;<3a7?bf3ty:hl4?:3y>5c0==916=h:516f8yv7bl3:1>v3>f7823a=:9k91h45rs0f:>5<5s4;m97;?;<3f7?70l2wx=hm50;7x94`228=o70?m5;f:?87e?3nj70?m9;fa?87ej3ni7p}>d983>7}:9o>19=521d0952b<uz;nn7>54z?2b1<6?m16=o95dc9>5g?=lh16=ol5d`9~w4b02909w0?i3;73?87b93;<h6s|1dc94?5|58l86<9k;<3a=?b>34;in7j6;|q2`3<72;q6=k<5519>5`6=9>n0q~?j9;297~;6n;0:;i521ca9`<=:9kl1hl5rs0f6>5<5s4;m=7;?;<3gb?70l2wx=h650;0x94`628=o70?mf;f:?xu5:o0;6?u225c915=:::21=:j4}r01a?6=:r79844:0:?172<6?m1v?<k:18184303?;70<<6;34`>{t:;i1<7<t=364>06<5;9>6<9k;|q16g<72;q6>985519>662=9>n0q~<=a;296~;5<<0><63=32823a=z{;826=4={<070?373488>7?8d:p67>=838p1?:<:428975628=o7p}=2683>7}::=819=52222952b<uz;h57>52z?2a2<2827:h>4>7e9~w4e?2909w0?j6;73?87c:3;<h6s|1b594?4|58o>68>4=0f2>41c3ty:o;4?:3y>5`2==916=i>516f8yv7d=3:1>v3>e2864>;6ko0:;i5rs0a7>5<5s4;n>7;?;<3`a?70l2wx=n=50;0x94c62<:01<mk:05g?xu6k;0;6?u21d2915=:9ji1=:j4}r3`5?6=:r7:hk4:0:?2gg<6?m1v<m?:18187cm3?;70?la;34`>{t9m>1<7?t=0ff>41c3ty9i?4?:4y>5a5==916>hm516f894>e2m301?6::e;896ge2:=0q~?ia;296~;6l;0><63>8c8233=z{8li6=4={<3g5?3734;3o7?86:p5ce=838p1<j?:42894>c28==7p}>fe83>7}:9jl19=5219g9520<uz;mi7>52z?2g`<2827:4k4>779~w4`a2909w0?ld;73?87>83;<:6s|21294?4|58ih68>4=0;2>4113ty9<<4?:3y>5fd==916=4<51648yv47:3:1>v3>c`864>;61:0:;;5rs24b>5<3s48847;?;<147?70l27:594k9:?0eg<392wx>8j50;0x97502<:01<7;:055?xu5=l0;6?u2224915=:90?1=:84}r06b?6=:r79?84:0:?2=3<6??1v?8?:181844<3?;70?67;342>{t:?;1<7<t=310>06<58336<99;|q127<72;q6>><5519>5<?=9><0q~<93;296~;5;80><63>9`8233=z{;<?6=4={<004?3734;2n7?86:p6`b=83;<w0<k8;64?84c?3><70<k5;64?84c<3><70<k6;64?84c;3><70<k2;64?84c83><70<lf;64?84c93><70<jc;64?84bj3><70<ja;64?84b13><70<j8;64?84b?3><70<j6;64?84b=3><70<j4;64?84b;3><70=nd;fa?841=3;<:63=9e8g=>{t:h=1<7<t=3f;>06<5;i86<99;|q1g`<72=q6>i6516f897cd2<:01?77:ea897e32m30q~<n6;296~;5l>0><63=c38233=z{;io6=4;{<0g3?70l279io4:0:?1==<cj279n44k9:p6d2=838p1?j::42897e728==7p}=cc83>1}::m?1=:j4=3g:>06<5;3<6il4=3`6>a?<uz8j?7>52z?1`1<28279nk4>779~w7ef290?w0<k4;34`>;5m10><63=978gg>;5j:0o56s|2`794?4|5;n=68>4=3a2>4113ty9on4?:5y>6a0=9>n01?kn:42897?02mi01?l8:e;8yv4f:3:1>v3=d2864>;5jl0:;;5rs3a:>5<3s48o?7?8d:?1a2<282795;4kb:?1f4<c12wx>l?50;0x97b52<:01?lk:055?xu5k10;69u22e0952b<5;o=68>4=3;6>ae<5;km6i74}r0:b?6=:r79h=4:0:?1fg<6??1v?m9:18784c83;<h63=e5864>;51=0on63=ac8g=>{t:0o1<7<t=3ae>06<5;hj6<99;|q1g0<72=q6>nh516f897c42<:01?7;:ec897g>2m30q~<n0;296~;5l80><63=bb8233=z{;i<6=4;{<0g5?70l279i84:0:?1=0<cj279mi4k9:p6g>=838p1?m<:5;897d?28==7p}=c583>7}::j91=?64=3a7>4113ty9n;4?:2y>6f4=<016>o65489>6g0=9><0q~<m9;297~;5k;0:>5522c:95=5<5;h26<99;|q1f1<72:q6>n?5489>6g0=<016>o:51648yv4e?3:1?v3=c0826==::k<1=5=4=3`4>4113ty9n?4?:2y>6f6=<016>o:5489>6g4=9><0q~<m5;297~;5k90:>5522c695=5<5;h>6<99;|q1f5<72:q6>oh5489>6g4=<016>o>51648yv4e;3:1?v3=bg826==::k81=5=4=3`0>4113ty9mh4?:2y>6gc=<016>o>5489>6dc=9><0q~<m1;297~;5jl0:>5522c295=5<5;h:6<99;|q1ef<72:q6>oj5489>6dc=<016>lm51648yv4fn3:1?v3=be826==::ho1=5=4=3ce>4113ty9ml4?:2y>6ge=<016>lm5489>6dg=9><0q~<nd;297~;5jj0:>5522`a95=5<5;ko6<99;|q1e=<72:q6>ol5489>6dg=<016>l651648yv4fj3:1?v3=bc826==::hk1=5=4=3ca>4113ty9m44?:2y>6gg=9;201?o7:0:0?84f13;<:6s|2d394?3|5;oi6<9k;<3;g?b>34;3n7jn;<0;1?be349jn7=:;|q1a5<72<q6>ho516f894>c2m301<6l:ec897>32mi01>om:268yv4cn3:19v3=e8823a=:91o1h45219f9`d=::1>1ho523``976=z{;nn6=4:{<0f<?70l27:4k4k9:?2<`<ci2794>4kc:?0eg<4:2wx>ij50;7x97c028=o70?60;f:?87?n3nj70<73;fa?85fj39:7p}=db83>0}::l<1=:j4=0;2>a?<583;6io4=3:1>ae<5:ki6>>4}r0gf?6==r79i84>7e9>5<4=l016=4?5d`9>6=4=lk16?ll52g9~w7bf290>w0<j4;34`>;61:0o563>938ge>;5080on63<ac81a>{t:m31<7:t=3g0>41c34;2?7jn;<0;5?bf349jn7<k;|q134<72:q6>;h5519>626==916>:<51648yv41n3:1>v3=6g823a=::>81h45rs34a>5<5s48=m7;?;<05g?70>2wx>;650;4x970f28=o70<9e;fa?841k3ni70<82;fa?841>3n270<95;fa?xu5>m0;6?u227;915=::?o1=:84}r053?6=>r79:44>7e9>63c=l016>;m5d`9>624=lh16>;85d`9>633=lj1v?66:18184?m3>270<7d;342>{t:091<7<t=3:f>4>4348247?86:p6<g=838p1?6j:055?84>l3nj7p}=8983>7}::1n1845229a9520<uz82>7>52z?1<a<60:16>4951648yv4??3:1>v3=8b87=>;50k0:;;5rs3;2>5<5s483o7?73:?1=3<6??1v?69:18184?j3>270<7a;342>{t:0:1<7<t=3:a>4>4348297?86:p6=`=838p1?6n:0:0?84><3;<:6s|26494?4|5;=i6974=35b>4113ty94=4?:3y>62d=91901?6::055?xu5100;6?u226`9520<5;3o6il4}r041?6=:r79;l4;9:?13<<6??1v?9i:181840i3;3?63=858233=z{;=?6=4={<04=?2>348<47?86:p62c=838p1?96:0:0?84?;3;<:6s|26194?4|5;=36974=354>4113ty9;i4?:3y>62>=91901?6=:055?xu5?j0;6?u226595=5<5;2:6<99;|q041<72;q6?=75489>75>=9><0q~=?e;296~;4800:4>523019520<uz9:97>52z?04<<6??16?<75db9~w6642909w0=?8;6:?857?3;<:6s|31f94?4|5::36<6<;<126?70>2wx?=<50;0x96602=301>>9:055?xu48j0;6?u231595=5<5:;:6<99;|q044<72;q6?=85489>753=9><0q~=?b;296~;48?0:4>523029520<uz9;m7>52z?040<60:16?=h51648yv4a93:1>v3=f787=>;5n<0:;;5rs3da>5<5s48m:7?73:?045<6??1v>?;:18184a>3;<:63<188gf>{t:o:1<7<t=3d6>1?<5;l?6<99;|q1bd<72;q6>k;5191897`a28==7p}=eg83>7}::o>184522g19520<uz8m57>52z?1b1<60:16>kk51648yv4bm3:1>v3=f287=>;5n;0:;;5rs3d;>5<5s48m?7?73:?1ba<6??1v?h8:18184a:3;3?63=fb8233=z{:=?6=4>ez?01g<3?278944;7:?01d<3?2789n4;7:?01a<3?2789h4;7:?01c<3?278:=4;7:?024<3?2788k4;7:?00`<3?2788n4;7:?00g<3?2788i4;7:?00d<3?278844;7:?002<3?278854;7:?036<3?278;?4;7:?034<3?278;=4;7:?02c<3?278:h4;7:?02a<3?278:n4;7:?02g<3?278=l4>779>7dd=;j201>om:bc8yv5383:18v3<5c864>;4<00:;i523029`f=:;;31h45rs271>5<4s49>n7?8d:?02a<28279ji4kc:p76c=83>p1>;6:428962028=o70=?f;f`?855=3n27p}<5183>6}:;<31=:j4=24a>06<5;lh6im4}r10b?6=<r789l4:0:?00=<6?m16?=h5d`9>771=l01v>;>:180852i3;<h63<6b864>;5nj0om6s|35394?2|5:?h68>4=26b>41c349:<7jn;<11f?b>3ty89>4?:2y>70e=9>n01>8j:42897`c2mk0q~=;2;290~;4=m0><63<4c823a=:;8;1hn5233f9`<=z{:??6=4<{<16`?70l278:k4:0:?1b`<ck2wx?9=50;6x963b2<:01>:l:05g?85693nj70==f;f:?xu4=<0;6>u234g952b<5:=;68>4=3df>ag<uz9?87>54z?01c<282788i4>7e9>744=lj16?>?5d89~w6312908w0=:f;34`>;4?80><63=fg8gg>{t;=?1<7:t=243>06<5:>n6<9k;<126?bf3498?7j6;|q012<72:q6?;>516f896152<:01?hi:ec8yv53>3:18v3<60864>;4<o0:;i523019`<=:;:n1h45rs27;>5<4s49==7?8d:?036<28278<=4k9:p775=838p1>:i:428965d28==7p}<2383>7}:;=o19=5232`9520<uz99<7>52z?00f<28278?44>779~w67a2909w0=;b;73?85403;<:6s|33394?4|5:>o68>4=21b>4113ty8=h4?:3y>71g==916?>951648yv56l3:1>v3<48864>;4;?0:;;5rs23a>5<5s49?;7;?;<100?70>2wx?<m50;0x962?2<:01>=::055?xu4;;0;6?u232a90<=:;:81=:84}r10`?6=:r78?n4>299>76b=9><0q~=<0;297~;4;k0?563<3387=>;4;90:;;5rs210>5<4s498n7?=8:?077<60:16?>=51648yv55m3:1?v3<3`87=>;4;90?563<2d8233=z{:9:6=4<{<10e?750278?=4>829>767=9><0q~==c;297~;4;00?563<2d87=>;4:j0:;;5rs20e>5<4s49857?=8:?06`<60:16??h51648yv55i3:1?v3<3987=>;4:j0?563<2`8233=z{:8o6=4<{<10<?750278>n4>829>77b=9><0q~==8;297~;4;>0?563<2`87=>;4:10:;;5rs20a>5<4s498;7?=8:?06d<60:16??l51648yv55>3:1?v3<3787=>;4:10?563<278233=z{:826=4<{<102?750278>54>829>77?=9><0q~==4;297~;4;<0?563<2787=>;4:=0:;;5rs204>5<4s49897?=8:?063<60:16??951648yv55=3:1?v3<35826==:;;>1=5=4=206>4113ty8:44?:5y>724=9>n01<7::e;894?32mk01>om:2d8yv5103:18v3<70823a=:90<1h4521879`d=:;hh1?h5rs244>5<3s49<<7?8d:?2=2<c127:5;4ka:?0eg<4l2wx?;850;6x960a28=o70?68;f:?87>?3nj70=nb;1`?xu4><0;69u237g952b<58326i74=0;;>ag<5:ki6>l4}r150?6=<r78:i4>7e9>5<g=l016=475d`9>7dd=;h1v>8<:187851k3;<h63>9c8g=>;61h0om63<ac80=>{t;?81<7=t=24a>41c34;2n7jn;<1bf?5?3ty8mh4?:01x96?72==01>6i:55896>b2==01>6k:55896>d2==01>6m:55896>f2==01>66:55896??2==01>78:55896?12==01>7::55896?32==01>7<:55896?52==01>7>:55896>?2==01>68:558970128==7p}<a083>7}:;0:19=523``9g6=z{:k;6=4={<1;b?37349jn77;;|q0=c<72;q6?5k5519>7dd=1;1v>7j:18185?l3?;70=nb;;2?xu41m0;6?u239a915=:;hh15=5rs2;`>5<5s493n7;?;<1bf?>a3ty85o4?:3y>7=g==916?ll58d9~w6?f2909w0=79;73?85fj33o7p}<a`83>7}:;0219=523``9=f=z{:k26=4={<1:3?37349jn7m>;|q0e=<72;q6?485519>7dd=1k1v>o8:18185>=3?;70=nb;;b?xu4i?0;6?u2386915=:;hh1545rs2c6>5<5s492?7;?;<1bf???3ty8m94?:3y>7<4==916?ll5969~w6g42909w0=61;73?85fj33=7p}<a383>7}:;1219=523``9=0=z{:326=4={<1;3?37349jn76k;|q0ef<72;q6?lj5164896ge2j30q~?<7;296~;5::0om63=23826<=z{8?86=4={<3ag?bf34;in7?=9:p672=838p1?<9:e`8974528==7p}>be83>7}:9kl1ho521c`9520<uty?n?4?:3y]0g4<5=>18o<4$2a0>4163ty?n44?:3y]0g?<5=>18o74$2a0>4153ty?m>4?:3y]0d5<5=>18l=4$2a0>4143ty?>54?:3y]052<5=>18=:4$2a0>4503ty?>;4?:3y]055<5=>18==4$2a0>4253ty?>84?:3y]054<5=>18=<4$2a0>42e3ty?>94?:3y]057<5=>18=?4$2a0>42c3ty?>>4?:3y]056<5=>18=>4$2a0>42b3ty?>?4?:3y]7c`<5=>1?kh4$2a0>42a3ty?>=4?:3y]7cb<5=>1?kj4$2a0>4373ty?=k4?:3y]7ce<5=>1?km4$2a0>4363ty?=h4?:3y]7cd<5=>1?kl4$2a0>4353ty?=i4?:3y]7cg<5=>1?ko4$2a0>4333ty?=n4?:3y]7c?<5=>1?k74$2a0>4323ty?=o4?:3y]7c><5=>1?k64$2a0>4313ty?=l4?:3y]7c1<5=>1?k94$2a0>4303ty?=44?:3y]7c0<5=>1?k84$2a0>43?3ty?=54?:3y]7c3<5=>1?k;4$2a0>43>3ty?=:4?:3y]7c2<5=>1?k:4$2a0>43f3ty?=84?:3y]7c4<5=>1?k<4$2a0>43e3ty?=94?:3y]7c7<5=>1?k?4$2a0>43d3ty?=>4?:3y]7c6<5=>1?k>4$2a0>43c3ty?=?4?:3y]7``<5=>1?hh4$2a0>43b3ty?=<4?:3y]7`c<5=>1?hk4$2a0>43a3ty?==4?:3y]7`b<5=>1?hj4$2a0>4073ty?<k4?:3y]7`e<5=>1?hm4$2a0>4063ty?<h4?:3y]7`d<5=>1?hl4$2a0>4053ty?<i4?:3y]7`g<5=>1?ho4$2a0>4043ty?<n4?:3y]7`?<5=>1?h74$2a0>4033ty?>k4?:3y]05g<5=>18=o4$2a0>4023ty?>h4?:3y]05?<5=>18=74$2a0>4013ty?>i4?:3y]05><5=>18=64$2a0>4003ty?>n4?:3y]051<5=>18=94$2a0>40?3ty?>o4?:3y]050<5=>18=84$2a0>40>3ty?>l4?:3y]053<5=>18=;4$2a0>40f3ty?>44?:3y]7cc<5=>1?kk4$2a0>40e3ty?><4?:3y]7c5<5=>1?k=4$2a0>40d3ty?=;4?:3y]7`><5=>1?h64$2a0>40c3ty?<o4?:3y]7`1<5=>1?h94$2a0>40b3ty?o94?:3y]0f2<5=>18n:4$2a0>40a3ty?n=4?:3y]0g6<5=>18o>4$2a0>4173ty?8o4?:3y]06?<5=>18>74$2a0>4513ty?844?:3y]06><5=>18>64$2a0>45?3ty?854?:3y]061<5=>18>94$2a0>45>3ty?8:4?:3y]060<5=>18>84$2a0>45f3ty?8;4?:3y]063<5=>18>;4$2a0>45e3ty?884?:3y]062<5=>18>:4$2a0>45d3ty?894?:3y]065<5=>18>=4$2a0>45c3ty?8>4?:3y]064<5=>18><4$2a0>45b3ty?994?:3y]017<5=>189?4$2a0>45a3ty?9>4?:3y]016<5=>189>4$2a0>4273ty?9?4?:3y]06`<5=>18>h4$2a0>4263ty?9<4?:3y]06c<5=>18>k4$2a0>4243ty?9=4?:3y]06b<5=>18>j4$2a0>4233ty?8k4?:3y]06e<5=>18>m4$2a0>4223ty?8h4?:3y]06d<5=>18>l4$2a0>4213ty?8i4?:3y]06g<5=>18>o4$2a0>4203ty?8n4?:3y]067<5=>18>?4$2a0>42?3ty?8?4?:3y]066<5=>18>>4$2a0>42>3ty?9n4?:3y]00e<5=>188m4$2a0>42f3ty?9;4?:3y]000<5=>18884$2a0>42d3twe4oh50;0xL6e33td3o=4?:3yK7f2<ug2h=7>52zJ0g1=zf1i96=4={I1`0>{i0j91<7<tH2a7?xh?k=0;6?uG3b68yk>d=3:1>vF<c59~j=e12909wE=l4:m<f1=838pD>m;;|l;g=<72;qC?n:4}o:`=?6=:rB8o95rn9ab>5<5sA9h86sa8b`94?4|@:i?7p`7cb83>7}O;j>0qc6ld;296~N4k=1vb5mj:181M5d<2we4nh50;0xL6e33td3h=4?:3yK7f2<ug2o=7>52zJ0g1=zf1n96=4={I1`0>{i0m91<7<tH2a7?xh?l=0;6?uG3b68yk>c=3:1>vF<c59~j=b12909wE=l4:m<a1=838pD>m;;|l;`=<72;qC?n:4}o:g=?6=:rB8o95rn9fb>5<5sA9h86sa8e`94?4|@:i?7p`7db83>7}O;j>0qc6kd;296~N4k=1vb5jj:181M5d<2we4ih50;0xL6e33td3i=4?:3yK7f2<ug2n=7>52zJ0g1=zf1o96=4={I1`0>{i0l91<7<tH2a7?xh?m=0;6?uG3b68yk>b=3:1>vF<c59~j=c12909wE=l4:m<`1=838pD>m;;|l;a=<72;qC?n:4}o:f=?6=:rB8o95rn9gb>5<5sA9h86sa8d`94?4|@:i?7p`7eb83>7}O;j>0qc6jd;296~N4k=1vb5kj:181M5d<2we4hh50;0xL6e33td3j=4?:3yK7f2<ug2m=7>52zJ0g1=zf1l96=4={I1`0>{i0o91<7<tH2a7?xh?n=0;6?uG3b68yk>a=3:1>vF<c59~j=`12909wE=l4:m<c1=838pD>m;;|l;b=<72;qC?n:4}o:e=?6=:rB8o95rn9db>5<5sA9h86sa8g`94?4|@:i?7p`85683>4}O;j>0qc964;295~N4k=1vb:7::182M5d<2we;4850;3xL6e33td<5:4?:0yK7f2<ug=247>51zJ0g1=zf>326=4>{I1`0>{i?0k1<7?tH2a7?xh01k0;6<uG3b68yk1>k3:1=vF<c59~j2?c290:wE=l4:m3<c=83;pD>m;;|l4=c<728qC?n:4}o5b4?6=9rB8o95rn6c2>5<6sA9h86sa7`094?7|@:i?7p`8a283>4}O;j>0qc9n4;295~N4k=1vb:o::182M5d<2we;l850;3xL6e33td<m:4?:0yK7f2<ug=j47>51zJ0g1=zf>k26=4>{I1`0>{i?hk1<7?tH2a7?xh0ik0;6<uG3b68yk1fk3:1=vF<c59~j2gc290:wE=l4:m3dc=83;pD>m;;|l4ec<728qC?n:4}o5a4?6=9rB8o95rn6`2>5<6sA9h86sa7c094?7|@:i?7p`8b283>4}O;j>0qc9m4;295~N4k=1vb:l::182M5d<2we;o850;3xL6e33td<n:4?:0yK7f2<ug=i47>51zJ0g1=zf>h26=4>{I1`0>{i?kk1<7?tH2a7?xh0jk0;6<uG3b68yk1ek3:1=vF<c59~j2dc290:wE=l4:m3gc=83;pD>m;;|l4fc<728qC?n:4}o5`4?6=9rB8o95rn6a2>5<6sA9h86sa7b094?7|@:i?7p`8c283>4}O;j>0qc9l4;295~N4k=1vb:m::182M5d<2we;n850;3xL6e33td<o:4?:0yK7f2<ug=h47>51zJ0g1=zf>i26=4>{I1`0>{i?jk1<7?tH2a7?xh0kk0;6<uG3b68yk1dk3:1=vF<c59~j2ec290:wE=l4:m3fc=83;pD>m;;|l4gc<728qC?n:4}o5g4?6=9rB8o95rn6f2>5<6sA9h86sa7e094?7|@:i?7p`8d283>4}O;j>0qc9k4;295~N4k=1vb:j::182M5d<2we;i850;3xL6e33td<h:4?:0yK7f2<ug=o47>51zJ0g1=zf>n26=4>{I1`0>{i?mk1<7?tH2a7?xh0lk0;6<uG3b68yk1ck3:1=vF<c59~j2bc290:wE=l4:m3ac=83;pD>m;;|l4`c<728qC?n:4}o5f4?6=9rB8o95rn6g2>5<6sA9h86sa7d094?7|@:i?7p`8e283>4}O;j>0qc9j4;295~N4k=1vb:k::182M5d<2we;h850;3xL6e33td<i:4?:0yK7f2<ug=n47>51zJ0g1=zf>o26=4>{I1`0>{i?lk1<7?tH2a7?xh0mk0;6<uG3b68yk1bk3:1=vF<c59~j2cc290:wE=l4:m3`c=83;pD>m;;|l4ac<728qC?n:4}o5e4?6=9rB8o95rn6d2>5<6sA9h86sa7g094?7|@:i?7p`8f283>4}O;j>0qc9i4;295~N4k=1vb:h::182M5d<2we;k850;3xL6e33td<j:4?:0yK7f2<ug=m47>51zJ0g1=zf>l26=4>{I1`0>{i?ok1<7?tH2a7?xh0nk0;6<uG3b68yk1ak3:1=vF<c59~j2`c290:wE=l4:m3cc=83;pD>m;;|l4bc<728qC?n:4}o:34?6=9rB8o95rn922>5<6sA9h86sa81094?7|@:i?7p`70283>4}O;j>0qc6?4;295~N4k=1vb5>::182M5d<2we4=850;3xL6e33td3<:4?:0yK7f2<ug2;47>51zJ0g1=zf1:26=4>{I1`0>{i09k1<7?tH2a7?xh?8k0;6<uG3b68yk>7k3:1=vF<c59~j=6c290:wE=l4:m<5c=83;pD>m;;|l;4c<728qC?n:4}o:24?6=9rB8o95rn932>5<6sA9h86sa80094?7|@:i?7p`71283>4}O;j>0qc6>4;295~N4k=1vb5?::182M5d<2we4<850;3xL6e33td3=:4?:0yK7f2<ug2:47>51zJ0g1=zf1;26=4>{I1`0>{i08k1<7?tH2a7?xh?9k0;6<uG3b68yk>6k3:1=vF<c59~j=7c290:wE=l4:m<4c=83;pD>m;;|l;5c<728qC?n:4}o:14?6=9rB8o95rn902>5<6sA9h86sa83094?7|@:i?7p`72283>4}O;j>0qc6=4;295~N4k=1vb5<::182M5d<2we4?850;3xL6e33td3>:4?:0yK7f2<ug2947>51zJ0g1=zf1826=4>{I1`0>{i0;k1<7?tH2a7?xh?:k0;6<uG3b68yk>5k3:1=vF<c59~j=4c290:wE=l4:m<7c=83;pD>m;;|l;6c<728qC?n:4}o:04?6=9rB8o95rn912>5<6sA9h86sa82094?7|@:i?7p`73283>4}O;j>0qc6<4;295~N4k=1vb5=::182M5d<2we4>850;3xL6e33td3?:4?:0yK7f2<ug2847>51zJ0g1=zf1926=4>{I1`0>{i0:k1<7?tH2a7?xh?;k0;6<uG3b68yk>4k3:1=vF<c59~j=5c290:wE=l4:m<6c=83;pD>m;;|l;7c<728qC?n:4}o:74?6=9rB8o95rn962>5<6sA9h86sa85094?7|@:i?7p`74283>4}O;j>0qc6;4;295~N4k=1vb5:::182M5d<2we49850;3xL6e33td38:4?:0yK7f2<ug2?47>51zJ0g1=zf1>26=4>{I1`0>{i0=k1<7?tH2a7?xh?<k0;6<uG3b68yk>3k3:1=vF<c59~j=2c290:wE=l4:m<1c=83;pD>m;;|l;0c<728qC?n:4}o:64?6=9rB8o95rn972>5<6sA9h86sa84094?7|@:i?7p`75283>4}O;j>0qc6:4;295~N4k=1vb5;::182M5d<2we48850;3xL6e33td39:4?:0yK7f2<ug2>47>51zJ0g1=zf1?26=4>{I1`0>{i0<k1<7?tH2a7?xh?=k0;6<uG3b68yk>2k3:1=vF<c59~j=3c290:wE=l4:m<0c=83;pD>m;;|l;1c<728qC?n:4}o:54?6=9rB8o95rn942>5<6sA9h86sa87094?7|@:i?7p`76283>4}O;j>0qc694;295~N4k=1vb58::182M5d<2we4;850;3xL6e33td3::4?:0yK7f2<ug2=47>51zJ0g1=zf1<26=4>{I1`0>{i0?k1<7?tH2a7?xh?>k0;6<uG3b68yk>1k3:1=vF<c59~j=0c290:wE=l4:m<3c=83;pD>m;;|l;2c<728qC?n:4}o:44?6=9rB8o95rn952>5<6sA9h86sa86094?7|@:i?7p`77283>4}O;j>0qc684;295~N4k=1vb59::182M5d<2we4:850;3xL6e33td3;:4?:0yK7f2<ug2<47>51zJ0g1=zf1=26=4>{I1`0>{i0>k1<7?tH2a7?xh??k0;6<uG3b68yk>0k3:1=vF<c59~j=1c290:wE=l4:m<2c=83;pD>m;;|l;3c<728qC?n:4}o:;4?6=9rB8o95rn9:2>5<6sA9h86sa89094?7|@:i?7p`78283>4}O;j>0qc674;295~N4k=1vb56::182M5d<2we45850;3xL6e33td34:4?:0yK7f2<ug2347>51zJ0g1=zf1226=4>{I1`0>{i01k1<7?tH2a7?xh?0k0;6<uG3b68yk>?k3:1=vF<c59~j=>c290:wE=l4:m<=c=83;pD>m;;|l;<c<728qC?n:4}o::4?6=9rB8o95rn9;2>5<6sA9h86sa88094?7|@:i?7p`79283>4}O;j>0qc664;295~N4k=1vb57::182M5d<2we44850;3xL6e33td35:4?:0yK7f2<ug2247>51zJ0g1=zf1326=4>{I1`0>{i00k1<7?tH2a7?xh?1k0;6<uG3b68yk>>k3:1=vF<c59~j=?c290:wE=l4:m<<c=83;pD>m;;|l;=c<728qC?n:4}o:b4?6=9rB8o95rn9c2>5<6sA9h86sa8`094?7|@:i?7p`7a283>4}O;j>0qc6n4;295~N4k=1vb5o::182M5d<2we4l850;3xL6e33td3m:4?:0yK7f2<ug2j47>51zJ0g1=zf1k26=4>{I1`0>{i0hk1<7?tH2a7?xh?ik0;6<uG3b68yk>fk3:1=vF<c59~j=gc290:wE=l4:m<dc=83;pD>m;;|l;ec<728qC?n:4}o:a4?6=9rB8o95rn9`2>5<6sA9h86sa8c094?7|@:i?7p`7b283>4}O;j>0qc6m4;295~N4k=1vb5l::182M5d<2we4o850;3xL6e33td3n:4?:0yK7f2<ug2i47>51zJ0g1=zf1h26=4>{I1`0>{i0kk1<7?tH2a7?xh?jk0;6<uG3b68yk>ek3:1=vF<c59~j=dc290:wE=l4:m<gc=83;pD>m;;|~yEFDsh<>69>i25f;exFGJr:vLM^t}AB
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v deleted file mode 100644 index b3d994ae8..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.v +++ /dev/null @@ -1,169 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_36to18.v when simulating -// the core, fifo_xlnx_512x36_2clk_36to18. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_512x36_2clk_36to18( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - empty); - - -input rst; -input wr_clk; -input rd_clk; -input [35 : 0] din; -input wr_en; -input rd_en; -output [17 : 0] dout; -output full; -output empty; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(9), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(18), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(0), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(0), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(0), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(509), - .C_PROG_FULL_THRESH_NEGATE_VAL(508), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(10), - .C_RD_DEPTH(1024), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(10), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(0), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(9), - .C_WR_DEPTH(512), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(9), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .EMPTY(empty), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .ALMOST_FULL(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .RD_DATA_COUNT(), - .WR_DATA_COUNT(), - .PROG_FULL(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.veo b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.veo deleted file mode 100644 index e93be1591..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.veo +++ /dev/null @@ -1,51 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_512x36_2clk_36to18 YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [17 : 0] - .full(full), - .empty(empty)); - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_36to18.v when simulating -// the core, fifo_xlnx_512x36_2clk_36to18. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xco b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xco deleted file mode 100644 index d3115e7d5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.1 -# Date: Thu Aug 12 21:06:13 2010 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = false -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_512x36_2clk_36to18 -CSET data_count=false -CSET data_count_width=9 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=0 -CSET full_threshold_assert_value=509 -CSET full_threshold_negate_value=508 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=512 -CSET output_data_width=18 -CSET output_depth=1024 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=false -CSET read_data_count_width=10 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=false -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=false -CSET write_data_count_width=9 -# END Parameters -GENERATE -# CRC: a4e70980 diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xise deleted file mode 100644 index cfe983130..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18.xise +++ /dev/null @@ -1,72 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_xlnx_512x36_2clk_36to18.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_xlnx_512x36_2clk_36to18.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc3s2000" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan3" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_xlnx_512x36_2clk_36to18" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_xlnx_512x36_2clk_36to18.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_xlnx_512x36_2clk_36to18" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="fg456" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_xlnx_512x36_2clk_36to18" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-08-12T14:06:16" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="3646C65496E43142DA83C69469B5BF88" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_flist.txt deleted file mode 100644 index 54c85b15e..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_flist.txt +++ /dev/null @@ -1,12 +0,0 @@ -# Output products list for <fifo_xlnx_512x36_2clk_36to18> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_xlnx_512x36_2clk_36to18.gise -fifo_xlnx_512x36_2clk_36to18.ngc -fifo_xlnx_512x36_2clk_36to18.v -fifo_xlnx_512x36_2clk_36to18.veo -fifo_xlnx_512x36_2clk_36to18.xco -fifo_xlnx_512x36_2clk_36to18.xise -fifo_xlnx_512x36_2clk_36to18_flist.txt -fifo_xlnx_512x36_2clk_36to18_readme.txt -fifo_xlnx_512x36_2clk_36to18_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_readme.txt deleted file mode 100644 index 3efc586bf..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_readme.txt +++ /dev/null @@ -1,47 +0,0 @@ -The following files were generated for 'fifo_xlnx_512x36_2clk_36to18' in directory -/home/ianb/ettus/sram_fifo/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_xlnx_512x36_2clk_36to18.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_36to18.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_512x36_2clk_36to18.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_512x36_2clk_36to18.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_512x36_2clk_36to18.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_512x36_2clk_36to18.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_36to18_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_512x36_2clk_36to18_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_xlnx_512x36_2clk_36to18_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_xmdf.tcl deleted file mode 100644 index 5161c1826..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_36to18_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_512x36_2clk_36to18_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_512x36_2clk_36to18_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_512x36_2clk_36to18_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_512x36_2clk_36to18 -} -# ::fifo_xlnx_512x36_2clk_36to18_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_512x36_2clk_36to18_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_36to18.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_36to18.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_36to18.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_36to18.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_36to18_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_512x36_2clk_36to18 -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso deleted file mode 100644 index f1a6f7899..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.lso +++ /dev/null @@ -1,3 +0,0 @@ -blkmemdp_v6_2 -blk_mem_gen_v2_6 -fifo_generator_v4_3 diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt deleted file mode 100644 index d110a0158..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +++ /dev/null @@ -1,109 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> -<document OS="lin64" product="ISE" version="10.1.03"> - - <!--The data in this file is primarily intended for consumption by Xilinx tools. - The structure and the elements are likely to change over the next few releases. - This means code written to parse this file will need to be revisited each subsequent release.--> - - <application stringID="Xst" timeStamp="Thu Sep 3 10:23:58 2009"> - <section stringID="XST_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"> - <item dataType="int" stringID="XST_10BIT_ADDER" value="2"/> - </item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="31"> - <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/> - <item dataType="int" stringID="XST_10BIT_REGISTER" value="2"/> - <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_36BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_9BIT_REGISTER" value="11"/> - </item> - <item dataType="int" stringID="XST_XORS" value="68"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="68"/> - </item> - </section> - <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_FSMS" value="1"/> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"> - <item dataType="int" stringID="XST_10BIT_ADDER" value="2"/> - </item> - <item dataType="int" stringID="XST_COUNTERS" value="2"> - <item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="2"/> - </item> - <item dataType="int" stringID="XST_REGISTERS" value="165"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="165"/> - </item> - <item dataType="int" stringID="XST_XORS" value="68"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="68"/> - </item> - </section> - <section stringID="XST_FINAL_REGISTER_REPORT"> - <item dataType="int" stringID="XST_REGISTERS" value="192"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="192"/> - </item> - </section> - <section stringID="XST_PARTITION_REPORT"> - <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - </section> - <section stringID="XST_FINAL_REPORT"> - <section stringID="XST_FINAL_RESULTS"> - <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="/home/matt/coregen/tmp/_cg/fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc"/> - <item stringID="XST_OUTPUT_FORMAT" value="NGC"/> - <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/> - <item stringID="XST_KEEP_HIERARCHY" value="no"/> - </section> - <section stringID="XST_DESIGN_STATISTICS"> - <item stringID="XST_IOS" value="180"/> - </section> - <section stringID="XST_CELL_USAGE"> - <item dataType="int" stringID="XST_BELS" value="223"> - <item dataType="int" stringID="XST_GND" value="1"/> - <item dataType="int" stringID="XST_INV" value="1"/> - <item dataType="int" stringID="XST_LUT1" value="18"/> - <item dataType="int" stringID="XST_LUT2" value="50"/> - <item dataType="int" stringID="XST_LUT2D" value="1"/> - <item dataType="int" stringID="XST_LUT3" value="16"/> - <item dataType="int" stringID="XST_LUT3D" value="1"/> - <item dataType="int" stringID="XST_LUT3L" value="1"/> - <item dataType="int" stringID="XST_LUT4" value="45"/> - <item dataType="int" stringID="XST_MUXCY" value="52"/> - <item dataType="int" stringID="XST_VCC" value="1"/> - <item dataType="int" stringID="XST_XORCY" value="36"/> - </item> - <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="192"> - <item dataType="int" stringID="XST_FD" value="4"/> - <item dataType="int" stringID="XST_FDC" value="85"/> - <item dataType="int" stringID="XST_FDCE" value="78"/> - <item dataType="int" stringID="XST_FDP" value="10"/> - <item dataType="int" stringID="XST_FDPE" value="5"/> - </item> - <item dataType="int" stringID="XST_RAMS" value="1"> - <item dataType="int" stringID="XST_RAMB16S36S36" value="1"/> - </item> - </section> - </section> - <section stringID="XST_DEVICE_UTILIZATION_SUMMARY"> - <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/> - <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" value="137"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="192"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="133"/> - <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="180"/> - <item AVAILABLE="333" dataType="int" stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/> - <item AVAILABLE="40" dataType="int" stringID="XST_NUMBER_OF_BRAMS" value="1"/> - </section> - <section stringID="XST_PARTITION_RESOURCE_SUMMARY"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - <section stringID="XST_ERRORS_STATISTICS"> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="128"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="17"/> - </section> - </application> - -</document> diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_flist.txt deleted file mode 100644 index b0975be2d..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_flist.txt +++ /dev/null @@ -1,8 +0,0 @@ -# Output products list for <fifo_xlnx_512x36_2clk> -fifo_xlnx_512x36_2clk.ngc -fifo_xlnx_512x36_2clk.v -fifo_xlnx_512x36_2clk.veo -fifo_xlnx_512x36_2clk.xco -fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -fifo_xlnx_512x36_2clk_flist.txt -fifo_xlnx_512x36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.gise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.gise deleted file mode 100644 index 660fb2f65..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.gise +++ /dev/null @@ -1,28 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_xlnx_512x36_2clk_prog_full.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema"/>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ncf b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ncf deleted file mode 100644 index e69de29bb..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ncf +++ /dev/null diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc deleted file mode 100644 index 9cb73d5ce..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$4cb40<,[o}e~g`n;"2*726&;$9,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1A3<4=6;2;%<<5=4:0076753:81EC^ZT;FJE956294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=?5<6;KMTPR=l`d7?84?>06873<H]]Z^X7j`uu>01?69l29x>=>?ff662(363=>08=HI1097>LHW]]0OE]O33;2=54=32@D[YY4KIQ@?7?699;1?6B[[PTV9@JVF4:0;2<<44;MVPUSS2ME[N1=50?68102792<97;7;;7;:24=?<22;<=:48D:B5>?330:;5?56659:23?6:231EC^ZT;CG@WG;03:5=?56:HLSQQ<FLMXI054?>0g8=?OIX\^1|ah_dosp|Ys`{oxd1650?06?<<NFY__6}|`g^gntqX|axneQnsrgqp9>=878>744FNQWW>uthoVof|ywPtipfwmYimnki1650?3g?<<NFY__6}|`g^dvhiYs`{oxd1650?07?<<NFY__6}|`g^dvhiYs`{oxdRo|sdpw8=<76;>057GAPTV9twi`Wog`Rzgrdqk[kc`i}o747>1199:>JSSX\^1hlzn_bmvjq:?294:n675OTVSQQ<ulVnjxlQlotlw8=<768h057AZTQWW>rtXlh~jSnaznu>;>5853H837LJKR@>3:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>4j7LJKR@>;>58?3HNO^L27>99B@ATE49437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>`9B@ATE410;255NDEPA8=843HFG56O\YOA\V@A63K90NX<7;CWP[LHAG81H>6MJ139@L@ELWECHIC]J_U[SA7=DA=1H@FO;;BNHF6=DD[30OBCBIUVF@42<KFXNSJKAESCWMJHXAGLD;6M]E@VF@4=C:2NH>6JF6:FJE969?2NBM1??>69GMD:697=0HDO313<4?AOF4895;6JFA=37:2=CAH6:9394DHC?53803MCJ0<917:FJE97?6>1OEL2>9?48@LG;97=0HDO321<4?AOF4;;5;6JFA=01:2=CAH69?394DHC?61803MCJ0?;17:FJE9416>1OEL2=7?58@LG;:14<7IGN<3;=2>BNI585;6JFA=13:<=CAH68=7>17:FJE9566?1OEL2<>79GMD:36?1OEL2:>79GMD:16?1OEL28>79GMD:?6?1OEL26>79GMG:76>1OEO2>0?58@LD;984<7IGM<00=3>BNJ5;82:5KIC>20;1<L@H7=808;EKA8409?2NBN1?8>69GMG:607=0HDL318<5?AOE484<7IGM<32=3>BNJ58:2:5KIC>16;1<L@H7>>08;EKA8729?2NBN1<:>69GMG:5>7=0HDL326<4?AOE4;25;6JFB=0::3=CAK692:5KIC>04;?<L@H7?<4?>69GMG:497<0HDL33?48@LD;<7<0HDL35?48@LD;>7<0HDL37?48@LD;07<0HDL39?58@LVF494<7IG_A=3=3>BNXH69245KIQC?7?69?2NB\L2<>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>69GKDYUMN<0HBL30?58@JD;994<7IAM<03=3>BHJ5;92:5KOC>27;1<LFH7=908;EMA8439?2NDN1?9>69GKG:6?7=0HBL319<4?AIE4835:6J@B=3=3>BHJ58;2:5KOC>15;1<LFH7>?08;EMA8759?2NDN1<;>69GKG:5=7=0HBL327<4?AIE4;=5;6J@B=0;:2=CGK695384DN@?6;1<LFH7?=06;EMA867=87=0HBL330<5?AIE4:4=7IAM<5<5?AIE4<4=7IAM<7<5?AIE4>4=7IAM<9<5?AIE404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<4?AIWJ5:5;6J@PC>2:2=CGYH7>374DNRA86<76>1OC]L33?18AKG43LDIn6KA_DA@[WCFLj1NBRKLC^UQMQC53O897K6:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J4:DGV@7<O:1LBI<4I108M44<A;80E>64IOKWTZ6702CEEY^P00:8MKOSXV:946GAIUR\46><AGC_\R>;8:KMMQVX8<20ECG[P^25<>OIA]ZT<:74IOKWWQGSM>1BBDZP0158MKOSW9;<7D@FT^213>OIA]U;?:5FNHV\411<AGC_S=;8;HLJPZ61?2CEEYQ?769JJLRX81=0ECG[_1;4?LHN\V:J;6GAIU]3F2=NF@^T<N94IOKW[5B03@DBXR>J7:KMMQY7N>1BBDZP1158MKOSW8;<7D@FT^313>OIA]U:?:5FNHV\511<AGC_S<;8;HLJPZ71?2CEEYQ>769JJLRX91=0ECG[_0;4?LHN\V;J;6GAIU]2F2=NF@^T=N94IOKW[4B03@DBXR?J7:KMMQY6N>1BBDZP2158MKOSW;;<7D@FT^013>OIA]U9?:5FNHV\611<AGC_S?;8;HLJPZ41?2CEEYQ=769JJLRX:1=0ECG[_3;4?LHN\V8J;6GAIU]1F2=NF@^T>N94IOKW[7B03@DBXR<J7:KMMQY5N>1BBDZP3158MKOSW:;<7D@FT^113>OIA]U8?:5FNHV\711<AGC_S>;8;HLJPZ51?2CEEYQ<769JJLRX;1=0ECG[_2;4?LHN\V9J;6GAIU]0F2=NF@^T?N94IOKW[6B03@DBXR=J7:KMMQY4N?1BBDZPA79JJLRXJ;1GE?5CO79OKDBBL>1GCJGLAM68HPR6<2F^X?:4LTV00>JR\=<0@XZ;_E48HPR3WE?0A^I@N49NQ]E^k2Gjfb|Yesqjkke<E`dd~[k}shmm6>H7:2D:86@>0768J460<2D:<5:4N02:7>H69=1E=<>;;O3251=I988?7C?>359M54233G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<<?4:L2642<F88986@>2268J443<2D:>8:4N0050>H6:>>0B<<74:L26<5<F89?7C?<059M56733G;8>95A1217?K74<=1E=>;;;O3021=I9:=?7C?<859M56?43G;?86@>4168J426<2D:8?:4N0600>H6<?90B<;<;O357>H6?=1E=:9<;O3;7>H61:1E>==4N330?K45;2D9?>5A2518J7343G8=?6@=729M6=5<F;387C=?3:L056=I;;90B>=<;O177>H4=:1E?;=4N250?K5?;2D85>5A4118J1743G>9?6@;329M015<F=?87C:93:L736=I<180B8<4N608J<`<FKUIY^^FN^RQKUU03GO_[B\D1:M1?JM63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q09Qa>TFEK;=S^=9_R15e>TBIMUME_][c:PFEAYPAM^CSLm4RDCG[ROC\AUI=6]>3:QJIZEHDECXEB@PCIG@O3=TG\XHI:5\RWCO[D1<[[\J@RL;;RQQE1=T[[H?7YW_E208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<=Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?013\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4565W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;?R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?05]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3453XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:=S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?7^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2345YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789;T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>=_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1237ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678=UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=;PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0125[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567?VXnxb{1338Q5)`zo$yj"ilx/aoo})ulVzexQmio>3:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:66;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag692??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>7:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:26;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6=2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>;:76<]9%l~k }f.e`|+ekcq%yhR~ats]amkY7:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV;9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS?<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P3328Q5)`zo$yj"ilx/aoo})ulVzexQmio]765=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ3582_;#j|i.sd,cf~)keas#jPpovq[goiW?8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT;?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ7279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv5678;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?01312>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89::>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12354413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=<=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45659;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0204?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78999>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123071<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<9?=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4562:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?5005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<946[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012554403\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8=2c9V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567>Vhoh=<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34515?2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>81328Q5)`zo$yj"ilx/aoo})ulVzexQhc=2=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`848582_;#j|i.sd,cf~)keas#jPpovq[be;:78;7X> gsd-vc)`kq$h`fv re]sjqtXoj682?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<4<14>S7'nxm"h gbz-gim'{nT|cz}_fa?2;473\:$kh!rg-dg}(ddbr$~iQnup\cf:06;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k525=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS=?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ>1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_33e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]05c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[17a3\:$kh!rg-dg}(ddbr$~iQnup\cfY29o1^<"i}f/pe+be&jf`t"|k_qlwvZadW?;m7X> gsd-vc)`kq$h`fv re]sjqtXojU<=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS5<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol050=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ65=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR?=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ45=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR==5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ25=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR;=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ05=2_;#j|i.sd,cf~)keas#jPpovq[beXizxnkR9=5:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZ>512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><1<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0<0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64;4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2868512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><5<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:080=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64?4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2828512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><9<1<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S=<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X9;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]16==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R==8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W=837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\17><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q9299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V=946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[=423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<=<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2344423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<?<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2346423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<9<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2340423\:$kh!rg-dg}(ddbr$~iQnup\cfYg{:;<;<:;T2,cw`)zo%lou lljz,vaYwf}xTknQwos2342463\:$kh!rg-dg}(ddbr${Qnup\flh;878:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d7=3<>;T2,cw`)zo%lou lljz,swYwf}xTnd`32?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?7;463\:$kh!rg-dg}(ddbr${Qnup\flh;<78:7X> gsd-vc)`kq$h`fv ws]sjqtXj`d793<>;T2,cw`)zo%lou lljz,swYwf}xTnd`36?02?P6(o{l%~k!hcy,`hn~({U{by|Pbhl?3;463\:$kh!rg-dg}(ddbr${Qnup\flh;078;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT<?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ>219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^014>S7'nxm"h gbz-gim'~xT|cz}_ckm[6473\:$kh!rg-dg}(ddbr${Qnup\flhX<;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU>>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR8=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_603?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\<70<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<=<8;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34566:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?1358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw6788;9:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012162=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;><<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34555?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=><2348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678=8<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?016263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;9?94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234075>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>9299V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567>8;9;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012567d<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;Qmde212>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:<>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12334473\:$kh!rg-dg}(ddbr${Qnup\cf:76;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5;5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0?0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm33?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>7:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg939:91^<"i}f/pe+be&jf`t"y}_qlwvZad4?49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7;3<?;T2,cw`)zo%lou lljz,swYwf}xTkn27>0d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^22b>S7'nxm"h gbz-gim'~xT|cz}_fa\54`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ46n2_;#j|i.sd,cf~)keas#z|Ppovq[beX;8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV>:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT9<h4U1-dvc(un&mht#mcky-tvZvi|{UloR8>f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP70d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^:12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=:=60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU3>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^21<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S<<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X:;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]06==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R:=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W<837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\27><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q8299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V2996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01211>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01011>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01611>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789?996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01411>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789=8<6[?/fpe*w`(oe:%~i!hr0,qbr`s'Dg~tR\NM^PG[@HXN^L_><<i;T2,cw`)zo%l`= }d.eq5+tao~$A`{w_SCN[WC@G\^TIC?>2e9V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}ki1>12e9V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}ki1?12b9V4*aun'xm#jb?.sf,cw7)zo}mx"mzrs]escrX{}kiR>=c:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nS<=:;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTaxv?013?4;513\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\ip~789;7<3?<5:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nS`{w01228484>2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[hs89::0<0>299V4*aun'xm#jb?.sf,cw7)zo}mx"kbpu{\br`sWoh9;6[?/fpe*w`(oe:%~i!hr0,qbr`s'lg{xtQiwgv\m7`<]9%l~k }f.eo4+tc'nx:"hxfu-fiur~Wo}mxRgPmtz3456482_;#j|i.sd,ci6)zm%l~< }fvdw+`kw|pUm{kzPi^ov|56788827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?4;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;;78m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]5U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;87887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1?1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?6;443\:$kh!rg-dh5(ul&mym~ }suq,g5)q9595>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?34?0a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\5Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^0\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P3^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R:Pnnv34566:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]4U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5969::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7=3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=0=66=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;;7887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:12c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\4Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^3\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T8R``t12344473\:$kh!rg-dh5(ul&mym~ }suq,gjkw8;:0Y=!hrg,qb*ak8'xo#j|ns/pppv)dgdz:>45Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYn;91^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789:8=6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]efZoXg{:;<=?=3:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp72<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~:>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu010>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{4368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr2:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp2433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d4?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>3:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1?1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4;4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2;>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5?5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az838Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw37?]qp7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:46;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?0;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<4<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6<2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;078o7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8=8Xz};m7X> gsd-vc)`d9$yh"|nup,gjsi|5:5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kfex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=6=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?1;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9099o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;?7;m7X> gsd-vc)`d9$yh"|nup,gjsi|525=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T<<k4U1-dvc(un&mg<#|k/srmpw)dg|dS<?j;T2,cw`)zo%l`= }d.psjqt(kfexR<>e:W3+bta&{l$ka>!re-qtkru'je~byQ<1d9V4*aun'xm#jb?.sf,vuhsz&idyczP40g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_43f?P6(o{l%~k!hl1,q`*twf}x$ob{at^42a>S7'nxm"h gm2-va)uxg~y#naznu]45`=R8&myj#|i/fn3*wb(zyd~"m`uov\<74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;87897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=0=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:46;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;783<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><4<16>S7'nxm"h gm2-va)uxg~y#naznu]g5909:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?38?02?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[5463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W88:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S?<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_202?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[1463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W<8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S;<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_602?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[=433\:$kh!rg-dh5(ul&x{by| cnwmpZhh|9:;=>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0=0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2>>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<3<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>0:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8184n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:26:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4?48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6<2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir050;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz31?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>1:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp959<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4=4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~793:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy29>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=5=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww8=8382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;17>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6829>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1:1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<4<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?2;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:06=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5258=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey040=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2<>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<5<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>6:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8385n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:06;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4149j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim622?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS><j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR:=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ:2d9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljP63g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_60f?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^:1a>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]:72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:7?3=8;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhRokd1234929;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>35?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785<5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?3;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<161369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo4:2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[hs89:8?95Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?30000>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_lw{45649:987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZoXe|r;<==8339V4*aun'xm#jb?.vp,crgt&nzm"z|f.rqkbYa}efTeR``t12357?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>3:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64849?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2=>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28685;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86?2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W=Uecy>?0131=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0=0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs484956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw878512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><1<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>0:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64=49n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S<Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U9Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W:Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y3Wge<=>?1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu4582_;#j|i.sd,ci6){%l{l}!wrvp+fijx:8o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;878o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;978o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;:78o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;;7987X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!hmtz-ch]7U'mf=#c>329V4*aun'xm#jb?.vp,crgt&~y"inf/a0+bkrp'mfW<S!glq-iv543\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%laxv!glY1Y+aj{'gx?>5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</fov|+ajS:W%k`}!mr10?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)`e|r%k`U;]/enw+kt:>1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?30?04?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q95;5>:5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3?6;403\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%}=1=1269V4*aun'xm#jb?.vp,crgt&~y"inf/a0+s7;<78m7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!y1^2\ekb789::>k5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3\5Zgil9:;<<<i;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5Z4Xign;<=>>2g9V4*aun'xm#jb?.vp,crgt&~y"inf/a0+s7X;Vkeh=>?000e?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q9V>Tbbz?01226f=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZgkefySk{cl^k00>S7'nxm"h gm2-sw)`hy%{~z|/scn[djjgz~Tjxbc_h]nq}67899>7X> gsd-vc)`d9$|~"ixar,twqu(zhgTmac`su]eqijXaVg~t=>?0016?P6(o{l%~k!hl1,tv*apiz$|y} r`o\eikh{}UmyabPi^llp56798837X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_g`\m73<]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTe?j4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZkrp9:;<?k4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZkrp9:;<<?k;T2,cw`)zo%l`= xr.pbiZquWldTe<?i;T2,cw`)zo%l`= xr.pbiZquWldTe<Q>229V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d=?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov161=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}9986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at507?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs=;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz9259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq15<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex5<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;878h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?4;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>2:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2>>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1<12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq585Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4:49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az868Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?0;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw34?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2:>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6>2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}909W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8285k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<6<\vq4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<1<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{692?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;;78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8185i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=7=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc29>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7;3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4149h6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv9>9W{~:j6[?/fpe*w`(oe:%{!xpovq+firf}6;2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d0<0>f:W3+bta&{l$ka>!ws-ttkru'je~by2=>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<2<2b>S7'nxm"h gm2-sw)pxg~y#naznu>7:4`<]9%l~k }f.eo4+qu'~zex!lotlw8086n2_;#j|i.sd,ci6){%||cz}/bmvjq:168l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4>4:j6[?/fpe*w`(oe:%{!xpovq+firf}632<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS=?j;T2,cw`)zo%l`= xr.usjqt(kfexR?>e:W3+bta&{l$ka>!ws-ttkru'je~byQ=1d9V4*aun'xm#jb?.vp,suhsz&idyczP30g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_53f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^72a>S7'nxm"h gm2-sw)pxg~y#naznu]55`=R8&myj#|i/fn3*rt(yd~"m`uov\34c<]9%l~k }f.eo4+qu'~zex!lotlw[=453\:$kh!rg-dh5(pz&}{by| cnwmpZb64949>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>1:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;;7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:090=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=7=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:16;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7;3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><9<15>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z6592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V;9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^115>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z2592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V?9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R8=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^515>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z>5:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5:5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?6;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54:49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1:1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>6:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;>7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90:0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=:=64=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y7:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U:><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q=209V4*aun'xm#jb?.vp,suhsz&idyczPd3]064=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y3:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U>><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q9209V4*aun'xm#jb?.vp,suhsz&idyczPd3]464=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y?:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl:6;2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=31?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f08785:2_;#j|i.sd,ci6){%||cz}/bmvjqYc;595>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>2;>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1?1;453\:$kh!rg-dh5(pz&}{by| cnwmpZb44?49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo?191239V4*aun'xm#jb?.vp,suhsz&idyczPd2>;:77<]9%l~k }f.eo4+qu'~zex!lotlw[a5X8;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm9T=??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=P2338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1\777<]9%l~k }f.eo4+qu'~zex!lotlw[a5X<;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm9T9??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=P6338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1\377<]9%l~k }f.eo4+qu'~zex!lotlw[a5X0;>0Y=!hrg,qb*ak8'}y#z~ats-`kphsWge<=>>169V4*aun'xm#`kb/emvpZo;87;37X> gsd-vc)jmd%ocxzPi=33:4><]9%l~k }f.ofi*bh}}Ub0<?1199V4*aun'xm#`kb/emvpZo;9;4:46[?/fpe*w`(elg$hb{{_h>27;7?3\:$kh!rg-nah)cg|~Te1?;>0:8Q5)`zo$yj"cjm.flqqYn48?5=55Z0.eqb+ta'dof#iazt^k?538602_;#j|i.sd,i`k(lfSd2>7?3;?P6(o{l%~k!bel-gkprXa5;32<64U1-dvc(un&gna"j`uu]j84?99>1^<"i}f/pe+hcj'me~xRg31?3;?P6(o{l%~k!bel-gkprXa58;2<64U1-dvc(un&gna"j`uu]j8779911^<"i}f/pe+hcj'me~xRg323<2<>S7'nxm"h mdo,`jssW`69?3?7;T2,cw`)zo%fi`!kotv\m9436820Y=!hrg,qb*kbe&ndyyQf<37=5==R8&myj#|i/lgn+air|Vc7>;0>8:W3+bta&{l$ahc dnww[l:5?7;37X> gsd-vc)jmd%ocxzPi=0;:4><]9%l~k }f.ofi*bh}}Ub0?71169V4*aun'xm#`kb/emvpZo;:7;37X> gsd-vc)jmd%ocxzPi=13:4><]9%l~k }f.ofi*bh}}Ub0>?1199V4*aun'xm#`kb/emvpZo;;;4:46[?/fpe*w`(elg$hb{{_h>07;7?3\:$kh!rg-nah)cg|~Te1=;>0:8Q5)`zo$yj"cjm.flqqYn4:?5=:5Z0.eqb+ta'dof#iazt^k?7;703\:$kh!rg-nah)cg|~Te1:1169V4*aun'xm#`kb/emvpZo;=7;<7X> gsd-vc)jmd%ocxzPi=4=52=R8&myj#|i/lgn+air|Vc7;3?8;T2,cw`)zo%fi`!kotv\m9>99>1^<"i}f/pe+hcj'me~xRg39?35?P6(o{l%~k!bel-gkprXaV:::6[?/fpe*w`(elg$hb{{_h]252=R8&myj#|i/lgn+air|VcT==?8;T2,cw`)zo%fi`!kotv\mZ769>1^<"i}f/pe+hcj'me~xRgP1334?P6(o{l%~k!bel-gkprXaV;8=:5Z0.eqb+ta'dof#iazt^k\51703\:$kh!rg-nah)cg|~TeR?:169V4*aun'xm#`kb/emvpZoX9?;<7X> gsd-vc)jmd%ocxzPi^3452=R8&myj#|i/lgn+air|VcT=5?8;T2,cw`)zo%fi`!kotv\mZ7>9?1^<"i}f/pe+hcj'me~xRgP2058Q5)`zo$yj"cjm.flqqYnW;::;6[?/fpe*w`(elg$hb{{_h]1541<]9%l~k }f.ofi*bh}}UbS?<>7:W3+bta&{l$ahc dnww[lY5;8=0Y=!hrg,qb*kbe&ndyyQf_3623>S7'nxm"h mdo,`jssW`U99<94U1-dvc(un&gna"j`uu]j[706?2_;#j|i.sd,i`k(lfSdQ=7058Q5)`zo$yj"cjm.flqqYnW;2:;6[?/fpe*w`(elg$hb{{_h]1=40<]9%l~k }f.ofi*bh}}UbS>?8;T2,cw`)zo%fi`!kotv\mZ579>1^<"i}f/pe+hcj'me~xRgP3034?P6(o{l%~k!bel-gkprXaV99=:5Z0.eqb+ta'dof#iazt^k\76703\:$kh!rg-nah)cg|~TeR=;169V4*aun'xm#`kb/emvpZoX;<;=7X> gsd-vc)jmd%ocxzPi^622>S7'nxm"h mdo,`jssW`U>=;5Z0.eqb+ta'dof#iazt^k\240<]9%l~k }f.ofi*bh}}UbS:?9;T2,cw`)zo%fi`!kotv\mZ>6>2_;#j|i.sd,i`k(lfSdQ6189V4*aun'xm#`kb/emvpZkbe5:5=l5Z0.eqb+ta'dof#iazt^ofi97768k0Y=!hrg,qb*kbe&ndyyQbel>25;7f3\:$kh!rg-nah)cg|~Tahc313<2e>S7'nxm"h mdo,`jssWdof0<=11`9V4*aun'xm#`kb/emvpZkbe5;?2<o4U1-dvc(un&gna"j`uu]nah:6=7;j7X> gsd-vc)jmd%ocxzPmdo?5386i2_;#j|i.sd,i`k(lfS`kb<05=5d=R8&myj#|i/lgn+air|Vgna1?7>0c8Q5)`zo$yj"cjm.flqqYjmd6:53?6;T2,cw`)zo%fi`!kotv\i`k;97;j7X> gsd-vc)jmd%ocxzPmdo?6586i2_;#j|i.sd,i`k(lfS`kb<33=5d=R8&myj#|i/lgn+air|Vgna1<=>0c8Q5)`zo$yj"cjm.flqqYjmd69?3?n;T2,cw`)zo%fi`!kotv\i`k;:=4:m6[?/fpe*w`(elg$hb{{_lgn87399h1^<"i}f/pe+hcj'me~xRcjm=05:4g<]9%l~k }f.ofi*bh}}Ufi`2=7?3b?P6(o{l%~k!bel-gkprXelg7>50>a:W3+bta&{l$ahc dnww[hcj4;35=45Z0.eqb+ta'dof#iazt^ofi9499h1^<"i}f/pe+hcj'me~xRcjm=13:4g<]9%l~k }f.ofi*bh}}Ufi`2<1?3b?P6(o{l%~k!bel-gkprXelg7??0>a:W3+bta&{l$ahc dnww[hcj4:95=l5Z0.eqb+ta'dof#iazt^ofi95368k0Y=!hrg,qb*kbe&ndyyQbel>01;7>3\:$kh!rg-nah)cg|~Tahc33?3:?P6(o{l%~k!bel-gkprXelg783?6;T2,cw`)zo%fi`!kotv\i`k;=7;27X> gsd-vc)jmd%ocxzPmdo?2;7>3\:$kh!rg-nah)cg|~Tahc37?3:?P6(o{l%~k!bel-gkprXelg743?6;T2,cw`)zo%fi`!kotv\i`k;17=?7X> gsd-vc)jmd%ln` hble-cgk`i'dlinm!ble,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS9W%~lc!r.q0[kinf`~%~lc dqpbi+bw;?r8:#|nm0`8Q5)`zo$yj"cjm.vntZtfeVxoSh`>c:W3+bta&{l$ahc tlr\vdkXzmUnb<?8;T2,cw`)zo%ym`Q}efmvpZci9m1^<"i}f/pe+wusjea$^^ZPFTNO[BCI9m1^<"i}f/pe+wusjea$~iQ}su]bwwc`:91^<"i}f/pe+wusjea$~iQ}su]bwwc`Wm;9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh??l;T2,cw`)zo%yylck.pg[wusWjefn<j4U1-dvc(un&xxxobd/sf\vvrXkfgi=<k4U1-dvc(un&xxxobd/sf\vvrXzlm7<3?j;T2,cw`)zo%yylck.pg[wusW{ol0<0>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1<11e9V4*aun'xm#}{bmi,vaYu{}UyijQ?1e9V4*aun'xm#}{bmi,vaYu{}UyijQ>1e9V4*aun'xm#}{bmi,vaYu{}UyijQ=169V4*aun'xm#}{bmi,vvrXl8;<7X> gsd-vc)u{}hgg"||t^f152=R8&myj#|i/sqwfim(zz~Th>?k;T2,cw`)zo%yylck.uq[wusWhyyij<?;T2,cw`)zo%yylck.uq[wusWhyyijQk1328Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg^f15f=R8&myj#|i/sqwfim({UyyQlol`2`>S7'nxm"h rrvahn)pzVxxxRm`mc32a>S7'nxm"h rrvahn)pzVxxxR|jg=2=5`=R8&myj#|i/sqwfim({UyyQ}ef>2:4b<]9%l~k }f.pppgjl'~xT~~zPrde\44b<]9%l~k }f.pppgjl'~xT~~zPrde\5d=R[LXTMAGNSb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K<;VGB7>QBJj1\^DZJ_LMGAZGd3^XBXHQBOEG\Fa=PZ@^NSZGKTI]B`>QUA]OT[DJ[H^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO79[`gYNlo1SheQ_rhoUawungg;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaac:coijusWlg{xtl4amolwqYa}ef=7oolktrg?ggdc|zTal|des18gimc3mkmRm`uov+4,b<lh~jSnaznu*2-a=ci}kTob{at)0*`>bf|hUhcx`{(2+g?agsiVidycz'4(f8`drfWje~by&:)e9geqgXkfex%8&d:fbpdYdg|d$:'k;ecweZeh}g~#4$h4d`vb[firf}636=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$94dhl+77/03mce$>=&7:fjj-53!>1oec&<5(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?58`lh;914<7iga<0;=2>bnf5;5;6jfn=03:2=cag69=394dhl?67803mce0?=17:fjj9436>1oec2=5?58`lh;:?4<7iga<35=3>bnf5832:5kio>1=;0<l`d7>394dhl?75803mce0>?17:fjj9556>1oec2<3?58`lh;;=427iga<2794;1<l`d7?809;ekm86813mce0909;ekm80813mce0;09;ekm82813mce0509;ekm8<803me~x%>&7:flqq.6!11ocxz'11+;?air|!;:%55kotv+57/?3me~x%?<)99gkpr/9=#37iazt)36-==cg|~#=;'7;emvp-70!11ocxz'19+;?air|!;2%:5kotv+6,><lf$?>&8:flqq.59 20hb{{(30*<>bh}}"9?$64dnww,72.02ndyy&=5(:8`jss ;<"46j`uu*13,><lf$?6&8:flqq.51 =0hb{{(2+;?air|!9;%55kotv+74/?3me~x%==)99gkpr/;:#37iazt)17-==cg|~#?8'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?:8`jss4:;546j`uu>06;><lf0>=18:flqq:4<7k0hb{{<2794;><lf0>;17:flqq:46>1ocxz34?58`jss4<4<7iazt=4=3>bh}}6<2:5kotv?<;1<lf0407;dfjb7h`l<1na}zv2g9emciXoldn~lz`r^t5[4*'P`fbbu.LOSG#C`hbzh~d~-?=.33e?coagVrgbuQ:13z02Z4ddbU{~biPftno7a=aaoeTta`w_431|60X:jf`S}|`g^dvhi*aaoeTkh`jr`vlvZp1W8&ECCK#NNLF052<n`ldSubax^726}51W;iggR~}of]eqij+n`ldSjkaescwkwYq>V;'wnQgar]jjqYddb7; nQgar]pvvr:8%iT~iQirds>5)eXmgki`hQ}su]p}ke:9%iTdl}Pre]geqgXkfex0>#c^fjjZqnl}b6?;"l_icp[rtXlh~jSnaznu?3(fYa}efTjaohs^pppZpfd4;'oRgbpmgnakrf|`eeSywe<0/gZstmVofnhjkee]qab;7$jU|~Rh}ep?2(fYr{lUocxzPrrv>5)eX}gnn~kb`w^nls86+kVbjRayesdokr;7$jU{~hb`ae]oeqcikp7; nQkotv\slbs`49= nQbsfmm[sgk58&hSjPddrwl836:%iTi|`r^kmn`esafdTxt~j=1.`[mgtW|doihcov?3(fYoizUj``a|t^dvhi;6$jUcm~Q}su?2(fYci}kTob{at^uj`qn:1%iTdl}Pws]bgn;7$jU~hQjcb?3(fYdgdgdbRmcobi>4)eX{UjofQcov?3(fYulVzexQxievk9<*dW|ynSkyit^fbpdYdg|d1="l_icp[djjgz~Ti`~{y<2/gZtcWmkmRm`uov\slbs`43'oRayesdokrYkg~7; nQxr^rmpwYpam~c14"l_qplcZcjx}sTxt~j=1.`[rtXlh~jSnaznu]tmaro50&hS}|jlncg[wc`{4;'oRj`uu]qwqYqie7; nQgar]geqgXkfex0>#c^rqkbYa}efTxe|jsi]mabgsmV|j`0:69-a\swYcmy~c18?=,b]svjaXmdzuRzgrdqk[kc`i}oTzlb25-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc=5.`[mgtWkgei3?,b]svjaXn|fgSyf}erj\evubz}U}ma3:01.`khvhfldScobe<djbjYdgrT9<<w37]1gimXx{elSk{cl-a\rdjnlVfd{0>#c^rqmhYaaoeTxt~j=431|60+kVzycjQiumn\p|vb58&hS`kbos{\p|vb58&v?45iigm\|ihW<;9t>8P2bnh[uthoVl~`aQiigm\c`hbzh~d~Rx9_0]{wqY6<2l~`aj4iohfgqbea}oy~i5fnkg`pvdn|lxy;6gat^aoo==iojh~eaj7;ntfvcjhh1{~biPelrw}42<x{elShctx]wlwct`!:"=95rne\ahvsqV~c~h}g(0+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntqX|axne&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pUdk|h):*53=wzfmTi`~{y^vkv`uo410;2<l4psmd[`kw|pUdk|h^cpw`ts 9#:n6~}of]fiur~W}byi~fParqfvq.6!8h0|ah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShctx]wlwct`Vkxh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx$;'>b:rqkbYbey~rSyf}erj\evubz}"<%<l4psmd[`kw|pUdk|h^cpw`ts 1#:h6~}of]fiur~W}byi~fParqfvq:?294:n6~}of]fiur~W}byi~fPndebp`.7!8h0|ah_dosp|Ys`{oxdR`jg`vf,4/6j2zycjQjmqvz[qnumzbTbhintd*1-4d<x{elShctx]wlwct`Vdnklzj(2+2f>vugnUna}zv_ujqavnXflmjxh&;)0`8twi`Wlg{xtQ{hsgplZhboh~n$8'>b:rqkbYbey~rSyf}erj\j`af|l"=%<l4psmd[`kw|pUdk|h^lfcdrb >#:n6~}of]fiur~W}byi~fPndebp`.?!8n0|ah_dosp|Ys`{oxdR`jg`vf8=<7601{~biPftno56=wzfmTjxbc_ujqavn/8 ;87}|`g^dvhiYs`{oxd%?&129svjaXn|fgSyf}erj+6,743yxdkRhzlm]wlwct`!9"=>5rne\bpjkW}byi~f'4(30?uthoVl~`aQ{hsgpl-3.9:1{~biPftno[qnumzb#:$?<;qplcZ`rdeUdk|h)5*56=wzfmTjxbc_ujqavn/0 ;>7}|`g^dvhiYs`{oxd1650?3b?uthoVl~`aQ{hsgplZgt{lx$='>a:rqkbYa}efTxe|jsi]bwvcu|!;"=l5rne\bpjkW}byi~fParqfvq.5!8k0|ah_gwohZrozlycSl}|esv+7,7f3yxdkRhzlm]wlwct`Vkxh|{(5+2e>vugnUmyabPtipfwmYf{zoyx%;&1`9svjaXn|fgSyf}erj\evubz}"=%<o4psmd[cskdV~c~h}g_`qpawr/? ;j7}|`g^dvhiYs`{oxdRo|sdpw,=/6k2zycjQiumn\pmtb{aUj~k}t=:94;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnki%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wog`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeUdk|h^lfcdrb 1#:o6~}of]eqijX|axneQaefcwa9>=87<0~iQllj33?wbXlh~jSnaznu*3-46<zmUomyoPcnwmp-7.991yhRjnt`]`kphs ;#:<6|k_ecweZeh}g~#?$??;sf\`drfWje~by&;)028vaYci}kTob{at)7*55=ulVnjxlQlotlw,3/682xoSio{a^alqkr/? ;;7jPd`vb[firf}"3%<>4re]geqgXkfex1>1139q`Zbf|hUhcx`{<983:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus:k1a}!Pcf-emciXpedsS8?=x24\6fjlWyxdkRhzlm,mcj2<|{n56{addpehjq23kgei84ws]bgn0<{Uh`f??;vp\`drfWje~by&?)028swYci}kTob{at)3*55=pzVnjxlQlotlw,7/682}ySio{a^alqkr/; ;;7z|Pd`vb[firf}"?%<>4ws]geqgXkfex%;&119tvZbf|hUhcx`{(7+24>quWmkmRm`uov+3,773~xThlzn_bmvjq.?!880{Qkauc\gjsi|521<3;4ws]fj3=pzVxxxpNOpbd2?EF=021J7:51zQ7b?34k3<1=><m7g596d4>mrd?554>;o6:=?0<,=3=697?;|Q7`?34k3<1=><m7g596d4>m2Y:8i4:4683>455j>l<6?o=9d9P0a<2<>0;6<==b6d4>7g51o1o9>h50;395~U3n3?8o785120a3c1=:h82i6x[1cf94?7=93;h8v];f;70g?0=9:8i;k952`0:a>"3000:8h5Y48596~s6=>0:7x?:8;28y!7e93l0n8=i:1865?5==8qC8594Z5796~c=l3w/=n<552d8 1?22<9n7d;63;29?j2>j3:17b;98;29?l3f03:17b;;3;29?j34l3:17d;6d;29?l3>=3:17b;>0;29 4d42<987c?m2;28?j37n3:1(<l<:410?k7e:3;07b;?e;29 4d42<987c?m2;08?j37l3:1(<l<:410?k7e:3907b;?c;29 4d42<987c?m2;68?j37j3:1(<l<:410?k7e:3?07b;?9;29 4d42<987c?m2;48?j3703:1(<l<:410?k7e:3=07b;?7;29 4d42<987c?m2;:8?j37>3:1(<l<:410?k7e:3307b;?5;29 4d42<987c?m2;c8?j37<3:1(<l<:410?k7e:3h07b;?3;29 4d42<987c?m2;a8?j37:3:1(<l<:410?k7e:3n07b;?1;29 4d42<987c?m2;g8?j3783:1(<l<:410?k7e:3l07b:ie;29 4d42<987c?m2;33?>i3nm0;6)?m3;707>h6j;0:=65`4ga94?"6j:0>?>5a1c0957=<g=li6=4+1c19165<f8h96<=4;n6ee?6=,8h868=<;o3a6?7332e?j44?:%3a7?34;2d:n?4>5:9l0c>=83.:n>4:329m5g4=9?10c9h8:18'5g5==:90b<l=:058?j2a>3:1(<l<:410?k7e:3;376a;f483>!7e;3?8?6`>b382=>=h=8<1<7*>b28676=i9k81=l54o436>5<#9k919>=4n0`1>4d<3f?:87>5$0`0>0543g;i>7?l;:m656<72-;i?7;<3:l2f7<6l21d9<<50;&2f6<2;:1e=o<51d98k076290/=o=55218j4d528l07b;?a;29 4d42<987c?m2;03?>i3no0;6)?m3;707>h6j;09=65`4g694?"6j:0>?>5a1c0967=<g=l86=4+1c19165<f8h96?=4;h6`3?6=,8h869kn;o3a6?6<3`>h:7>5$0`0>1cf3g;i>7?4;h6`1?6=,8h869kn;o3a6?4<3`>h87>5$0`0>1cf3g;i>7=4;h6`7?6=,8h869kn;o3a6?2<3`>h>7>5$0`0>1cf3g;i>7;4;h6`4?6=,8h869kn;o3a6?0<3`>ij7>5$0`0>1cf3g;i>794;h6aa?6=,8h869kn;o3a6?><3`>ih7>5$0`0>1cf3g;i>774;h6ag?6=,8h869kn;o3a6?g<3`>in7>5$0`0>1cf3g;i>7l4;h6ae?6=,8h869kn;o3a6?e<3`>i57>5$0`0>1cf3g;i>7j4;h6a<?6=,8h869kn;o3a6?c<3`>i;7>5$0`0>1cf3g;i>7h4;h6a1?6=,8h869kn;o3a6?7732c?n94?:%3a7?2bi2d:n?4>1:9j0g5=83.:n>4;e`9m5g4=9;10e9l=:18'5g5=<lk0b<l=:018?l2e93:1(<l<:5gb?k7e:3;?76g;b183>!7e;3>nm6`>b3821>=n<hl1<7*>b287ad=i9k81=;54i5cf>5<#9k918ho4n0`1>41<3`>jh7>5$0`0>1cf3g;i>7?7;:k7ef<72-;i?7:ja:l2f7<6121b8nj50;&2f6<3mh1e=o<51`98m1ed290/=o=54dc8j4d528h07d:lb;29 4d42=oj7c?m2;3`?>o3kh0;6)?m3;6fe>h6j;0:h65f4b;94?"6j:0?il5a1c095`=<a=i36=4+1c190`g<f8h96<h4;h6`5?6=,8h869kn;o3a6?4732c?n;4?:%3a7?2bi2d:n?4=1:9j0dd=83.:n>4;e`9m5g4=:;10e9on:18'5g5=<lk0b<l=:318?l3??3:17o:78;295?6=8rB?4:5+1b090=><g8h;6=44}cda>5<6290;wE:77:&2g7<aj2emm7>5;|`70?6=j:0:;;4>c5yK0=1<R=?1=;u=4;00>73=:009:7<8:3:9a?b=:h09n7<6:3`9a?4f2;>1h7<7:35963<5=3886p*>c38607=#<10>8=5+4d8604=#9hi1=lh4i47`>5<#9k9198j4n0`1>5=<a<?i6=4+1c1910b<f8h96<54i47b>5<#9k9198j4n0`1>7=<a<?26=4+1c1910b<f8h96>54i47;>5<#9k9198j4n0`1>1=<a<?<6=4+1c1910b<f8h96854i475>5<#9k9198j4n0`1>3=<a<?>6=4+1c1910b<f8h96:54i477>5<#9k9198j4n0`1>==<a<>>6=44i4;7>5<<a<3<6=44o4;a>5<<a<?96=4+1c19105<f8h96=54i472>5<#9k9198=4n0`1>4=<a<?;6=4+1c19105<f8h96?54i46e>5<#9k9198=4n0`1>6=<a<>n6=4+1c19105<f8h96954i46g>5<#9k9198=4n0`1>0=<a<>h6=4+1c19105<f8h96;54i46a>5<#9k9198=4n0`1>2=<a<>j6=4+1c19105<f8h96554i4;0>5<<g<>36=44i445>5<#9k919;94n0`1>5=<a<<>6=4+1c19131<f8h96<54i447>5<#9k919;94n0`1>7=<a<<86=4+1c19131<f8h96>54i441>5<#9k919;94n0`1>1=<a<<:6=4+1c19131<f8h96854i443>5<#9k919;94n0`1>3=<a<?m6=4+1c19131<f8h96:54i47f>5<#9k919;94n0`1>==<g=3i6=44o5c4>5<#9k918l64n0`1>5=<g=k=6=4+1c190d><f8h96<54o5c6>5<#9k918l64n0`1>7=<g=k?6=4+1c190d><f8h96>54o5c0>5<#9k918l64n0`1>1=<g=k96=4+1c190d><f8h96854o5c2>5<#9k918l64n0`1>3=<g=k;6=4+1c190d><f8h96:54o5;e>5<#9k918l64n0`1>==<g<<36=44i4c;>5<<a<=:6=4+1c19124<f8h96=54i453>5<#9k919:<4n0`1>4=<a<<m6=4+1c19124<f8h96?54i44f>5<#9k919:<4n0`1>6=<a<<o6=4+1c19124<f8h96954i44`>5<#9k919:<4n0`1>0=<a<<i6=4+1c19124<f8h96;54i44b>5<#9k919:<4n0`1>2=<a<<26=4+1c19124<f8h96554o460>5<<g<9o6=44i4;g>5<<a=3o6=44i45a>5<#9k919:m4n0`1>5=<a<=j6=4+1c1912e<f8h96<54i45:>5<#9k919:m4n0`1>7=<a<=36=4+1c1912e<f8h96>54i454>5<#9k919:m4n0`1>1=<a<==6=4+1c1912e<f8h96854i456>5<#9k919:m4n0`1>3=<a<=?6=4+1c1912e<f8h96:54i450>5<#9k919:m4n0`1>==<a<2>6=4+1c191=0<f8h96=54i4:7>5<#9k919584n0`1>4=<a<286=4+1c191=0<f8h96?54i4:1>5<#9k919584n0`1>6=<a<2:6=4+1c191=0<f8h96954i4:3>5<#9k919584n0`1>0=<a<=m6=4+1c191=0<f8h96;54i45f>5<#9k919584n0`1>2=<a<=o6=4+1c191=0<f8h96554o5;b>5<<a<>=6=44i5;f>5<<a<k26=44o4;5>5<<g<3h6=44i4;6>5<<g<;;6=4+1c19165<f8h96=54o42e>5<#9k919>=4n0`1>4=<g<:n6=4+1c19165<f8h96?54o42g>5<#9k919>=4n0`1>6=<g<:h6=4+1c19165<f8h96954o42a>5<#9k919>=4n0`1>0=<g<:26=4+1c19165<f8h96;54o42;>5<#9k919>=4n0`1>2=<g<:<6=4+1c19165<f8h96554o425>5<#9k919>=4n0`1><=<g<:>6=4+1c19165<f8h96l54o427>5<#9k919>=4n0`1>g=<g<:86=4+1c19165<f8h96n54o421>5<#9k919>=4n0`1>a=<g<::6=4+1c19165<f8h96h54o423>5<#9k919>=4n0`1>c=<g=ln6=4+1c19165<f8h96<>4;n6e`?6=,8h868=<;o3a6?7632e?jn4?:%3a7?34;2d:n?4>2:9l0cd=83.:n>4:329m5g4=9:10c9hn:18'5g5==:90b<l=:068?j2a13:1(<l<:410?k7e:3;>76a;f983>!7e;3?8?6`>b3822>=h<o=1<7*>b28676=i9k81=:54o5d5>5<#9k919>=4n0`1>4><3f>m97>5$0`0>0543g;i>7?6;:m653<72-;i?7;<3:l2f7<6i21d9<;50;&2f6<2;:1e=o<51c98k073290/=o=55218j4d528i07b;>3;29 4d42<987c?m2;3g?>i29;0;6)?m3;707>h6j;0:i65`50394?"6j:0>?>5a1c095c=<g<:j6=4+1c19165<f8h96?>4;n6eb?6=,8h868=<;o3a6?4632e?j94?:%3a7?34;2d:n?4=2:9l0c5=83.:n>4:329m5g4=::10e9m8:18'5g5=<lk0b<l=:198m1e1290/=o=54dc8j4d52810e9m::18'5g5=<lk0b<l=:398m1e3290/=o=54dc8j4d52:10e9m<:18'5g5=<lk0b<l=:598m1e5290/=o=54dc8j4d52<10e9m?:18'5g5=<lk0b<l=:798m1da290/=o=54dc8j4d52>10e9lj:18'5g5=<lk0b<l=:998m1dc290/=o=54dc8j4d52010e9ll:18'5g5=<lk0b<l=:`98m1de290/=o=54dc8j4d52k10e9ln:18'5g5=<lk0b<l=:b98m1d>290/=o=54dc8j4d52m10e9l7:18'5g5=<lk0b<l=:d98m1d0290/=o=54dc8j4d52o10e9l::18'5g5=<lk0b<l=:028?l2e<3:1(<l<:5gb?k7e:3;:76g;b283>!7e;3>nm6`>b3826>=n<k81<7*>b287ad=i9k81=>54i5`2>5<#9k918ho4n0`1>42<3`>i<7>5$0`0>1cf3g;i>7?:;:k7ec<72-;i?7:ja:l2f7<6>21b8lk50;&2f6<3mh1e=o<51698m1gc290/=o=54dc8j4d528207d:nc;29 4d42=oj7c?m2;3:?>o3km0;6)?m3;6fe>h6j;0:m65f4ba94?"6j:0?il5a1c095g=<a=ii6=4+1c190`g<f8h96<m4;h6`e?6=,8h869kn;o3a6?7c32c?o44?:%3a7?2bi2d:n?4>e:9j0f>=83.:n>4;e`9m5g4=9o10e9m>:18'5g5=<lk0b<l=:328?l2e>3:1(<l<:5gb?k7e:38:76g;ac83>!7e;3>nm6`>b3816>=n<hk1<7*>b287ad=i9k81>>54i5;`>5<<g<3;6=4+1c191<4<f8h96=54o4:e>5<#9k9194<4n0`1>4=<g<2n6=4+1c191<4<f8h96?54o4:g>5<#9k9194<4n0`1>6=<g<2h6=4+1c191<4<f8h96954o4:a>5<#9k9194<4n0`1>0=<g<2j6=4+1c191<4<f8h96;54o4::>5<#9k9194<4n0`1>2=<g<236=4+1c191<4<f8h96554o4c5>5<#9k919l94n0`1>5=<g<k>6=4+1c191d1<f8h96<54o4c7>5<#9k919l94n0`1>7=<g<k86=4+1c191d1<f8h96>54o4c1>5<#9k919l94n0`1>1=<g<k:6=4+1c191d1<f8h96854o4c3>5<#9k919l94n0`1>3=<g<3m6=4+1c191d1<f8h96:54o4;f>5<#9k919l94n0`1>==<g<>26=44i467>5<<g=k26=44i4:4>5<<g<3j6=44b5;0>5<6290;w)?l2;da?M2>:2B?4:5`f`83>>{e<0>1<7?50;2x 4e52=237E:62:J7<2=h9k:1<75rb3ag>5<3290;w)?l2;d4?M2>:2B?4:5+11:91>o3>3:17d;?:188m4d32900c<l::188yg21m3:187>50z&2g7<a=2B?5?5G4958 46?281b8;4?::k7g?6=3`?;6=44o0`6>5<<uk><o7>54;294~"6k;0m;6F;939K0=1<@=80(<;::4;:?!7703?0e9850;9j15<722c:n94?::m2f0<722wi8:?50;194?6|,8i96k:4H5;1?M2??2B?>6*>5486=<=#9921=6g;6;29?l372900c<l::188yg20n3:1?7>50z&2g7<a<2B?5?5G4958L14<,8?>6876;%33<?7<a=<1<75f5183>>i6j<0;66sm46`94?2=83:p(<m=:g58L1?53A>3;6F;2:&210<2101/==655:k72?6=3`?;6=44i0`7>5<<g8h>6=44}c644?6=;3:1<v*>c38e0>N31;1C8594H508 4322<327)??8;38m10=831b9=4?::m2f0<722wi8:j50;794?6|,8i96k64H5;1?M2??2B?>6*>5486=<=n<?0;66g;7;29?l372900e<l;:188k4d22900qo:8e;297?6=8r.:o?4i4:J7=7=O<1=0D9<4$076>0?>3-;;47?4i5494?=n=90;66a>b483>>{e<?l1<7;50;2x 4e52o20D97=;I6;3>N3:2.:984:989j03<722c?;7>5;h73>5<<a8h?6=44o0`6>5<<uk><m7>54;294~"6k;0m;6F;939K0=1<@=80(<;::4;:?!7703?0e9850;9j15<722c:n94?::m2f0<722wi8:750;694?6|,8i96k94H5;1?M2??2.:<54:;h65>5<<a<:1<75f1c694?=h9k?1<75rb55;>5<3290;w)?l2;d4?M2>:2B?4:5+11:91>o3>3:17d;?:188m4d32900c<l::188yg20?3:187>50z&2g7<a?2B?5?5G4958 46?2<1b8;4?::k64?6=3`;i87>5;n3a1?6=3th?484?:583>5}#9j81j:5G4808L1>03-;;47;4i5494?=n=90;66g>b583>>i6j<0;66sm49694?2=83:p(<m=:g58L1?53A>3;6*>0986?l212900e8>50;9j5g2=831d=o;50;9~f4c3290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj8o86=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn<k=:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb0g2>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f4c7290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj8nm6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn<jj:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb0fg>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f4bd290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;>=6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?:::187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb367>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f724290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;>96=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?:>:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb363>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f75a290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;9n6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?6j:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb3:g>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f7>d290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;2i6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?6n:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb3::>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f7>?290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;2<6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?69:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb30`>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f74e290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;8j6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?<6:187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb30;>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f740290?6=4?{%3`6?`23A>2>6F;869'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;8=6=4;:183!7d:3l>7E:62:J7<2=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?<::187>5<7s-;h>7h:;I6:6>N30>1/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb307>5<3290;w)?l2;d6?M2>:2B?4:5+11:95>o3>3:17d:l:188m06=831d=o;50;9~f71d290?6=4?{%3`6?`23A>2>6F;869K07=#9<?19474$02;>4=n<?0;66g;c;29?l372900c<l::188yg40j3:187>50z&2g7<a=2B?5?5G4958L14<,8?>6876;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd5?h0;694?:1y'5f4=n<1C84<4H5:4?M253-;>97;69:&24=<63`>=6=44i5a94?=n=90;66a>b483>>{e:>31<7:50;2x 4e52o?0D97=;I6;3>N3:2.:984:989'55>=92c?:7>5;h6`>5<<a<:1<75`1c794?=zj;=36=4;:183!7d:3l>7E:62:J7<2=O<;1/=8;558;8 46?281b8;4?::k7g?6=3`?;6=44o0`6>5<<uk8<;7>54;294~"6k;0m96F;939K0=1<@=80(<;::4;:?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9;;4?:583>5}#9j81j85G4808L1>03A>97)?:5;7:=>"6810:7d:9:188m1e=831b9=4?::m2f0<722wi>:;50;694?6|,8i96k;4H5;1?M2??2B?>6*>5486=<=#9921=6g;6;29?l2d2900e8>50;9l5g3=831vn?9;:187>5<7s-;h>7h:;I6:6>N30>1C8?5+14791<?<,8:36<5f4783>>o3k3:17d;?:188k4d22900qo<>a;290?6=8r.:o?4i5:J7=7=O<1=0D9<4$076>0?>3-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl=1883>1<729q/=n<5f49K0<4<@=2<7E:=;%361?3>12.:<54>;h65>5<<a=i1<75f5183>>i6j<0;66sm20:94?2=83:p(<m=:g78L1?53A>3;6F;2:&210<2101/==651:k72?6=3`>h6=44i4294?=h9k?1<75rb334>5<3290;w)?l2;d6?M2>:2B?4:5G439'503==030(<>7:09j03<722c?o7>5;h73>5<<g8h>6=44}c022?6=<3:1<v*>c38e1>N31;1C8594H508 4322<327)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`150<72=0;6=u+1b09b0=O<080D968;I61?!72=3?256*>0982?l212900e9m50;9j15<722e:n84?::a642=83>1<7>t$0a1>c3<@=397E:77:J76>"6=<0>545+11:95>o3>3:17d:l:188m06=831d=o;50;9~f774290?6=4?{%3`6?`23A>2>6F;869K07=#9<?19474$02;>4=n<?0;66g;c;29?l372900c<l::188yg46:3:187>50z&2g7<a=2B?5?5G4958L14<,8?>6876;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd5810;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9<:4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl=0783>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`140<72=0;6=u+1b09b0=O<080D968;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd58=0;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9<>4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl=0383>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`144<72=0;6=u+1b09b0=O<080D968;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd5890;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9:l4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl=6883>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`12=<72=0;6=u+1b09b0=O<080D968;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd5>>0;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9:;4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl=6483>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`121<72=0;6=u+1b09b0=O<080D968;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd5>:0;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th9:?4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl<0583>0<729q/=n<5f79K0<4<@=2<7)??8;38m10=831b8:4?::k7g?6=3`?;6=44o0`6>5<<uk9;?7>55;294~"6k;0m:6F;939K0=1<,8:36<5f4783>>o3?3:17d:l:188m06=831d=o;50;9~f665290>6=4?{%3`6?`13A>2>6F;869'55>=92c?:7>5;h64>5<<a=i1<75f5183>>i6j<0;66sm31394?3=83:p(<m=:g48L1?53A>3;6*>0982?l212900e9950;9j0f<722c><7>5;n3a1?6=3th8<=4?:483>5}#9j81j;5G4808L1>03-;;47?4i5494?=n<>0;66g;c;29?l372900c<l::188yg4an3:197>50z&2g7<a>2B?5?5G4958 46?281b8;4?::k73?6=3`>h6=44i4294?=h9k?1<75rb3dg>5<2290;w)?l2;d5?M2>:2B?4:5+11:95>o3>3:17d:8:188m1e=831b9=4?::m2f0<722wi>km50;794?6|,8i96k64H5;1?M2??2.:<54:;h65>5<<a==1<75f5183>>o6j=0;66a>b483>>{e:oo1<7;50;2x 4e52o<0D97=;I6;3>"6810:7d:9:188m11=831b8n4?::k64?6=3f;i97>5;|`1b4<72:0;6=u+1b090<7<@=397E:77:k7=?6=3`;?n7>5;n3ba?6=3th9j=4?:283>5}#9j8184?4H5;1?M2??2c?57>5;h37f?6=3f;ji7>5;|`1a2<72=0;6=u+1b09500<@=397E:77:k7=?6=3`?96=44i0`a>5<<g8kn6=44}c0fb?6=;3:1<v*>c387=4=O<080D968;h6:>5<<a8>i6=44o0cf>5<<uk8n97>54;294~"6k;0:9;5G4808L1>03`>26=44i4094?=n9kh1<75`1`g94?=zj;on6=4<:183!7d:3>2=6F;939K0=1<a=31<75f15`94?=h9ho1<75rb3g0>5<3290;w)?l2;362>N31;1C8594i5;94?=n=;0;66g>bc83>>i6il0;66sm2df94?5=83:p(<m=:5;2?M2>:2B?4:5f4883>>o6<k0;66a>ad83>>{e:l;1<7:50;2x 4e528?=7E:62:J7<2=n<00;66g:2;29?l7ej3:17b?ne;29?xd5mj0;6>4?:1y'5f4=<0;0D97=;I6;3>o313:17d?;b;29?j7fm3:17pl=dg83>1<729q/=n<51448L1?53A>3;6g;9;29?l352900e<lm:188k4gb2900qo<jb;297?6=8r.:o?4;909K0<4<@=2<7d:6:188m42e2900c<oj:188yg4cl3:187>50z&2g7<6=?1C84<4H5:4?l2>2900e8<50;9j5gd=831d=lk50;9~f7cf29086=4?{%3`6?2>92B?5?5G4958m1?=831b=9l50;9l5dc=831vn?jm:187>5<7s-;h>7?:6:J7=7=O<1=0e9750;9j17<722c:no4?::m2e`<722wi>h750;194?6|,8i9697>;I6:6>N30>1b844?::k20g<722e:mh4?::a6a?=83>1<7>t$0a1>4313A>2>6F;869j0<<722c>>7>5;h3af?6=3f;ji7>5;|`053<72<0;6=u+1b09b3=O<080D968;%33<?7<a=<1<75f4683>>o3k3:17d;?:188k4d22900qo=>5;291?6=8r.:o?4i6:J7=7=O<1=0(<>7:09j03<722c?;7>5;h6`>5<<a<:1<75`1c794?=zj:;?6=4::183!7d:3l=7E:62:J7<2=#9921=6g;6;29?l202900e9m50;9j15<722e:n84?::a745=83?1<7>t$0a1>c0<@=397E:77:&24=<63`>=6=44i5594?=n<j0;66g:0;29?j7e=3:17pl<1383>0<729q/=n<5f79K0<4<@=2<7)??8;38m10=831b8:4?::k7g?6=3`?;6=44o0`6>5<<uk9:=7>55;294~"6k;0m:6F;939K0=1<,8:36<5f4783>>o3?3:17d:l:188m06=831d=o;50;9~f677290>6=4?{%3`6?`13A>2>6F;869'55>=92c?:7>5;h64>5<<a=i1<75f5183>>i6j<0;66sm31d94?3=83:p(<m=:g48L1?53A>3;6*>0982?l212900e9950;9j0f<722c><7>5;n3a1?6=3th8<h4?:483>5}#9j81j;5G4808L1>03-;;47?4i5494?=n<>0;66g;c;29?l372900c<l::188yg4f93:187>50z&2g7<a?2B?5?5G4958 46?2<1b8;4?::k64?6=3`;i87>5;n3a1?6=3th9m?4?:583>5}#9j81j:5G4808L1>03-;;47;4i5494?=n=90;66g>b583>>i6j<0;66sm28a94?2=83:p(<m=:g78L1?53A>3;6*>0982?l212900e9m50;9j15<722e:n84?::a6<d=83>1<7>t$0a1>c3<@=397E:77:&24=<63`>=6=44i5a94?=n=90;66a>b483>>{e;::1<7=50;2x 4e52=3:7E:62:J7<2=n<00;66g>4c83>>i6il0;66sm33d94?5=83:p(<m=:5;2?M2>:2B?4:5f4883>>o6<k0;66a>ad83>>{e;;:1<7:50;2x 4e528?=7E:62:J7<2=n<00;66g:2;29?l7ej3:17b?ne;29?xd4:l0;6>4?:1y'5f4=<0;0D97=;I6;3>o313:17d?;b;29?j7fm3:17pl<1g83>1<729q/=n<51448L1?53A>3;6g;9;29?l352900e<lm:188k4gb2900qo==d;297?6=8r.:o?4;909K0<4<@=2<7d:6:188m42e2900c<oj:188yg56m3:187>50z&2g7<6=?1C84<4H5:4?l2>2900e8<50;9j5gd=831d=lk50;9~f64d29086=4?{%3`6?2>92B?5?5G4958m1?=831b=9l50;9l5dc=831vn>?k:187>5<7s-;h>7?:6:J7=7=O<1=0e9750;9j17<722c:no4?::m2e`<722wi??l50;194?6|,8i9697>;I6:6>N30>1b844?::k20g<722e:mh4?::a74e=83>1<7>t$0a1>4313A>2>6F;869j0<<722c>>7>5;h3af?6=3f;ji7>5;|`06d<72:0;6=u+1b090<7<@=397E:77:k7=?6=3`;?n7>5;n3ba?6=3th8=o4?:583>5}#9j81=884H5;1?M2??2c?57>5;h71>5<<a8hi6=44o0cf>5<<uk9:m7>54;294~"6k;0:9;5G4808L1>03`>26=44i4094?=n9kh1<75`1`g94?=zj:;26=4;:183!7d:3;>:6F;939K0=1<a=31<75f5383>>o6jk0;66a>ad83>>{e;821<7:50;2x 4e528?=7E:62:J7<2=n<00;66g:2;29?l7ej3:17b?ne;29?xd4;l0;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th8?i4?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl<3b83>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`07g<72=0;6=u+1b09b0=O<080D968;%33<?7<a=<1<75f4b83>>o283:17b?m5;29?xd4;h0;694?:1y'5f4=n<1C84<4H5:4?!7703;0e9850;9j0f<722c><7>5;n3a1?6=3th8?44?:583>5}#9j81j85G4808L1>03-;;47?4i5494?=n<j0;66g:0;29?j7e=3:17pl<3983>1<729q/=n<5f49K0<4<@=2<7)??8;38m10=831b8n4?::k64?6=3f;i97>5;|`003<72<0;6=u+1b09b==O<080D968;%33<?3<a=<1<75f4683>>o283:17d?m4;29?j7e=3:17pl=c183>1<729q/=n<51448L1?53A>3;6g;9;29?l352900e<lm:188k4gb2900qo<mf;290?6=8r.:o?4>579K0<4<@=2<7d:6:188m04=831b=ol50;9l5dc=831vn?lj:187>5<7s-;h>7?:6:J7=7=O<1=0e9750;9j17<722c:no4?::m2e`<722wi>oj50;694?6|,8i96<;9;I6:6>N30>1b844?::k66?6=3`;in7>5;n3ba?6=3th9nn4?:583>5}#9j81=884H5;1?M2??2c?57>5;h71>5<<a8hi6=44o0cf>5<<uk8jh7>54;294~"6k;0:9;5G4808L1>03`>26=44i4094?=n9kh1<75`1`g94?=zj;kh6=4;:183!7d:3;>:6F;939K0=1<a=31<75f5383>>o6jk0;66a>ad83>>{e:hh1<7:50;2x 4e528?=7E:62:J7<2=n<00;66g:2;29?l7ej3:17b?ne;29?xd5ih0;694?:1y'5f4=9<<0D97=;I6;3>o313:17d;=:188m4de2900c<oj:188yg4f13:187>50z&2g7<6=?1C84<4H5:4?l2>2900e8<50;9j5gd=831d=lk50;9~f6b5290>6=4?{%3`6?`13A>2>6F;869'55>=92c?:7>5;h64>5<<a=i1<75f5183>>i6j<0;66sm3e294?3=83:p(<m=:g48L1?53A>3;6*>0982?l212900e9950;9j0f<722c><7>5;n3a1?6=3th8h<4?:483>5}#9j81j55G4808L1>03-;;47;4i5494?=n<>0;66g:0;29?l7e<3:17b?m5;29?xd4l:0;684?:1y'5f4=n?1C84<4H5:4?!7703;0e9850;9j02<722c?o7>5;h73>5<<g8h>6=44}c1g0?6==3:1<v*>c38e2>N31;1C8594$02;>4=n<?0;66g;7;29?l2d2900e8>50;9l5g3=831vn>j::186>5<7s-;h>7h9;I6:6>N30>1/==651:k72?6=3`><6=44i5a94?=n=90;66a>b483>>{e;m<1<7;50;2x 4e52o<0D97=;I6;3>"6810:7d:9:188m11=831b8n4?::k64?6=3f;i97>5;|`0`2<72<0;6=u+1b09b3=O<080D968;%33<?7<a=<1<75f4683>>o3k3:17d;?:188k4d22900qo=k8;291?6=8r.:o?4i6:J7=7=O<1=0(<>7:09j03<722c?;7>5;h6`>5<<a<:1<75`1c794?=zj:o?6=4::183!7d:3l=7E:62:J7<2=#9921=6g;6;29?l202900e9m50;9j15<722e:n84?::a7`4=83?1<7>t$0a1>c><@=397E:77:&24=<23`>=6=44i5594?=n=90;66g>b583>>i6j<0;66sm3d194?3=83:p(<m=:g48L1?53A>3;6*>0982?l212900e9950;9j0f<722c><7>5;n3a1?6=3th8i84?:483>5}#9j81j;5G4808L1>03-;;47?4i5494?=n<>0;66g;c;29?l372900c<l::188yg5b>3:197>50z&2g7<a>2B?5?5G4958 46?281b8;4?::k73?6=3`>h6=44i4294?=h9k?1<75rb2g4>5<2290;w)?l2;d5?M2>:2B?4:5+11:95>o3>3:17d:8:188m1e=831b9=4?::m2f0<722wi?h650;794?6|,8i96k84H5;1?M2??2.:<54>;h65>5<<a==1<75f4b83>>o283:17b?m5;29?xd4m00;684?:1y'5f4=n?1C84<4H5:4?!7703;0e9850;9j02<722c?o7>5;h73>5<<g8h>6=44}c1fe?6==3:1<v*>c38e2>N31;1C8594$02;>4=n<?0;66g;7;29?l2d2900e8>50;9l5g3=831vn>m9:186>5<7s-;h>7h9;I6:6>N30>1/==651:k72?6=3`><6=44i5a94?=n=90;66a>b483>>{e;j?1<7;50;2x 4e52o<0D97=;I6;3>"6810:7d:9:188m11=831b8n4?::k64?6=3f;i97>5;|`0g6<72<0;6=u+1b09b3=O<080D968;%33<?7<a=<1<75f4683>>o3k3:17d;?:188k4d22900qo=l2;291?6=8r.:o?4i6:J7=7=O<1=0(<>7:09j03<722c?;7>5;h6`>5<<a<:1<75`1c794?=zj:i?6=4::183!7d:3l=7E:62:J7<2=#9921=6g;6;29?l202900e9m50;9j15<722e:n84?::a7f6=83?1<7>t$0a1>c0<@=397E:77:&24=<63`>=6=44i5594?=n<j0;66g:0;29?j7e=3:17pl<bg83>0<729q/=n<5f99K0<4<@=2<7)??8;78m10=831b8:4?::k64?6=3`;i87>5;n3a1?6=3th8o<4?:483>5}#9j81j;5G4808L1>03-;;47?4i5494?=n<>0;66g;c;29?l372900c<l::188yg5em3:197>50z&2g7<a02B?5?5G4958 46?2<1b8;4?::k73?6=3`?;6=44i0`7>5<<g8h>6=44}c1a7?6=;3:1<v*>c387=4=O<080D968;h6:>5<<a8>i6=44o0cf>5<<uk9i>7>53;294~"6k;0?5<5G4808L1>03`>26=44i06a>5<<g8kn6=44}c1b=?6=<3:1<v*>c38213=O<080D968;h6:>5<<a<81<75f1c`94?=h9ho1<75rb2`2>5<4290;w)?l2;6:5>N31;1C8594i5;94?=n9=h1<75`1`g94?=zj:k<6=4;:183!7d:3;>:6F;939K0=1<a=31<75f5383>>o6jk0;66a>ad83>>{e;k:1<7=50;2x 4e52=3:7E:62:J7<2=n<00;66g>4c83>>i6il0;66sm3`794?2=83:p(<m=:075?M2>:2B?4:5f4883>>o2:3:17d?mb;29?j7fm3:17pl<ag83>6<729q/=n<54838L1?53A>3;6g;9;29?l73j3:17b?ne;29?xd4i:0;694?:1y'5f4=9<<0D97=;I6;3>o313:17d;=:188m4de2900c<oj:188yg5fm3:1?7>50z&2g7<3181C84<4H5:4?l2>2900e<:m:188k4gb2900qo=n1;290?6=8r.:o?4>579K0<4<@=2<7d:6:188m04=831b=ol50;9l5dc=831vn>ok:180>5<7s-;h>7:61:J7=7=O<1=0e9750;9j51d=831d=lk50;9~f6?a290?6=4?{%3`6?72>2B?5?5G4958m1?=831b9?4?::k2fg<722e:mh4?::a7de=8391<7>t$0a1>1?63A>2>6F;869j0<<722c:8o4?::m2e`<722wi?4j50;694?6|,8i96<;9;I6:6>N30>1b844?::k66?6=3`;in7>5;n3ba?6=3th8mo4?:283>5}#9j8184?4H5;1?M2??2c?57>5;h37f?6=3f;ji7>5;|`0=g<72=0;6=u+1b09500<@=397E:77:k7=?6=3`?96=44i0`a>5<<g8kn6=44}c1eg?6==3:1<v*>c38e2>N31;1C8594$02;>4=n<?0;66g;7;29?l2d2900e8>50;9l5g3=831vn>hm:186>5<7s-;h>7h9;I6:6>N30>1/==651:k72?6=3`><6=44i5a94?=n=90;66a>b483>>{e;ok1<7;50;2x 4e52o<0D97=;I6;3>"6810:7d:9:188m11=831b8n4?::k64?6=3f;i97>5;|`0b<<72<0;6=u+1b09b3=O<080D968;%33<?7<a=<1<75f4683>>o3k3:17d;?:188k4d22900qo=i8;291?6=8r.:o?4i6:J7=7=O<1=0(<>7:09j03<722c?;7>5;h6`>5<<a<:1<75`1c794?=zj:l<6=4::183!7d:3l=7E:62:J7<2=#9921=6g;6;29?l202900e9m50;9j15<722e:n84?::a7c0=83?1<7>t$0a1>c0<@=397E:77:&24=<63`>=6=44i5594?=n<j0;66g:0;29?j7e=3:17pl<f483>0<729q/=n<5f79K0<4<@=2<7)??8;38m10=831b8:4?::k7g?6=3`?;6=44o0`6>5<<uk9m87>55;294~"6k;0m:6F;939K0=1<,8:36<5f4783>>o3?3:17d:l:188m06=831d=o;50;9~f637290?6=4?{%3`6?72>2B?5?5G4958m1?=831b9?4?::k2fg<722e:mh4?::a707=83>1<7>t$0a1>4313A>2>6F;869j0<<722c>>7>5;h3af?6=3f;ji7>5;|`017<72=0;6=u+1b09500<@=397E:77:k7=?6=3`?96=44i0`a>5<<g8kn6=44}c167?6=<3:1<v*>c38213=O<080D968;h6:>5<<a<81<75f1c`94?=h9ho1<75rb277>5<3290;w)?l2;362>N31;1C8594i5;94?=n=;0;66g>bc83>>i6il0;66sm37194?2=83:p(<m=:075?M2>:2B?4:5f4883>>o2:3:17d?mb;29?j7fm3:17pl<6583>1<729q/=n<51448L1?53A>3;6g;9;29?l352900e<lm:188k4gb2900qo=95;290?6=8r.:o?4>579K0<4<@=2<7d:6:188m04=831b=ol50;9l5dc=831vn>89:187>5<7s-;h>7?:6:J7=7=O<1=0e9750;9j17<722c:no4?::m2e`<722wi?;950;694?6|,8i96<;9;I6:6>N30>1b844?::k66?6=3`;in7>5;n3ba?6=3th8;44?:583>5}#9j81=884H5;1?M2??2c?57>5;h71>5<<a8hi6=44o0cf>5<<uk9<m7>54;294~"6k;0:9;5G4808L1>03`>26=44i4094?=n9kh1<75`1`g94?=zj:=i6=4;:183!7d:3;>:6F;939K0=1<a=31<75f5383>>o6jk0;66a>ad83>>{e;>i1<7:50;2x 4e528?=7E:62:J7<2=n<00;66g:2;29?l7ej3:17b?ne;29?xd4?m0;694?:1y'5f4=9<<0D97=;I6;3>o313:17d;=:188m4de2900c<oj:188yg5?k3:187>50z&2g7<a?2B?5?5G4958 46?2<1b8;4?::k64?6=3`;i87>5;n3a1?6=3th84i4?:583>5}#9j81j:5G4808L1>03-;;47;4i5494?=n=90;66g>b583>>i6j<0;66sm39:94?3=83:p(<m=:g:8L1?53A>3;6*>0986?l212900e9950;9j15<722c:n94?::m2f0<722wi8>h50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<:o1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a06b=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm42a94?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8>l50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<:k1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a06>=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm42594?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8>850;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<:?1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a062=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm42194?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8><50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<:;1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a066=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm43d94?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8?j50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<;i1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a07d=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm43c94?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8?750;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<;21<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a071=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm43494?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8?;50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<;>1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a013=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm45694?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi89=50;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<=81<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a017=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm45294?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi8>750;794?6|,8i96k74H5;1?M2??2.:<54>;h65>5<<a==1<75f5183>>o6j?0;66a>b483>>{e<;o1<7;50;2x 4e52o30D97=;I6;3>"6810:7d:9:188m11=831b9=4?::k2f3<722e:n84?::a075=83?1<7>t$0a1>c?<@=397E:77:&24=<63`>=6=44i5594?=n=90;66g>b783>>i6j<0;66sm43094?3=83:p(<m=:g;8L1?53A>3;6*>0982?l212900e9950;9j15<722c:n;4?::m2f0<722wi85?50;194?6|,8i96<;?;I6:6>N30>1/==65119jbf<722cmh7>5;n3ba?6=3th?;>4?:283>5}#9j81=8>4H5;1?M2??2.:<54>0:keg?6=3`lo6=44o0cf>5<<uk8?47>53;294~"6k;0:9=5G4808L1>03-;;47?8;hd`>5<<aon1<75`1`g94?=zj8o=6=4<:183!7d:3;><6F;939K0=1<,8:36<94iga94?=nnm0;66a>ad83>>{e9m81<7=50;2x 4e528?;7E:62:J7<2=#9921=:5ffb83>>oal3:17b?ne;29?xd6l80;6>4?:1y'5f4=9<:0D97=;I6;3>"6810:;6gic;29?l`c2900c<oj:188yg7c83:1?7>50z&2g7<6=91C84<4H5:4?!7703;<7dhl:188mcb=831d=lk50;9~f4ea29086=4?{%3`6?7282B?5?5G4958 46?28=0ekm50;9jba<722e:mh4?::a5fc=8391<7>t$0a1>4373A>2>6F;869'55>=9>1bjn4?::ke`?6=3f;ji7>5;|`2ga<72:0;6=u+1b09506<@=397E:77:&24=<6?2cmo7>5;hdg>5<<g8kn6=44}c3`g?6=;3:1<v*>c38215=O<080D968;%33<?703`lh6=44igf94?=h9ho1<75rb0aa>5<4290;w)?l2;364>N31;1C8594$02;>41<aoi1<75ffe83>>i6il0;66sm1bc94?5=83:p(<m=:073?M2>:2B?4:5+11:952=nnj0;66gid;29?j7fm3:17pl>c883>6<729q/=n<51428L1?53A>3;6*>09823>oak3:17dhk:188k4gb2900qo?l8;297?6=8r.:o?4>519K0<4<@=2<7)??8;34?l`d2900ekj50;9l5dc=831vn<m8:180>5<7s-;h>7?:0:J7=7=O<1=0(<>7:058mce=831bji4?::m2e`<722wi=n850;194?6|,8i96<;?;I6:6>N30>1/==65169jbf<722cmh7>5;n3ba?6=3th:o84?:283>5}#9j81=8>4H5;1?M2??2.:<54>7:keg?6=3`lo6=44o0cf>5<<uk;h87>53;294~"6k;0:9=5G4808L1>03-;;47?8;hd`>5<<aon1<75`1`g94?=zj8i86=4<:183!7d:3;><6F;939K0=1<,8:36<94iga94?=nnm0;66a>ad83>>{e:h:1<7:50;2x 4e528?:7E:62:J7<2=#9921j<5ffb83>>oal3:17dhj:188k4gb2900qo<;a;290?6=8r.:o?4>509K0<4<@=2<7)??8;3e?l`d2900ekj50;9jb`<722e:mh4?::a5`>=83>1<7>t$0a1>4363A>2>6F;869'55>=9o1bjn4?::ke`?6=3`ln6=44o0cf>5<<uk82i7>55;294~"6k;0:9?5G4808L1>03-;;47?>;hd`>5<<aon1<75ffd83>>oan3:17b?ne;29?xd5<j0;684?:1y'5f4=9<80D97=;I6;3>"6810:56gic;29?l`c2900ekk50;9jbc<722e:mh4?::a5`g=83?1<7>t$0a1>4353A>2>6F;869'55>=901bjn4?::ke`?6=3`ln6=44igd94?=h9ho1<75rb3c7>5<2290;w)?l2;366>N31;1C8594$02;>4e<aoi1<75ffe83>>oam3:17dhi:188k4gb2900qo=7b;297?6=8r.:o?4>519K0<4<@=2<7)??8;60?l`d2900ekj50;9l5dc=831vn?77:187>5<7s-;h>7?:1:J7=7=O<1=0(<>7:3a8mce=831bji4?::kea?6=3f;ji7>5;|`00=<72<0;6=u+1b09504<@=397E:77:&24=<6j2cmo7>5;hdg>5<<aoo1<75ffg83>>i6il0;66sm35;94?5=83:p(<m=:073?M2>:2B?4:5+11:95d=nnj0;66gid;29?j7fm3:17pl=4d83>6<729q/=n<51428L1?53A>3;6*>09823>oak3:17dhk:188k4gb2900qo?jc;297?6=8r.:o?4>519K0<4<@=2<7)??8;34?l`d2900ekj50;9l5dc=831vn?;?:187>5<7s-;h>7?:1:J7=7=O<1=0(<>7:0d8mce=831bji4?::kea?6=3f;ji7>5;|`2a`<72=0;6=u+1b09507<@=397E:77:&24=<6n2cmo7>5;hdg>5<<aoo1<75`1`g94?=zj;?96=4::183!7d:3;>>6F;939K0=1<,8:36<74iga94?=nnm0;66gie;29?l`a2900c<oj:188yg7a83:197>50z&2g7<6=;1C84<4H5:4?!7703;27dhl:188mcb=831bjh4?::keb?6=3f;ji7>5;|`72f<72=0;6=u+1b09507<@=397E:77:&24=<292cmo7>5;hdg>5<<aoo1<75`1`g94?=zj;3<6=4::183!7d:3;>>6F;939K0=1<,8:3655ffb83>>oal3:17dhj:188mc`=831d=lk50;9~f6>029086=4?{%3`6?7282B?5?5G4958 46?28n0ekm50;9jba<722e:mh4?::a727=8391<7>t$0a1>4373A>2>6F;869'55>=9m1bjn4?::ke`?6=3f;ji7>5;|`01`<72:0;6=u+1b09506<@=397E:77:&24=<6l2cmo7>5;hdg>5<<g8kn6=44}c0`e?6=;3:1<v*>c38215=O<080D968;%33<?7c3`lh6=44igf94?=h9ho1<75rb3`4>5<4290;w)?l2;364>N31;1C8594$02;>4b<aoi1<75ffe83>>i6il0;66sm39494?3=83:p(<m=:071?M2>:2B?4:5+11:95`=nnj0;66gid;29?l`b2900ekh50;9l5dc=831vn>9?:186>5<7s-;h>7?:2:J7=7=O<1=0(<>7:0g8mce=831bji4?::kea?6=3`lm6=44o0cf>5<<uk9>h7>55;294~"6k;0:9?5G4808L1>03-;;47?j;hd`>5<<aon1<75ffd83>>oan3:17b?ne;29?xd5k00;684?:1y'5f4=9<80D97=;I6;3>"6810:i6gic;29?l`c2900ekk50;9jbc<722e:mh4?::a6g0=83?1<7>t$0a1>4353A>2>6F;869'55>=9l1bjn4?::ke`?6=3`ln6=44igd94?=h9ho1<75rb2:6>5<2290;w)?l2;366>N31;1C8594$02;>4c<aoi1<75ffe83>>oam3:17dhi:188k4gb2900qo=9f;291?6=8r.:o?4>539K0<4<@=2<7)??8;3f?l`d2900ekj50;9jb`<722cmj7>5;n3ba?6=3th89n4?:483>5}#9j81=8<4H5;1?M2??2.:<54>e:keg?6=3`lo6=44igg94?=nno0;66a>ad83>>{e:j21<7;50;2x 4e528?97E:62:J7<2=#9921=h5ffb83>>oal3:17dhj:188mc`=831d=lk50;9~f7d2290>6=4?{%3`6?72:2B?5?5G4958 46?28o0ekm50;9jba<722cmi7>5;hde>5<<g8kn6=44}c1;0?6==3:1<v*>c38217=O<080D968;%33<?7b3`lh6=44igf94?=nnl0;66gif;29?j7fm3:17pl<6d83>0<729q/=n<51408L1?53A>3;6*>0982a>oak3:17dhk:188mcc=831bjk4?::m2e`<722wi?8l50;794?6|,8i96<;=;I6:6>N30>1/==651d9jbf<722cmh7>5;hdf>5<<aol1<75`1`g94?=zj;i<6=4::183!7d:3;>>6F;939K0=1<,8:36<k4iga94?=nnm0;66gie;29?l`a2900c<oj:188yg4e<3:197>50z&2g7<6=;1C84<4H5:4?!7703;n7dhl:188mcb=831bjh4?::keb?6=3f;ji7>5;|`1gc<72=0;6=u+1b09507<@=397E:77:&24=<a:2cmo7>5;hdg>5<<aoo1<75`1`g94?=zj:2m6=4::183!7d:3;>>6F;939K0=1<,8:36<84iga94?=nnm0;66gie;29?l`a2900c<oj:188yg5?i3:197>50z&2g7<6=;1C84<4H5:4?!7703;=7dhl:188mcb=831bjh4?::keb?6=3f;ji7>5;|`0<6<72<0;6=u+1b09504<@=397E:77:&24=<6m2cmo7>5;hdg>5<<aoo1<75ffg83>>i6il0;66sm37f94?3=83:p(<m=:071?M2>:2B?4:5+11:95`=nnj0;66gid;29?l`b2900ekh50;9l5dc=831vn>;n:186>5<7s-;h>7?:2:J7=7=O<1=0(<>7:0g8mce=831bji4?::kea?6=3`lm6=44o0cf>5<<uk8h:7>55;294~"6k;0:9?5G4808L1>03-;;47?j;hd`>5<<aon1<75ffd83>>oan3:17b?ne;29?xd5j:0;684?:1y'5f4=9<80D97=;I6;3>"6810:i6gic;29?l`c2900ekk50;9jbc<722e:mh4?::a603=8391<7>t$0a1>4373A>2>6F;869'55>=9m1bjn4?::ke`?6=3f;ji7>5;|`2b6<72:0;6=u+1b09506<@=397E:77:&24=<6l2cmo7>5;hdg>5<<g8kn6=44}c06<?6=<3:1<v*>c38214=O<080D968;%33<?7?3`lh6=44igf94?=nnl0;66a>ad83>>{e9o<1<7:50;2x 4e528?:7E:62:J7<2=#9921=55ffb83>>oal3:17dhj:188k4gb2900qo=60;297?6=8r.:o?4>519K0<4<@=2<7)??8;58mce=831bji4?::m2e`<722wi>h650;094?6|,8i96<:i;I6:6>N30>1/==657:keg?6=3f;ji7>5;|`1a3<72;0;6=u+1b0951`<@=397E:77:&24=<03`lh6=44o0cf>5<<uk8n87>52;294~"6k;0:8k5G4808L1>03-;;4794iga94?=h9ho1<75rb3g1>5<5290;w)?l2;37b>N31;1C8594$02;>2=nnj0;66a>ad83>>{e:l:1<7<50;2x 4e528>m7E:62:J7<2=#9921;6gic;29?j7fm3:17pl=dd83>7<729q/=n<515d8L1?53A>3;6*>0984?l`d2900c<oj:188yg4ck3:1>7>50z&2g7<6<o1C84<4H5:4?!7703=0ekm50;9l5dc=831vn?jn:181>5<7s-;h>7?;f:J7=7=O<1=0(<>7:69jbf<722e:mh4?::a7dg=8381<7>t$0a1>42a3A>2>6F;869'55>=?2cmo7>5;n3ba?6=3th8m54?:383>5}#9j81=9h4H5;1?M2??2.:<548;hd`>5<<g8kn6=44}c1b2?6=:3:1<v*>c3820c=O<080D968;%33<?1<aoi1<75`1`g94?=zj:k?6=4=:183!7d:3;?j6F;939K0=1<,8:36:5ffb83>>i6il0;66sm3`094?4=83:p(<m=:06e?M2>:2B?4:5+11:93>oak3:17b?ne;29?xd4i90;6?4?:1y'5f4=9=l0D97=;I6;3>"6810<7dhl:188k4gb2900qo=6e;296?6=8r.:o?4>4g9K0<4<@=2<7)??8;58mce=831d=lk50;9~f6?d29096=4?{%3`6?73n2B?5?5G4958 46?2>1bjn4?::m2e`<722wi>k<50;094?6|,8i96<:i;I6:6>N30>1/==657:keg?6=3f;ji7>5;|`0f1<72;0;6=u+1b0951`<@=397E:77:&24=<03`lh6=44o0cf>5<<uk99=7>53;294~"6k;0:9=5G4808L1>03-;;47?k;hd`>5<<aon1<75`1`g94?=zj:896=4<:183!7d:3;><6F;939K0=1<,8:36<j4iga94?=nnm0;66a>ad83>>{e;;91<7=50;2x 4e528?;7E:62:J7<2=#9921=i5ffb83>>oal3:17b?ne;29?xd4:=0;6>4?:1y'5f4=9<:0D97=;I6;3>"6810:h6gic;29?l`c2900c<oj:188yg55=3:1?7>50z&2g7<6=91C84<4H5:4?!7703;o7dhl:188mcb=831d=lk50;9~f64129086=4?{%3`6?7282B?5?5G4958 46?28n0ekm50;9jba<722e:mh4?::a771=8391<7>t$0a1>4373A>2>6F;869'55>=9m1bjn4?::ke`?6=3f;ji7>5;|`06=<72:0;6=u+1b09506<@=397E:77:&24=<6l2cmo7>5;hdg>5<<g8kn6=44}c11=?6=;3:1<v*>c38215=O<080D968;%33<?7c3`lh6=44igf94?=h9ho1<75rb2;2>5<4290;w)?l2;364>N31;1C8594$02;>2=nnj0;66gid;29?j7fm3:17pl<4`83>0<729q/=n<51468L1?53A>3;6*>0987?l`d2900ekj50;9jb`<722cmj7>5;n37g?6=3th9994?:783>5}#9j81=8=4H5;1?M2??2.:<54=0:keg?6=3`lo6=44igg94?=nno0;66a>4b83>>i6il0;66sm1g094?0=83:p(<m=:070?M2>:2B?4:5+11:965=nnj0;66gid;29?l`b2900ekh50;9l51e=831d=lk50;9~f10f290jm7>50zJ7<2=#9j81=o94Z579e~462;8157o513827?d=k3;?6<;5}%37e?4<,8:;6>5+11397>"68;087)??3;18 4632:1/==;53:&243<43-om6k=4$02:>6=#99k1?6*>0c80?!77k390(<>k:29'55c=;2.:<k4<;%324?5<,8;:6>5+10097>"69:087)?>4;18 4722:1/=<853:&252<43-;:47=4$03:>6=#98k1?6*>1c80?!76k390(<?k:29'54c=;2.:=k4<;%314?5<,88:6>5+13097>"6::087)?=4;18 4422:1/=?853:&262<43-;947=4$00:>6=#9;k1?6*>2c80?!75k390(<<k:29'57c=;2.:>k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18 4522:1/=>853:&272<43-;847=4$01:>6=#9:k1?6*>3c80?!74k390(<=k:29'56c=;2.:?k4<;%374?5<,8>:6>5+15097>"6<:087)?;4;18 4222:1/=9853:&202<43-;?47=4$06:>7=#9ki18<5+11597>"6jl097):7c;3a<>"30m0:n55ac183?kc5291e=o75259m5gg=:=1e85k5259m0=`=:=1/j=4i3:&2fc<53`>j6=44i5`94?=n<1k1<75fed83>>o>i3:1(<l<:8f8j4d52:10e<m>:188m60=83.:n>4<5:l2f7<732c887>5$0`0>63<f8h96<54i2194?"6j:0896`>b381?>o4:3:1(<l<:278j4d52:10e>?50;&2f6<4=2d:n?4;;:k04?6=,8h86>;4n0`1>0=<a;l1<7*>b2801>h6j;0=76g=e;29 4d42:?0b<l=:698m7b=83.:n>4<5:l2f7<?32c?<7>5$0`0>6`<f8h96=54i2g94?"6j:08j6`>b382?>o4l3:1(<l<:2d8j4d52;10e>m50;&2f6<4n2d:n?4<;:k0f?6=,8h86>h4n0`1>1=<a:k1<7*>b280b>h6j;0>76g<9;29 4d42:l0b<l=:798m6>=83.:n>4<f:l2f7<032c8;7>5$0`0>6`<f8h96554i7a94?"6j:0=n6`>b383?>o1i3:1(<l<:7`8j4d52810e;650;&2f6<1j2d:n?4=;:k53?6=,8h86;l4n0`1>6=<a?<1<7*>b285f>h6j;0?76g95;29 4d42?h0b<l=:498m32=83.:n>49b:l2f7<132c=?7>5$0`0>3d<f8h96:54i7094?"6j:0=n6`>b38;?>o193:1(<l<:7`8j4d52010e;>50;&2f6<1j2d:n?4n;:k6b?6=,8h86;l4n0`1>g=<a<n1<7*>b285f>h6j;0h76g:c;29 4d42?h0b<l=:e98m0d=83.:n>49b:l2f7<b32c>m7>5$0`0>3d<f8h96k54i4;94?"6j:0=n6`>b3824>=n=10;6)?m3;4a?k7e:3;:76g:7;29 4d42?h0b<l=:008?l31290/=o=56c9m5g4=9:10e8;50;&2f6<1j2d:n?4>4:9j11<72-;i?78m;o3a6?7232c<?7>5$0`0>3d<f8h96<84;h51>5<#9k91:o5a1c0952=<a>;1<7*>b285f>h6j;0:465f7183>!7e;3<i7c?m2;3:?>o1n3:1(<l<:7`8j4d528k07d8j:18'5g5=>k1e=o<51c98m3b=83.:n>49b:l2f7<6k21b:44?:%3a7?0e3g;i>7?k;:k6a?6=,8h86;l4n0`1>4c<3`?86=4+1c192g=i9k81=k54i8;94?"6j:0246`>b383?>o>?3:1(<l<:8:8j4d52810e4850;&2f6<>02d:n?4=;:k:1?6=,8h86464n0`1>6=<gmk1<7*>b28g=>h6j;0;76ak8;29 4d42m30b<l=:098ka0=83.:n>4k9:l2f7<532eo97>5$0`0>a?<f8h96>54oe694?"6j:0o56`>b387?>ic;3:1(<l<:e;8j4d52<10ci<50;&2f6<c12d:n?49;:mg5?6=,8h86i74n0`1>2=<gm:1<7*>b28g=>h6j;0376alf;29 4d42m30b<l=:898kfc=83.:n>4k9:l2f7<f32ehh7>5$0`0>a?<f8h96o54ob`94?"6j:0o56`>b38`?>idi3:1(<l<:e;8j4d52m10cn750;&2f6<c12d:n?4j;:m`<?6=,8h86i74n0`1>c=<gj=1<7*>b28g=>h6j;0:<65`c783>!7e;3n27c?m2;32?>id=3:1(<l<:e;8j4d528807bm;:18'5g5=l01e=o<51298kf5=83.:n>4k9:l2f7<6<21do?4?:%3a7?b>3g;i>7?:;:mf5?6=,8h86i74n0`1>40<3fo;6=4+1c19`<=i9k81=:54oed94?"6j:0o56`>b382<>=hll0;6)?m3;f:?k7e:3;276akd;29 4d42m30b<l=:0c8?jbd290/=o=5d89m5g4=9k10cil50;&2f6<c12d:n?4>c:9l`2<72-;i?7j6;o3a6?7c32eho7>5$0`0>a?<f8h96<k4;na2>5<#9k91h45a1c095c=<gli1<7*>b28ff>h6j;0;76aja;29 4d42lh0b<l=:098k`?=83.:n>4jb:l2f7<532en47>5$0`0>`d<f8h96>54idf94?=n9j:1<75f49`94?=n0m0;6)?m3;:`?k7e:3:07d6m:18'5g5=0j1e=o<51:9j<<<72-;i?76l;o3a6?4<3`236=4+1c19<f=i9k81?65f8683>!7e;32h7c?m2;68?l>1290/=o=58b9m5g4==21b484?:%3a7?>d3g;i>784;h:7>5<#9k914n5a1c093>=n0:0;6)?m3;:`?k7e:3207d6=:18'5g5=0j1e=o<59:9j<4<72-;i?76l;o3a6?g<3`2;6=4+1c19<f=i9k81n65f7d83>!7e;32h7c?m2;a8?l1c290/=o=58b9m5g4=l21b;n4?:%3a7?>d3g;i>7k4;h5a>5<#9k914n5a1c09b>=n?h0;6)?m3;:`?k7e:3;;76g89;29 4d421i0b<l=:038?l1?290/=o=58b9m5g4=9;10e:950;&2f6<?k2d:n?4>3:9j33<72-;i?76l;o3a6?7332c<97>5$0`0>=e<f8h96<;4;h;7>5<#9k914n5a1c0953=<a091<7*>b28;g>h6j;0:;65f9383>!7e;32h7c?m2;3;?>o>93:1(<l<:9a8j4d528307d7?:18'5g5=0j1e=o<51`98m=`=83.:n>47c:l2f7<6j21b4h4?:%3a7?>d3g;i>7?l;:k;e?6=,8h865m4n0`1>4b<3`=m6=4+1c19<f=i9k81=h54i6694?"6j:03o6`>b382b>=n1l0;6)?m3;;g?k7e:3:07d7l:18'5g5=1m1e=o<51:9j=g<72-;i?77k;o3a6?4<3fh36=4+1c19f2=i9k81<65`b783>!7e;3h<7c?m2;38?jd3290/=o=5b69m5g4=:21dn>4?:%3a7?d03g;i>7=4;n`1>5<#9k91n:5a1c090>=hj80;6)?m3;`4?k7e:3?07bl?:18'5g5=j>1e=o<56:9lec<72-;i?7l8;o3a6?1<3fkn6=4+1c19f2=i9k81465`ae83>!7e;3h<7c?m2;;8?jgd290/=o=5b69m5g4=i21dmo4?:%3a7?d03g;i>7l4;nc:>5<#9k91n:5a1c09g>=hi10;6)?m3;`4?k7e:3n07bo8:18'5g5=j>1e=o<5e:9le3<72-;i?7l8;o3a6?`<3fk>6=4+1c19f2=i9k81==54o`694?"6j:0i;6`>b3825>=hi:0;6)?m3;`4?k7e:3;976an2;29 4d42k=0b<l=:018?jg6290/=o=5b69m5g4=9=10cl>50;&2f6<e?2d:n?4>5:9lfc<72-;i?7l8;o3a6?7132eii7>5$0`0>g1<f8h96<94;n`g>5<#9k91n:5a1c095==<gki1<7*>b28a3>h6j;0:565`bc83>!7e;3h<7c?m2;3b?>iei3:1(<l<:c58j4d528h07bl6:18'5g5=j>1e=o<51b98kg3=83.:n>4m7:l2f7<6l21dml4?:%3a7?d03g;i>7?j;:m:b?6=,8h86o94n0`1>4`<3fo<6=4+1c19a3=i9k81<65`e483>!7e;3o=7c?m2;38?jc3290/=o=5e79m5g4=:21di>4?:%3a7?c13g;i>7=4;|q6=6<72?qU94=4=3c3>cb<5;3n6km4=3c7>c`<5;336kk4=3;4>ce<uz?2=7>57by]0d?<V<3h7S;6a:\7=d=Y=0<0R8:7;_7:f>X2<01U8l94^5c5?[2f=2T?m95Q4`18Z1g53W>j=6P;a19]0<`<V<k=7S;n5:\6e1=Y=h90R8o=;_7b5>X2i91U94h4^4;f?[3>82T>4k5Q59g8Z0>c3W?3o6P:8c9]1=g<V<227S;78:?7=6<ai27?;i4:0:?72c<2827?;l4:0:?73<<2827?;54:0:?732<2827?484:0:?7<1<28279i:4:2:?1a0<2:279i>4:2:?1a4<2:279hk4:2:?1`a<2:279ho4:2:?1`<<2:278=54>bc9>6f6==;16>oh5539>6gc==;16>oj5539>6ge==;16>lj5539>6de==;16>ll5539>6dg==;16>l75539>7d?==;16?l95539>7d3==;16?l=5539>7d7==;16?4h5539>7<b==;16?4l5539>706==;16?8?5539>704==;16?8=5539>702==;16?;=5539>732==;16?;;5539>730==;16?;95539>72?==;16?:o5539>72d==;16?:m5539>72b==;168;o59`9>03g=9j:0198n:5:a?821i32o70:9a;:a?821i32270:9a;:;?821i32<70:9a;:5?821i32>70:9a;:7?821i32870:9a;:1?821i32:70:9a;:3?821i3=n70:9a;5g?821i3=h70:9a;5a?821i3=j70:9a;5:?821i3=370:9a;54?821i3==70:9a;56?821i33?70:9a;;0?821i33970:9a;;2?821i33;70:9a;:e?821i32n70:9a;:b?821i3=m70:9a;57?821i33n70:9a;;`?821i33i7p}<8983>7}Y<0h01>67:0`6?xu21<0;6:uQ5878910b2=i0199l:0`7?820j3;i863;7e82f1=:<?l1=o:4=55b>4d33ty9m?4?:3y]16b<5;k96<l:;|q6e=<72:qU9l64=2;3>ce<5:3:6km4}r7;3?6=>>qU9594=3ag>10<5==:6984=553>10<5=<m6984=55:>10<5==36984=554>10<5;>=6984=366>10<5;>?6984=360>10<5;>96984=362>10<5;>;6984=31e>10<5;9n6984=3:f>10<5;2o6984=3:`>10<5;2i6984=3:b>10<5;226984=3:;>10<5;2<6984=3:5>10<5;=h6984=35a>10<5;=j6984=35:>10<5;=36984=354>10<5;==6984=356>10<5;=?6984=32;>10<5;:<6984=325>10<5;:>6984=327>10<5;:86984=321>10<5;::6984=323>10<5::?6984=220>10<5::96984=222>10<5::;6984=3de>10<5;lo6984=3d`>10<5;ln6984=235>10<5:;>6984=237>10<5:;86984=231>10<5:;:6984=233>10<5::m6984=22f>10<5;k:6984=3c1>10<5;3h6984=3;a>10<5=9m6984=51f>10<5=9o6984=51`>10<5=9i6984=51b>10<5=936984=514>10<5=9=6984=516>10<5=9?6984=510>10<5=996984=512>10<5=9;6984=50e>10<5=8o6984=50`>10<5=8i6984=50b>10<5=826984=50;>10<5=8<6984=505>10<5=8>6984=507>10<5=>>6984=567>10<5=>86984=561>10<5=>:6984=563>10<5=926984=50f>10<5=886984=501>10<5=<j69l4}r1;`?6=:rT>8>5239f95g3<uz?2h7>55dy]1<b<5=<n6984=55`>10<5==m6984=55a>10<5==o6984=55f>10<5==j6984=5:6>10<5=2?6984=0g7>10<58o86984=0g1>10<58o:6984=0g3>10<58nm6984=0ff>10<58no6984=0f`>10<5;8h6984=30a>10<5;8j6984=30:>10<5;836984=304>10<5;8=6984=306>10<5;8?6984=33b>10<5;;26984=33;>10<5;;<6984=335>10<5;;>6984=337>10<5;;86984=331>10<5;<j6984=34:>10<5;<36984=344>10<5;<=6984=346>10<5;<?6984=340>10<5;<96984=21f>10<5:9o6984=21`>10<5:9i6984=21b>10<5:926984=21;>10<5:>=6984=2f1>10<5:n;6984=2f2>10<5:n86984=2f7>10<5:n>6984=2f5>10<5:n<6984=2f;>10<5:o?6984=2g1>10<5:o86984=2g6>10<5:o=6984=2g4>10<5:o36984=2g:>10<5:oj6984=2a5>10<5:i>6984=2a0>10<5:i96984=2a7>10<5:i;6984=2`e>10<5:i:6984=2`f>10<5:lh6984=2da>10<5:lj6984=2d:>10<5:l36984=2d4>10<5:l=6984=2d6>10<5:l?6984=2:`>10<5:2o6984=2:;>10<5=<j69o4}r172?6=:rT>:55235495g3<uz>:m7>52z\655=:<:l1=o;4}r62=?6=:rT><k5242g95g3<uz>:47>52z\64`=:<:n1=o;4}r623?6=:rT><i5242a95g3<uz>::7>52z\64f=:<:h1=o;4}r621?6=:rT><o5242c95g3<uz>:?7>52z\64<=:<:21=o;4}r626?6=:rT><55242595g3<uz>:=7>52z\642=:<:<1=o;4}r624?6=:rT><;5242795g3<uz>;j7>52z\640=:<:>1=o;4}r63a?6=:rT><95242195g3<uz>;h7>52z\646=:<:81=o;4}r63g?6=:rT><?5242395g3<uz>;n7>52z\644=:<::1=o;4}r63e?6=:rT><=5243d95g3<uz>;47>52z\7b`=:<;n1=o;4}r633?6=:rT?ji5243a95g3<uz>;:7>52z\7bf=:<;h1=o;4}r631?6=:rT?jo5243c95g3<uz>;87>52z\7bd=:<;31=o;4}r637?6=:rT?j45243:95g3<uz>;>7>52z\7b==:<;=1=o;4}r635?6=:rT?j:5243495g3<uz>;<7>52z\7b3=:<;?1=o;4}r1eb?6=:rT?j85243695g3<uz>9=7>52z\653=:<=?1=o;4}r614?6=:rT>=85245695g3<uz>:j7>52z\651=:<=91=o;4}r62a?6=:rT>=>5245095g3<uz>:h7>52z\657=:<=;1=o;4}r62g?6=:rT>=<5245295g3<uz>:n7>52z\64d=:<:31=o;4}r620?6=:rT?jk5243g95g3<uz>;57>52z\7b1=:<;91=o;4}r1ea?6=:rT?j>5243095g3<uz>nn7>52z\7g2=:<?k1545rs5g:>5<5sW>h:63;6`85g>{t<l21<7<t^5a6?821i3<j7p};e683>7}Y<j>0198n:7:8yv2b>3:1>vP;c29>03g=>>1v9k::181[2d:27?:l496:p0`5=838pR9m?;<65e?023ty?i?4?:3y]0g`<5=<j6;:4}r6f5?6=:rT?nh5247c926=z{=o;6=4={_6a`>;3>h02;6s|4ed94?4|V=hh70:9a;41?xu3ll0;6?uQ4c`8910f2?;0q~:kd;296~X3jh168;o5619~w1bd2909wS:m9:?72d<2n2wx8il50;0xZ1d?34>=m7;k;|q7`d<72;qU8o94=54b>0e<uz>o47>52z\7f0=:<?k19o5rs5f4>5<5sW>i863;6`86e>{t<m<1<7<t^5`0?821i33=7p};d483>7}Y<k80198n:4;8yv2c<3:1>vP;b09>03g==11v9j<:181[2e827?:l4:7:p0a4=838pR9oi;<65e?313ty?h<4?:3y]0dc<5=<j68;4}r6g4?6=:rT?mi5247c911=z{=im6=4={_6bg>;3>h0<?6s|4g094?4|V=io70:9a;51?xu3n80;6?uQ4ba8910f20?0q~:i0;296~X3kk168;o5709~w1ca2909wS:la:?72d<082wx8hk50;0xZ1e>34>=m78i;|q7aa<72;qU8n64=54b>3c<uz>no7>52z\7g4=:<?k1:i5rs5g7>5<5sW>i:63;6`85=>{t<m31<7<t^5ca?821i3?n7p};cd83>7}Y<hk0198n:418yv72i3:1nv3;9582f5=::l3184522e;90<=:;82184522ca90<=::h3184523``90<=:;0h1845234290<=:;?91845236;90<=z{;in6=4={<0``?37348hj7?ne:p020=83;3w0<ld;3a0>;3?00:n85231690f=:;9918n5231090f=:;9;18n5231290f=::ol18n522gf90f=::oi1=o:4=3df>1e<5:;=69m4=236>1e<5:;?69m4=230>1e<5:;969m4=232>1e<5:;;69m4=22e>1e<5::n69m4=3c2>4d3348j>7?m4:?1=f<3k2795o4;c:p6fb=83>p1?mk:0`6?84>m3lm70:9c;d`?84>?3lo7p};7b83>7}:<?o19=5246a95g3<uz>=i7>55z?72`<6j<16?5l5fb9>7=`=nj16?5o5fb9>71g=nl1v99m:185820k3?;70:8b;3a1>;4<?0:n95239a95g2<5:2o6<l;;<1;<?7e<2wx8:>50;1x91162<:0199?:0`6?821n3><7p};7083>7}:<>;1=o;4=550>ce<uz><i7>53z?73c<2827?;i4;7:?73`<6j<1v99i:181820n3;i963;808eg>{t<>k1<7<t=55a>06<5==j6<l:;|q72c<72:q68:>5519>03`=9k?0199<:gf8yv20l3:1?v3;7e82f0=:<>o19=524939ba=z{==96=4;{<64=?7e<27?;54>b59>021=9k>0199<:0cf?xu3?<0;6?;t=55;>4d2348?:7:l;<071?2d348?87:l;<077?2d348?>7:l;<075?2d348?<7:l;<00b?2d3488i7:l;<0;a?2d3483h7:l;<0;g?2d3483n7:l;<0;e?2d348357:l;<0;<?2d3483;7:l;<0;2?2d348<o7:l;<04f?2d348<m7:l;<04=?2d348<47:l;<043?2d348<:7:l;<041?2d348<87:l;<03<?2d348;;7:l;<032?2d348;97:l;<030?2d348;?7:l;<036?2d348;=7:l;<034?2d3ty?;94?:35x911028h>70:<f;3a2>;3;l0:n;5242f95g0<5=9h6<l9;<60f?7e>27??l4>b79>06>=9k<019=8:0`5?824>3;i:63;3482f3=:<:>1=o84=510>4d134>8>7?m6:?774<6j?168>>51c48914a28h=70:=d;3a2>;3:j0:n;5243`95g0<5=8j6<l9;<61=?7e>27?>54>b79>071=9k<019<9:0`5?825=3;i:63;2582f3=:<=?1=o84=567>4d134>??7?m6:?707<6j?1689?51c48912728h=70:<9;3a2>;3:l0:n;5243195g0<5=896<l9;<65g?`c34>=m7?l1:p0=6=839p196::0`7?82?<3;i863;8082e`=z{=286=4=cz?7<0<6j<16?>k54b9>76b=<j16?>m54b9>76d=<j16?>o54b9>76?=<j16?>654b9>7a4=<j16?i>54b9>7a7=9k>01>j<:5a896b32=i01>j::5a896b12=i01>j8:5a896b?2=i01>k;:5a896c528h?70=j3;6`?85b=3>h70=j6;6`?85b?3>h70=j8;6`?85b13>h70=ja;6`?85d>3>h70=l5;6`?85d;3>h70=l2;6`?85d<3>h70=l0;6`?85en3;i863<c087g>;4jl0:n9523ga90f=:;oh18n523gc90f=:;o318n523g:90f=:;o=18n523g490f=:;o?18n523g690f=z{=296=4=5z?7<1<6j<16=h:54b9>5`5=<j16=h<54b9>5`7=<j16=h>54b9>5a`=<j16=ik54b9>5ab=<j16=im54b9>67e=<j16>?l54b9>67g=<j16>?754b9>67>=<j16>?954b9>670=<j16>?;54b9>672=<j16><o54b9>64?=<j16><654b9>641=<j16><854b9>643=<j16><:54b9>645=<j16><<54b9>63g=<j16>;754b9>63>=<j16>;954b9>630=<j16>;;54b9>632=<j16>;=54b9>634=<j1v?<<:18687b<3?;70<=c;3a1>;6m?0mh63>e98eg>;6mh0mj6s|1e`94?3|58o?6<l:;<1;3?`c349<=7hk;<16a?`c349957hk;|q2a0<72;q6=h=5519>5`0=9ho0q~?ka;291~;6m:0:n8523949bf=:;>:1jn5234f9bf=:;;21ji5rs0g4>5<5s4;n>7;?;<3f<?7fm2wx=i750;7x94c528h>70=76;df?85083ln70=:d;df?855?3lo7p}>e883>3}:9l;19=521dc95dc<58oh6kj4=0gf>ce<58l;6kk4=0d1>c`<uz;o47>55z?2a4<6j<16?5;5fb9>73`=nj16?8m5fb9>770=nm1v<km:18187b83?;70?jc;3ba>{t9m=1<7;t=0g3>4d2349397hj;<15b?`b349>o7hj;<111?`c3ty:ii4?:3y>5a`==916=hk51`g8yv7c>3:19v3>dg82f0=:;1>1jn5237g9bf=:;<h1jn523369ba=z{8om6=4={<3ga?3734;m<7?ne:p5a3=83?p1<jj:0`6?85?<3ln70=9e;df?852j3ln70==3;dg?xu6n80;6?u21ef915=:9o91=lk4}r3g0?6==r7:hi4>b49>7=5=nj16?;j5fb9>70g=nj16??<5fe9~w4`22909w0?kc;73?87a>3;ji6s|1e194?3|58nh6<l:;<1;7?`b349=h7hj;<16e?`b3499=7hk;|q1<0<72<q6>985519>6=c=9k?01?:7:gf8972f2oi01?:l:gd8yv44l3:1?v3=4782f0=::jk1jn522c59bf=z{;><6=4={<071?37348?47?ne:p66e=839p1?:::0`6?84d13lo70<m6;dg?xu5<00;6?u2256915=::=k1=lk4}r00f?6=;r79894>b49>6f?=no16>o85fg9~w72e290=w0<;3;73?843k3;ji63=4d8e`>;5=90mo63=538ea>;5==0mj6s|22c94?5|5;>86<l:;<0`<?`c348i97hk;|q10a<72;q6>9<5519>61c=9ho0q~<<9;297~;5<;0:n8522b:9bc=::k?1jk5rs36e>5<5s48?=7;?;<064?7fm2wx>>650;1x972628h>70<l7;dg?84e<3lo7p}=5083>7}::=:19=5224095dc<uz88;7>53z?105<6j<16>n95fg9>6g2=no1v?;<:181844n3?;70<:5;3ba>{t::<1<7=t=31e>4d2348h:7hk;<0a7?`c3ty99:4?:3y>66c==916>8651`g8yv44=3:1?v3=3d82f0=::j<1jk522c19bc=z{;=86=4={<0;a?37348<o7?m5:p624=838p1?6k:428971e28h>7p}=8583>1}::1n1=o;4=36;>ce<5;>j6kj4=36`>cc<uz8<=7>52z?1<f<28279;l4>b49~w7>42908w0<7c;3a1>;5<h0mi63=4b8e`>{t:>:1<7<t=3:a>06<5;=26<l:;|q1<7<72;q6>5l51c78972d2oi0q~<9f;296~;50h0><63=7982f0=z{;2:6=4:{<0;e?7e=2798h4ic:?115<al2799?4if:?111<am2wx>;k50;0x97>>2<:01?98:0`6?xu5090;69u229;95g3<5;?;6kk4=371>ce<5;??6kj4}r05`?6=:r79454:0:?133<6j<1v?9i:18084?03;i963=538e`>;5==0mo6s|27a94?4|5;2<68>4=356>4d23ty9;h4?:2y>6=1=9k?01?;::ga8973?2on0q~<9b;296~;50?0><63=7582f0=z{;=o6=4={<0;2?7e=279954ic:p647=838p1?<l:428977f28h>7p}=1183>7}::;h19=5220;95g3<uz89>7>54z?16g<6j<16=h85fb9>5`>=nm16=ho5fd9~w76a2909w0<=a;73?84603;i96s|23394?5|5;8j6<l:;<3f<?`b34;nm7hk;|q14`<72;q6>?75519>641=9k?0q~<=0;296~;5:00:n8521dc9bf=z{;:o6=4={<01<?37348::7?m5:p64`=83?p1?<7:0`6?87bk3lh70?je;dg?87a83lm70?i2;df?xu58j0;6?u2235915=::8?1=o;4}r02a?6=<r79>:4>b49>5`c=nl16=k>5fb9>5c4=nm1v?>m:181845>3?;70<>4;3a1>{t:8n1<7=t=305>4d234;m<7hk;<3e6?`d3ty9<l4?:3y>673==916><=51c78yv46k3:1?v3=2482f0=:9o91jn521g49ba=z{;:26=4={<010?37348:>7?m5:p64d=838p1?<;:0`6?87a>3lh7p}=6083>7}::>i19=5227c95g3<uz8=<7>52z?13g<28279:44>b49~w73a2909w0<8a;73?84103;i96s|24g94?4|5;=268>4=344>4d23ty99i4?:3y>62>==916>;851c78yv42k3:1>v3=76864>;5><0:n85rs37a>5<5s48<:7;?;<050?7e=2wx>8o50;0x97122<:01?8<:0`6?xu5=00;6?u2266915=::?81=o;4}r3eb?6=:r79=l4:0:?14=<6j<1v<hj:18184613?;70<?7;3a1>{t9on1<7<t=33;>06<5;:=6<l:;|q2bf<72;q6><95519>653=9k?0q~?ib;296~;59?0><63=0582f0=z{8lj6=4={<021?37348;?7?m5:p5c?=838p1??;:428976528h>7p}>f983>7}::8919=5221395g3<uz;m;7>52z?157<28279<=4>b49~w66c290>w0<?8;73?856>3;i963>c28eg>;5j>0mh63;6`874>{t:;n1<7<t=324>06<58i86<oj;|q16`<72;q6>=85519>5f2=9ho0q~<=f;296~;58<0><63>c482e`=z{;9;6=4={<030?3734;h:7?ne:p667=838p1?><:42894e028kn7p}=3383>7}::9819=521b:95dc<uz88?7>52z?144<2827:o44>ad9~w7532909w0<?0;73?87di3;ji6s|3g194?2|5;<j68>4=2d`>4d234;hn7hl;<65e?513ty94k4?:3y>63?==916=nl51`g8yv4>83:1>v3=69864>;6kj0:mh5rs3;2>5<5s48=;7;?;<3``?7fm2wx>4<50;0x97012<:01<mj:0cf?xu51:0;6?u2277915=:9jl1=lk4}r0:0?6=:r79:94:0:?2`5<6il1v?7::181841;3?;70?k1;3ba>{t:0<1<7<t=341>06<58n96<oj;|q052<728?p1>>;:55896642==01>>=:55896662==01>>?:55897`a2==01?hk:55897`d2==01?hj:55896712==01>?::55896732==01>?<:55896752==01>?>:55896772==01>>i:558966b2==0198l:gg897?028kn70<lf;dg?xu5l10;6?u2316915=::o;1=lk4}r0ef?6=<r78<94>b49>740==916>no5fe9>6c4=nj1v?j8:181857;3?;70<i0;3ba>{t:ok1<7:t=220>4d2349:97;?;<0`=?`d348n47hl;|q1`3<72;q6?=<5519>6``=9ho0q~<i9;290~;48;0:n852306915=::j31jh522d49bf=z{;n>6=4={<135?37348ni7?ne:p6c>=83>p1>>>:0`6?856;3?;70<l8;d`?84b<3lh7p}=d583>7}:;9:19=522df95dc<uz8m;7>54z?045<6j<16?<<5519>6f>=nl16>h<5fb9~w7b42909w0<if;73?84bk3;ji6s|2g494?2|5;lm6<l:;<125?37348h;7hl;<0f4?`d3ty9h<4?:3y>6cb==916>ho51`g8yv4a<3:18v3=fe82f0=:;9l19=522b49bf=::mi1jn5rs3f3>5<5s48mo7;?;<0f=?7fm2wx>k=50;6x97`d28h>70=?e;73?84d>3ln70<ka;d`?xu5l;0;6?u22gg915=::lh1=lk4}r0e1?6=<r79jh4>b49>746==916>n95fd9>6ac=nj1v?k8:18184a93>270<j7;3ba>{t:o81<7<t=3d2>42e348m>7?ne:p6`3=839p1?h?:5;897c02=301?k::0cf?xu5m10;6>u22g2951d<5;o<6<lm;<0f<?7fm2wx>h=50;1x97ca2=301?k::5;897c428kn7p}=e783>6}::ll1=9l4=3g6>4de348n:7?ne:p6`7=839p1?kj:5;897c42=301?k>:0cf?xu5m=0;6>u22dg951d<5;o86<lm;<0f0?7fm2wx>ih50;1x97cc2=301?k>:5;897ba28kn7p}=e383>6}::ln1=9l4=3g2>4de348n>7?ne:p6ab=839p1?kl:5;897ba2=301?jk:0cf?xu5m90;6>u22da951d<5;nm6<lm;<0f4?7fm2wx>il50;1x97ce2=301?jk:5;897be28kn7p}=dd83>6}::lh1=9l4=3fg>4de348oi7?ne:p6a?=839p1?kn:5;897be2=301?j6:0cf?xu5lj0;6>u22dc951d<5;ni6<lm;<0gg?7fm2wx>io50;1x97c>28>i70<k9;3af>;5lh0:mh5rs22`>5<2s49:97?m5:?2g1<ak27:o>4id:?1f3<ak27?:l4<e:p75d=83?p1>?;:0`6?87d=3lh70?l4;dg?84e>3ln70:9a;1g?xu48h0;68u230195g3<58i=6km4=0a6>cb<5;h>6km4=54b>6e<uz9;57>55z?057<6j<16=n95fb9>5f0=nm16>o;5fd9>03g=;k1v>>7:18685693;i963>c98eg>;6k>0mh63=b58eg>;3>h08m6s|31594?3|5:;;6<l:;<3`=?`d34;h47hk;<0a0?`b34>=m7=6;|q043<72<q6?=h51c7894ef2oi01<m6:gf897d42oi0198n:2:8yv57=3:18v3<0d82f0=:9jk1ji522c19b`=:<?k1?:5rs3c0>5<4s48j=7;?;<0b6?37348j87?ne:p6d7=838p1?o>:0`6?84f<3lh7p}=9e83>7}::0i19=5228g95dc<uz82m7>56z?1=f<6j<16>l>5fd9>6<c=nl16>l:5fd9>6<>=nj16>495fg9~w7?a2909w0<6b;73?84f83;ji6s|28;94?0|5;3i6<l:;<0b4?`d3482i7hk;<0b0?`c348247hk;<0:3?`b3ty8>=4?:3y>766=<016??>51`g8yv5513:1>v3<31820g=:;;31=lk4}r171?6=:r78?=4>ad9>76c==91v>?i:180855n3>270==0;6:?856n3;ji6s|33:94?5|5:8m6<:m;<114?7ej278>54>ad9~w6232909w0==f;3ba>;4;m0><6s|3d294?3|5:8;68<4=2g:>4d2349mn7;?;<16`?`c349947hl;|q05`<72:q6??k5489>74`=<016?<k51`g8yv55?3:1?v3<2d820g=:;8l1=ol4=204>4gb3ty88>4?:3y>77c=9ho01>=l:428yv5cn3:19v3<1g866>;4m10:n8523gc915=:;<n1jk523359bf=z{:;o6=4<{<11`?2>349:i7:6;<12`?7fm2wx??850;1x964c28>i70=>e;3af>;4:?0:mh5rs261>5<5s499h7?ne:?07g<282wx?ik50;7x967b2<801>k8:0`6?85a13?;70=:c;dg?855>3lh7p}<1b83>6}:;;i1845230f90<=:;8i1=lk4}r111?6=;r78>n4>4c9>74b=9kh01><::0cf?xu4<80;6?u233a95dc<5:9j68>4}r1g`?6==r78=i4:2:?0a3<6j<16?k65519>70e=no16??;5fb9~w67e2908w0==b;6:?856k3>270=>b;3ba>{t;;>1<7=t=20a>42e349:o7?mb:?061<6il1v>:?:181855j3;ji63<38864>{t;mi1<7;t=23`>04<5:o>6<l:;<1e3?37349>n7hk;<110?`d3ty8=l4?:2y>77g=<016?<l5489>74g=9ho0q~==3;297~;4:h0:8o5230`95gd<5:886<oj;|q07c<72;q6??o51`g8965?2<:0q~=kb;291~;49k0>>63<e582f0=:;o<19=5234`9bc=:;;91jn5rs23:>5<5s49:m7:6;<12=?7fm2wx?io50;7x967f2<801>k<:0`6?85a=3?;70=:a;dg?855:3lh7p}<2383>7}:;8k1=ol4=201>4gb3ty8=54?:3y>74?=<016?<651`g8yv5c13:19v3<18866>;4m;0:n8523g6915=:;<k1jk523339bf=z{:8:6=4={<12=?7ej278><4>ad9~w6?72909:v3<19866>;4l;0?;63<d1873>;4l80?;63<d2873>;4l=0?;63<d4873>;4l?0?;63<d6873>;4l10?;63<e5873>;4m;0?;63<e2873>;4m<0?;63<e7873>;4m>0?;63<e9873>;4m00?;63<e`873>;4k?0?;63<c4873>;4k:0?;63<c3873>;4k=0?;63<c1873>;4jo0?;63<c0873>;4jl0?;63<fb873>;4nk0?;63<f`873>;4n00?;63<f9873>;4n>0?;63<f7873>;4n<0?;63<f5873>;4180:mh5rs214>5<5s498i7?m5:?00d<al2wx?>850;0x965c28h>70=;8;de?xu4;<0;6?u232a95g3<5:>36kk4}r100?6=:r78?o4>b49>71>=nj1v>=<:181854i3;i963<498e`>{t;:81<7<t=21:>4d2349?m7hl;|q074<72;q6?>651c78962f2ol0q~=;b;297~;4<?0?;63<89873>;40k0:mh5rs264>5<5s49?:7;?;<17=?7fm2wx>ol50;0x97e72=301?li:0cf?xu5k<0;6?u22b295gd<5;ij6<oj;|q1gf<72;q6>n>51`g897ea2oi0q~<ma;296~;5jo0?563=bd82e`=z{;i?6=4={<0ab?7ej279o44>ad9~w7d>2909w0<me;6:?84el3;ji6s|2b194?4|5;hn6<lm;<0`<?7fm2wx>o650;0x97dc2=301?ll:0cf?xu5k;0;6?u22cf95gd<5;i<6<oj;|q1g4<72;q6>om51c`897e128kn7p}=a983>7}::hn184522`a95dc<uz8i>7>52z?1ea<6jk16>o951`g8yv4dj3:1>v3=ae82e`=::jl1jh5rs3c4>5<5s48jo7:6;<0bf?7fm2wx>o?50;0x97gd28hi70<m6;3ba>{t:h<1<7<t=3ca>1?<5;kj6<oj;|q1f5<72;q6>ll51c`897d228kn7p}=a483>7}::hk184522`;95dc<uz8jj7>52z?1ed<6jk16>o:51`g8yv4fm3:1>v3=a882fg=::k91=lk4}r1a3?6=<r78h?4:0:?0g5<6j<16?5:5fg9>7d6=nj1v>m6:18085c:3;i963<e5864>;4>l0mj6s|3c794?2|5:n;68>4=2`f>4d23493?7hi;<1:g?`d3ty8o:4?:2y>7a6=9k?01>k=:428960c2ol0q~=m6;290~;4l80><63<bg82f0=:;191ji5238g9bf=z{:i36=4<{<1g5?7e=278i>4:0:?02a<al2wx?o650;6x96b42<:01>m>:0`6?85?<3lo70=n2;d`?xu4kh0;6>u23e195g3<5:o>68>4=24f>cb<uz9i57>54z?0`1<28278o?4>b49>7=3=no16?l:5fb9~w6ee2908w0=k4;3a1>;4m?0><63<6g8eb>{t;kk1<7:t=2f6>06<5:i86<l:;<1;1?`c349j:7hl;|q0gf<72:q6?i;51c7896c02<:01>8i:gf8yv5ej3:18v3<d7864>;4k=0:n8523949bc=:;h21jn5rs2ag>5<4s49o:7?m5:?0a=<28278;=4if:p7ge=83>p1>j8:42896e228h>70=76;dg?85fi3lh7p}<cd83>6}:;m=1=o;4=2g:>06<5:=;6kj4}r1a`?6=<r78h54:0:?0g3<6j<16?595fb9>7g2=nj1v>mi:18085c03;i963<e`864>;4?80mo6s|3d394?2|5:oj6<l:;<1eg?37349>i7hl;<11=?`d3ty85l4?:3y>7f0==916?o=51`g8yv5>13:1>v3<c4864>;4j;0:mh5rs2;4>5<5s49h?7;?;<1a4?7fm2wx?4850;0x96e52<:01>oi:0cf?xu4110;6?u23b6915=:;k;1=lk4}r1:0?6=:r78o=4:0:?0ea<6il1v>7<:18185en3?;70=nc;3ba>{t;0?1<7<t=2a2>06<5:kn6<oj;|q0=7<72;q6?ok5519>7dd=9ho0q~=n9;296~;4j:0?563<a882e`=z{:h?6=4={<1a7?73j278n94>ad9~w6g02908w0=m2;6:?85f13>270=n7;3ba>{t;hk1<7=t=2`1>42e349j57?mb:?0ed<6il1v>o::18085e93>270=n7;6:?85f=3;ji6s|3`:94?5|5:h:6<:m;<1b3?7ej278m54>ad9~w6g42908w0=m0;6:?85f=3>270=n3;3ba>{t;h<1<7=t=2`3>42e349j97?mb:?0e3<6il1v>o>:18085fn3>270=n3;6:?85f93;ji6s|3`694?5|5:km6<:m;<1b7?7ej278m94>ad9~w6?a2908w0=ne;6:?85f93>270=6f;3ba>{t;h81<7=t=2cf>42e349j=7?mb:?0e7<6il1v>7k:18085fl3>270=6f;6:?85>l3;ji6s|3`294?5|5:ko6<:m;<1:b?7ej278m=4>ad9~w6?e2908w0=nc;6:?85>l3>270=6b;3ba>{t;0o1<7=t=2c`>42e3492h7?mb:?0=`<6il1v>7l:18085fj3;?n63<9c82fg=:;0i1=lk4}r1e6?6=<r78jo4>b49>5fe=nj16=nl5fe9>03g=;=1v>h>:18785ai3;i963>ce8eg>;6kj0mh63;6`807>{t;o:1<7:t=2d:>4d234;hi7hl;<3``?`c34>=m7==;|q0ac<72=q6?k651c7894ea2oi01<mj:gf8910f2:;0q~=je;290~;4n>0:n8521e29bf=:9jl1ji5247c975=z{:oo6=4;{<1e2?7e=27:h<4ic:?2`5<al27?:l4=f:p7`e=83>p1>h::0`6?87c:3lh70?k1;dg?821i38n7p}<ec83>6}:;o>1=o;4=0f1>cb<5=<j6?j4}r161?6=:r789=4>bc9>70g=9ho0q~=;c;296~;4=90:mh5234390<=z{:?=6=4={<165?7ej2789o4>ad9~w62c2909w0=:1;3ba>;4=;0?56s|34594?4|5:?96<lm;<16g?7fm2wx?9k50;0x963528kn70=:3;6:?xu4=10;6?u234195gd<5:?o6<oj;|q00c<72;q6?8=51`g896332=30q~=:9;296~;4==0:no5234g95dc<uz9<>7>52z?011<6il16?5h5fg9~w60?2909w0=93;3af>;4>m0:mh5rs27e>5<5s49=?7?ne:?021<312wx?;750;0x960328hi70=9e;3ba>{t;?:1<7<t=247>4gb349=97:6;|q02d<72;q6?;;51c`8960a28kn7p}<6083>7}:;??1=lk4=245>1?<uz9=n7>52z?023<6jk16?:>51`g8yv51:3:1>v3<6782e`=:;?=1845rs24`>5<5s49=;7?mb:?034<6il1v>9<:180851?3;ji63<8g8e`>;40h0mj6s|36g94?4|5:=26<lm;<1;7?7fm2wx?:;50;0x961>28kn70=8a;6:?xu4?o0;6?u236c95gd<5:2?6<oj;|q033<72;q6?:o51`g8961e2=30q~=70;296~;4?k0:no5239795dc<uz9<;7>52z?03g<6il16?:m5489~w6>62909w0=8c;3af>;40?0:mh5rs25;>5<5s49<o7?ne:?03a<312wx?5<50;0x961c28hi70=77;3ba>{t;>>1<7<t=25g>4gb3493m7hk;|q0<`<72:q6?5m5519>7=b==916?5h51`g8yv5?k3:18v3<8b82f0=:;1h1ji523829ba=:;0;1ji5rs2::>5<5s49347;?;<1;e?7fm2wx8;j50;06824n3><70:<e;64?824l3><70:<c;64?824j3><70:<a;64?82403><70:<7;64?824>3><70:<5;64?824<3><70:<3;64?824:3><70:<1;64?82483><70:=f;64?825l3><70:=c;64?825j3><70:=a;64?82513><70:=8;64?825?3><70:=6;64?825=3><70:=4;64?823=3><70:;4;64?823;3><70:;2;64?82393><70:;0;64?82413><70:=e;64?825;3><70:=2;64?84>03;ji6s|47094?4|5=9m68>4=54b>`e<uz>==7>52z?77`<2827?:l4ka:p036=838p19=k:428910f2m20q~::f;296~;3;j0><63;6`8g2>{t<<o1<7<t=51a>06<5=<j6i;4}r66`?6=:r7??l4:0:?72d<c<2wx88l50;0x915?2<:0198n:e18yv22i3:1>v3;36864>;3>h0o>6s|44;94?4|5=9=68>4=54b>a7<uz>>47>52z?770<2827?:l4ja:p001=838p19=;:428910f2m:0q~::6;296~;3;:0><63;6`8`b>{t<<?1<7<t=511>06<5=<j6nk4}r660?6=:r7??<4:0:?72d<dl2wx88=50;0x91572<:0198n:b`8yv22:3:1>v3;2g864>;3>h0hm6s|44294?4|5=8o68>4=54b>f?<uz>?j7>52z?76f<2827?:l4l8:p01c=838p19<m:428910f2l30q~:;d;296~;3:h0><63;6`8`3>{t<=i1<7<t=50:>06<5=<j6n84}r67f?6=:r7?>54:0:?72d<d=2wx89o50;0x91402<:0198n:b68yv2313:1>v3;27864>;3>h0h?6s|45:94?4|5=8>68>4=54b>f4<uz>?;7>52z?761<2827?:l4j1:p03?=838p19:::428910f2l:0q~:98;296~;3<=0><63;6`8f<>{t<?=1<7<t=560>06<5=<j6ih4}r652?6=:r7?8?4:0:?72d<cm2wx8;;50;0x91262<:0198n:ef8yv21<3:1>v3;41864>;3>h0oo6s|47194?4|5=9268>4=54b>ad<uz>>o7>52z?76`<2827?:l4k7:p007=838p19<<:428910f2ji0q~:;6;296~;3:;0><63;6`8`5>{t;=21<7<t=26;>4gb349?57hk;|q00d<72;q6?975fb9>71g=9=i0q~:9b;296~;3>j0:mh5247c9a`=z{:lo6=4:{<1;b?`b3493m7hj;<1:4?7fm27?:l4;8`9>03g=mm1v<;6:181842=3lo70<:4;37g>{t9<l1<7<t=0d0>cb<58l96<:l;|q113<72;q6>865fd9>602=9ho0q~?i4;296~;6n?0mi63>f382e`=zuz?297>52z\6=0=:<=0>585+49495d?<uz?2h7>52z\6=a=:<=0>5i5+49495dg<uz?3;7>52z\6<2=:<=0>4:5+49495dd<uz>nn7>52z\7g2=:<=0?o:5+494950`<uz>n57>52z\7g3=:<=0?o;5+494953g<uz>n47>52z\7g0=:<=0?o85+4949523<uz>n;7>52z\7g1=:<=0?o95+49495=6<uz>n:7>52z\7g6=:<=0?o>5+49495=0<uz>n97>52z\7g7=:<=0?o?5+49495=1<uz>n?7>52z\7g5=:<=0?o=5+49495=><uz>n>7>52z\7fc=:<=0?nk5+49495=g<uz>n=7>52z\7f`=:<=0?nh5+49495=d<uz>n<7>52z\7fa=:<=0?ni5+49495=e<uz>oj7>52z\7ff=:<=0?nn5+49495=b<uz>oi7>52z\7fg=:<=0?no5+49495=c<uz>oh7>52z\7fd=:<=0?nl5+49495=`<uz>oo7>52z\7f<=:<=0?n45+49495<6<uz>on7>52z\7f==:<=0?n55+49495<7<uz>om7>52z\7f2=:<=0?n:5+49495<4<uz>o47>52z\7f0=:<=0?n85+49495<5<uz>o;7>52z\7f1=:<=0?n95+49495<2<uz>o:7>52z\7f6=:<=0?n>5+49495<3<uz>o97>52z\7f7=:<=0?n?5+49495<0<uz>o87>52z\7f4=:<=0?n<5+49495<1<uz>o?7>52z\7f5=:<=0?n=5+49495<><uz>o>7>52z\7ec=:<=0?mk5+49495<?<uz>o=7>52z\7e`=:<=0?mh5+49495<g<uz>o<7>52z\7ea=:<=0?mi5+49495<d<uz>hj7>52z\7ef=:<=0?mn5+49495<e<uz>m>7>52z\7ga=:<=0?oi5+49495<b<uz>m=7>52z\7gf=:<=0?on5+49495<c<uz>m<7>52z\7gg=:<=0?oo5+49495<`<uz>nj7>52z\7gd=:<=0?ol5+49495d6<uz>ni7>52z\7g<=:<=0?o45+49495d7<uz>nh7>52z\7g==:<=0?o55+49495d4<uz>no7>52z\7g4=:<=0?o<5+49495d5<uz>n87>52z\7f3=:<=0?n;5+49495d2<uz>o57>52z\7eg=:<=0?mo5+49495d3<uz>hi7>52z\7ed=:<=0?ml5+49495d0<uz?j47>52z\6e==:<=0>m55+49495d1<uz?2?7>52z\6=6=:<=0>5>5+49495d><uz?887>52z\655=:<=0>==5+494950d<uz?8>7>52z\64c=:<=0><k5+494950e<uz?8=7>52z\64`=:<=0><h5+494950b<uz?8<7>52z\64a=:<=0><i5+494950c<uz?9j7>52z\64f=:<=0><n5+4949536<uz?9i7>52z\64g=:<=0><o5+4949537<uz?9o7>52z\64<=:<=0><45+4949534<uz?9n7>52z\64==:<=0><55+4949535<uz?9m7>52z\642=:<=0><:5+4949532<uz?957>52z\643=:<=0><;5+4949533<uz?947>52z\640=:<=0><85+4949530<uz?9;7>52z\641=:<=0><95+4949531<uz?9:7>52z\646=:<=0><>5+494953><uz?997>52z\647=:<=0><?5+494953?<uz?987>52z\644=:<=0><<5+494953d<uz?9?7>52z\645=:<=0><=5+494953e<uz?9=7>52z\7b`=:<=0?jh5+494953b<uz?9<7>52z\7ba=:<=0?ji5+494953c<uz?:j7>52z\7bf=:<=0?jn5+494953`<uz?:i7>52z\7bg=:<=0?jo5+4949526<uz?:h7>52z\7bd=:<=0?jl5+4949527<uz?:o7>52z\7b<=:<=0?j45+4949524<uz?:n7>52z\7b==:<=0?j55+4949525<uz?:m7>52z\7b2=:<=0?j:5+4949522<uz?:57>52z\7b3=:<=0?j;5+4949520<uz?:47>52z\7b0=:<=0?j85+4949521<uz?8n7>52z\653=:<=0>=;5+494952><uz?8m7>52z\650=:<=0>=85+494952?<uz?857>52z\651=:<=0>=95+494952g<uz?847>52z\656=:<=0>=>5+494952d<uz?8;7>52z\657=:<=0>=?5+494952e<uz?8:7>52z\654=:<=0>=<5+494952b<uz?897>52z\64d=:<=0><l5+494952c<uz?9h7>52z\7bc=:<=0?jk5+494952`<uz?9>7>52z\7b1=:<=0?j95+49495=7<uz?:;7>52z\7b6=:<=0?j>5+49495=4<uz???7>52z\606=:<=0>8>5+49495=5<uz>2n7>52z\7=g=:<=0?5o5+49495=2<uz?8h7>52z\67a=:<=0>?i5+49495=3<uz?=47>52z\62==:<=0>:55+49495=?<utdjhn4?:3yK0=1<ugkoh7>52zJ7<2=zfhnn6=4={I6;3>{iiml1<7<tH5:4?xhfm90;6?uG4958ykgb93:1>vF;869~jdc52909wE:77:me`5=838pD968;|lba1<72;qC8594}ocf1?6=:rB?4:5rn`g5>5<5sA>3;6saad594?4|@=2<7p`ne983>7}O<1=0qcoj9;296~N30>1vblkn:181M2??2wemhl50;0xL1>03tdjin4?:3yK0=1<ugknh7>52zJ7<2=zfhon6=4={I6;3>{iill1<7<tH5:4?xhfn90;6?uG4958ykga93:1>vF;869~jd`52909wE:77:mec5=838pD968;|lbb1<72;qC8594}oce1?6=:rB?4:5rn`d5>5<5sA>3;6saag594?4|@=2<7p`nf983>7}O<1=0qcoi9;296~N30>1vblhn:181M2??2wemkl50;0xL1>03tdjjn4?:3yK0=1<ugkmh7>52zJ7<2=zfhln6=4={I6;3>{iiol1<7<tH5:4?xhe890;6?uG4958ykd793:1>vF;869~jg652909wE:77:mf55=838pD968;|la41<72;qC8594}o`31?6=:rB?4:5rnc25>5<5sA>3;6sab1594?4|@=2<7p`m0983>7}O<1=0qcl?9;296~N30>1vbo>n:181M2??2wen=l50;0xL1>03tdi<n4?:3yK0=1<ugh;h7>52zJ7<2=zfk:n6=4={I6;3>{ij9l1<7<tH5:4?xhe990;6?uG4958ykd693:1>vF;869~jg752909wE:77:mf45=838pD968;|la51<72;qC8594}o`21?6=:rB?4:5rnc35>5<5sA>3;6sab0594?4|@=2<7p`m1983>7}O<1=0qcl>9;296~N30>1vbo?n:181M2??2wen<l50;0xL1>03tdi=n4?:3yK0=1<ugh:h7>52zJ7<2=zfk;n6=4={I6;3>{ij8l1<7<tH5:4?xhe:90;6?uG4958ykd593:1>vF;869~jg452909wE:77:mf75=838pD968;|la61<72;qC8594}o`11?6=:rB?4:5rnc05>5<5sA>3;6sab3594?4|@=2<7p`m2983>7}O<1=0qcl=9;296~N30>1vbo<n:181M2??2wen?l50;0xL1>03tdi>n4?:3yK0=1<ug3:57>51zJ7<2=zf0<j6=4>{I6;3>{i1?h1<7?tH5:4?xh>>j0;6<uG4958yk?1l3:1=vF;869~j<0b290:wE:77:m=3`=83;pD968;|l:35<728qC8594}o;45?6=9rB?4:5rn851>5<6sA>3;6sa96194?7|@=2<7p`67583>4}O<1=0qc785;295~N30>1vb499:182M2??2we5:950;3xL1>03td2;54?:0yK0=1<ug3<57>51zJ7<2=zf0=j6=4>{I6;3>{i1>h1<7?tH5:4?xh>?j0;6<uG4958yk?0l3:1=vF;869~j<1b290:wE:77:m=2`=83;pD968;|l:<5<728qC8594}o;;5?6=9rB?4:5rn8:1>5<6sA>3;6sa99194?7|@=2<7p`68583>4}O<1=0qc775;295~N30>1vb469:182M2??2we55950;3xL1>03td2454?:0yK0=1<ug3357>51zJ7<2=zf02j6=4>{I6;3>{i11h1<7?tH5:4?xh>0j0;6<uG4958yk??l3:1=vF;869~j<>b290:wE:77:m==`=83;pD968;|l:=5<728qC8594}o;:5?6=9rB?4:5rn8;1>5<6sA>3;6sa98194?7|@=2<7p`69583>4}O<1=0qc765;295~N30>1vb479:182M2??2we54950;3xL1>03td2554?:0yK0=1<ug3257>51zJ7<2=zf03j6=4>{I6;3>{i10h1<7?tH5:4?xh>1j0;6<uG4958yk?>l3:1=vF;869~j<?b290:wE:77:m=<`=83;pD968;|l:e5<728qC8594}o;b5?6=9rB?4:5rn8c1>5<6sA>3;6sa9`194?7|@=2<7p`6a583>4}O<1=0qc7n5;295~N30>1vb4o9:182M2??2we5l950;3xL1>03td2m54?:0yK0=1<ug3j57>51zJ7<2=zf0kj6=4>{I6;3>{i1hh1<7?tH5:4?xh>ij0;6<uG4958yk?fl3:1=vF;869~j<gb290:wE:77:m=d`=83;pD968;|l:f5<728qC8594}o;a5?6=9rB?4:5rn8`1>5<6sA>3;6sa9c194?7|@=2<7p`6b583>4}O<1=0qc7m5;295~N30>1vb4l9:182M2??2we5o950;3xL1>03td2n54?:0yK0=1<ug3i57>51zJ7<2=zf0hj6=4>{I6;3>{i1kh1<7?tH5:4?xh>jj0;6<uG4958yk?el3:1=vF;869~j<db290:wE:77:m=g`=83;pD968;|l:g5<728qC8594}o;`5?6=9rB?4:5rn8a1>5<6sA>3;6sa9b194?7|@=2<7p`6c583>4}O<1=0qc7l5;295~N30>1vb4m9:182M2??2we5n950;3xL1>03td2o54?:0yK0=1<ug3h57>51zJ7<2=zf0ij6=4>{I6;3>{i1jh1<7?tH5:4?xh>kj0;6<uG4958yk?dl3:1=vF;869~j<eb290:wE:77:m=f`=83;pD968;|l:`5<728qC8594}o;g5?6=9rB?4:5rn8f1>5<6sA>3;6sa9e194?7|@=2<7p`6d583>4}O<1=0qc7k5;295~N30>1vb4j9:182M2??2we5i950;3xL1>03td2h54?:0yK0=1<ug3o57>51zJ7<2=zf0nj6=4>{I6;3>{i1mh1<7?tH5:4?xh>lj0;6<uG4958yk?cl3:1=vF;869~j<bb290:wE:77:m=a`=83;pD968;|l:a5<728qC8594}o;f5?6=9rB?4:5rn8g1>5<6sA>3;6sa9d194?7|@=2<7p`6e583>4}O<1=0qc7j5;295~N30>1vb4k9:182M2??2we5h950;3xL1>03td2i54?:0yK0=1<ug3n57>51zJ7<2=zf0oj6=4>{I6;3>{i1lh1<7?tH5:4?xh>mj0;6<uG4958yk?bl3:1=vF;869~j<cb290:wE:77:m=``=83;pD968;|l:b5<728qC8594}o;e5?6=9rB?4:5rn8d1>5<6sA>3;6sa9g194?7|@=2<7p`6f583>4}O<1=0qc7i5;295~N30>1vb4h9:182M2??2we5k950;3xL1>03td2j54?:0yK0=1<ug3m57>51zJ7<2=zf0lj6=4>{I6;3>{i1oh1<7?tH5:4?xh>nj0;6<uG4958yk?al3:1=vF;869~j<`b290:wE:77:m=c`=83;pD968;|lb45<728qC8594}oc35?6=9rB?4:5rn`21>5<6sA>3;6saa1194?7|@=2<7p`n0583>4}O<1=0qco?5;295~N30>1vbl>9:182M2??2wem=950;3xL1>03tdj<54?:0yK0=1<ugk;57>51zJ7<2=zfh:j6=4>{I6;3>{ii9h1<7?tH5:4?xhf8j0;6<uG4958ykg7l3:1=vF;869~jd6b290:wE:77:me5`=83;pD968;|lb55<728qC8594}oc25?6=9rB?4:5rn`31>5<6sA>3;6saa0194?7|@=2<7p`n1583>4}O<1=0qco>5;295~N30>1vbl?9:182M2??2wem<950;3xL1>03tdj=54?:0yK0=1<ugk:57>51zJ7<2=zfh;j6=4>{I6;3>{ii8h1<7?tH5:4?xhf9j0;6<uG4958ykg6l3:1=vF;869~jd7b290:wE:77:me4`=83;pD968;|lb65<728qC8594}oc15?6=9rB?4:5rn`01>5<6sA>3;6saa3194?7|@=2<7p`n2583>4}O<1=0qco=5;295~N30>1vbl<9:182M2??2wem?950;3xL1>03tdj>54?:0yK0=1<ugk957>51zJ7<2=zfh8j6=4>{I6;3>{ii;h1<7?tH5:4?xhf:j0;6<uG4958ykg5l3:1=vF;869~jd4b290:wE:77:me7`=83;pD968;|lb75<728qC8594}oc05?6=9rB?4:5rn`11>5<6sA>3;6saa2194?7|@=2<7p`n3583>4}O<1=0qco<5;295~N30>1vbl=9:182M2??2wem>950;3xL1>03tdj?54?:0yK0=1<ugk857>51zJ7<2=zfh9j6=4>{I6;3>{ii:h1<7?tH5:4?xhf;j0;6<uG4958ykg4l3:1=vF;869~jd5b290:wE:77:me6`=83;pD968;|lb05<728qC8594}oc75?6=9rB?4:5rn`61>5<6sA>3;6saa5194?7|@=2<7p`n4583>4}O<1=0qco;5;295~N30>1vbl:9:182M2??2wem9950;3xL1>03tdj854?:0yK0=1<ugk?57>51zJ7<2=zfh>j6=4>{I6;3>{ii=h1<7?tH5:4?xhf<j0;6<uG4958ykg3l3:1=vF;869~jd2b290:wE:77:me1`=83;pD968;|lb15<728qC8594}oc65?6=9rB?4:5rn`71>5<6sA>3;6saa4194?7|@=2<7p`n5583>4}O<1=0qco:5;295~N30>1vbl;9:182M2??2wem8950;3xL1>03tdj954?:0yK0=1<ugk>57>51zJ7<2=zfh?j6=4>{I6;3>{ii<h1<7?tH5:4?xhf=j0;6<uG4958ykg2l3:1=vF;869~jd3b290:wE:77:me0`=83;pD968;|lb25<728qC8594}oc55?6=9rB?4:5rn`41>5<6sA>3;6saa7194?7|@=2<7p`n6583>4}O<1=0qco95;295~N30>1vbl89:182M2??2wem;950;3xL1>03tdj:54?:0yK0=1<ugk=57>51zJ7<2=zfh<j6=4>{I6;3>{ii?h1<7?tH5:4?xhf>j0;6<uG4958ykg1l3:1=vF;869~jd0b290:wE:77:me3`=83;pD968;|lb35<728qC8594}oc45?6=9rB?4:5rn`51>5<6sA>3;6saa6194?7|@=2<7p`n7583>4}O<1=0qco85;295~N30>1vbl99:182M2??2wem:950;3xL1>03tdj;54?:0yK0=1<ugk<57>51zJ7<2=zfh=j6=4>{I6;3>{ii>h1<7?tH5:4?xhf?j0;6<uG4958ykg0l3:1=vF;869~jd1b290:wE:77:me2`=83;pD968;|lb<5<728qC8594}oc;5?6=9rB?4:5rn`:1>5<6sA>3;6saa9194?7|@=2<7p`n8583>4}O<1=0qco75;295~N30>1vbl69:182M2??2wem5950;3xL1>03tdj454?:0yK0=1<ugk357>51zJ7<2=zfh2j6=4>{I6;3>{ii1h1<7?tH5:4?xhf0j0;6<uG4958ykg?l3:1=vF;869~jd>b290:wE:77:me=`=83;pD968;|lb=5<728qC8594}oc:5?6=9rB?4:5rn`;1>5<6sA>3;6saa8194?7|@=2<7p`n9583>4}O<1=0qco65;295~N30>1vbl79:182M2??2wem4950;3xL1>03tdj554?:0yK0=1<ugk257>51zJ7<2=zfh3j6=4>{I6;3>{ii0h1<7?tH5:4?xhf1j0;6<uG4958ykg>l3:1=vF;869~jd?b290:wE:77:me<`=83;pD968;|lbe5<728qC8594}ocb5?6=9rB?4:5rn`c1>5<6sA>3;6saa`194?7|@=2<7p`na583>4}O<1=0qcon5;295~N30>1vblo9:182M2??2weml950;3xL1>03tdjm54?:0yK0=1<ugkj57>51zJ7<2=zfhkj6=4>{I6;3>{iihh1<7?tH5:4?xhfij0;6<uG4958ykgfl3:1=vF;869~jdgb290:wE:77:med`=83;pD968;|lbf5<728qC8594}oca5?6=9rB?4:5rn``1>5<6sA>3;6saac194?7|@=2<7p`nb583>4}O<1=0qcom5;295~N30>1vbll9:182M2??2wemo950;3xL1>03tdjn54?:0yK0=1<ugki57>51zJ7<2=zfhhj6=4>{I6;3>{iikh1<7?tH5:4?xhfjj0;6<uG4958ykgel3:1=vF;869~jddb290:wE:77:meg`=83;pD968;|lbg5<728qC8594}oc`5?6=9rB?4:5rn`a1>5<6sA>3;6saab194?7|@=2<7p`nc583>4}O<1=0qcol5;295~N30>1vblm9:182M2??2wemn950;3xL1>03tdjo54?:0yK0=1<ugkh57>51zJ7<2=zfhij6=4>{I6;3>{iijh1<7?tH5:4?xhfkj0;6<uG4958ykgdl3:1=vF;869~jdeb290:wE:77:mef`=83;pD968;|lb`5<728qC8594}ocg5?6=9rB?4:5rn`f1>5<6sA>3;6saae194?7|@=2<7p`nd583>4}O<1=0qcok5;295~N30>1vblj9:182M2??2wemi950;3xL1>03tdjh54?:0yK0=1<ugko57>51zJ7<2=zfhnj6=4>{I6;3>{iimh1<7?tH5:4?x{zuIJHwnh>:85;ac640tJKNv>r@ARxyEF
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v deleted file mode 100644 index 6ec1e3f88..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.v +++ /dev/null @@ -1,173 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_prog_full.v when simulating -// the core, fifo_xlnx_512x36_2clk_prog_full. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_512x36_2clk_prog_full( - rst, - wr_clk, - rd_clk, - din, - wr_en, - rd_en, - dout, - full, - almost_full, - empty, - prog_full); - - -input rst; -input wr_clk; -input rd_clk; -input [35 : 0] din; -input wr_en; -input rd_en; -output [35 : 0] dout; -output full; -output almost_full; -output empty; -output prog_full; - -// synthesis translate_off - - FIFO_GENERATOR_V6_1 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(9), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_ENABLE_RST_SYNC(1), - .C_ERROR_INJECTION_TYPE(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(1), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(0), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(0), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(1), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(500), - .C_PROG_FULL_THRESH_NEGATE_VAL(499), - .C_PROG_FULL_TYPE(1), - .C_RD_DATA_COUNT_WIDTH(9), - .C_RD_DEPTH(512), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(9), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(0), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(9), - .C_WR_DEPTH(512), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(9), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .RST(rst), - .WR_CLK(wr_clk), - .RD_CLK(rd_clk), - .DIN(din), - .WR_EN(wr_en), - .RD_EN(rd_en), - .DOUT(dout), - .FULL(full), - .ALMOST_FULL(almost_full), - .EMPTY(empty), - .PROG_FULL(prog_full), - .BACKUP(), - .BACKUP_MARKER(), - .CLK(), - .SRST(), - .WR_RST(), - .RD_RST(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .INT_CLK(), - .INJECTDBITERR(), - .INJECTSBITERR(), - .WR_ACK(), - .OVERFLOW(), - .ALMOST_EMPTY(), - .VALID(), - .UNDERFLOW(), - .DATA_COUNT(), - .RD_DATA_COUNT(), - .WR_DATA_COUNT(), - .PROG_EMPTY(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.veo b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.veo deleted file mode 100644 index 64e6769d6..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2009 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_512x36_2clk_prog_full YourInstanceName ( - .rst(rst), - .wr_clk(wr_clk), - .rd_clk(rd_clk), - .din(din), // Bus [35 : 0] - .wr_en(wr_en), - .rd_en(rd_en), - .dout(dout), // Bus [35 : 0] - .full(full), - .almost_full(almost_full), - .empty(empty), - .prog_full(prog_full)); - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_512x36_2clk_prog_full.v when simulating -// the core, fifo_xlnx_512x36_2clk_prog_full. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xco b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xco deleted file mode 100644 index f99c3c6fb..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xco +++ /dev/null @@ -1,84 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 12.2 -# Date: Thu Nov 11 17:27:10 2010 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = false -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 6.1 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=true -CSET component_name=fifo_xlnx_512x36_2clk_prog_full -CSET data_count=false -CSET data_count_width=9 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET enable_reset_synchronization=true -CSET fifo_implementation=Independent_Clocks_Block_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=500 -CSET full_threshold_negate_value=499 -CSET inject_dbit_error=false -CSET inject_sbit_error=false -CSET input_data_width=36 -CSET input_depth=512 -CSET output_data_width=36 -CSET output_depth=512 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant -CSET read_clock_frequency=1 -CSET read_data_count=false -CSET read_data_count_width=9 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=false -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=false -CSET write_data_count_width=9 -# END Parameters -GENERATE -# CRC: 6b9f6232 diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xise b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xise deleted file mode 100644 index 91dbf5819..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full.xise +++ /dev/null @@ -1,72 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="fifo_xlnx_512x36_2clk_prog_full.ngc" xil_pn:type="FILE_NGC"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="fifo_xlnx_512x36_2clk_prog_full.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc3s2000" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan3" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_xlnx_512x36_2clk_prog_full" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_xlnx_512x36_2clk_prog_full.ngc" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_xlnx_512x36_2clk_prog_full" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="fg456" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-5" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_xlnx_512x36_2clk_prog_full" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-11-11T09:27:12" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="96435AE73456681FC0EF5839C85C4C97" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_flist.txt deleted file mode 100644 index 2eb837a3f..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_flist.txt +++ /dev/null @@ -1,12 +0,0 @@ -# Output products list for <fifo_xlnx_512x36_2clk_prog_full> -_xmsgs/pn_parser.xmsgs -fifo_generator_ug175.pdf -fifo_xlnx_512x36_2clk_prog_full.gise -fifo_xlnx_512x36_2clk_prog_full.ngc -fifo_xlnx_512x36_2clk_prog_full.v -fifo_xlnx_512x36_2clk_prog_full.veo -fifo_xlnx_512x36_2clk_prog_full.xco -fifo_xlnx_512x36_2clk_prog_full.xise -fifo_xlnx_512x36_2clk_prog_full_flist.txt -fifo_xlnx_512x36_2clk_prog_full_readme.txt -fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_readme.txt deleted file mode 100644 index 33d50a91d..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_readme.txt +++ /dev/null @@ -1,47 +0,0 @@ -The following files were generated for 'fifo_xlnx_512x36_2clk_prog_full' in directory -/home/ianb/ettus/sram_fifo/fpgapriv/usrp2/coregen/ - -fifo_generator_ug175.pdf: - Please see the core data sheet. - -fifo_xlnx_512x36_2clk_prog_full.gise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_prog_full.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_512x36_2clk_prog_full.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_512x36_2clk_prog_full.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_512x36_2clk_prog_full.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_512x36_2clk_prog_full.xise: - ISE Project Navigator support file. This is a generated file and should - not be edited directly. - -fifo_xlnx_512x36_2clk_prog_full_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - -fifo_xlnx_512x36_2clk_prog_full_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl deleted file mode 100644 index e1aecccff..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_512x36_2clk_prog_full_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_512x36_2clk_prog_full_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_512x36_2clk_prog_full_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_512x36_2clk_prog_full -} -# ::fifo_xlnx_512x36_2clk_prog_full_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_512x36_2clk_prog_full_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_generator_ug175.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_prog_full.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_prog_full.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_prog_full.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_prog_full.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_prog_full_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_512x36_2clk_prog_full -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_readme.txt deleted file mode 100644 index a250a74f5..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_readme.txt +++ /dev/null @@ -1,39 +0,0 @@ -The following files were generated for 'fifo_xlnx_512x36_2clk' in directory -/home/matt/gnuradio.git/usrp2/fpga/coregen/: - -fifo_xlnx_512x36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_512x36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_512x36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_512x36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: - Please see the core data sheet. - -fifo_xlnx_512x36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - -fifo_xlnx_512x36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_512x36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl deleted file mode 100644 index 8a0c0e3ff..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_512x36_2clk_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_512x36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_512x36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_512x36_2clk -} -# ::fifo_xlnx_512x36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_512x36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_512x36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_512x36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc deleted file mode 100644 index e8c55a1af..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.4e -$4;14g<,[o}e~g`n;"2*413&;$>"9 > %10?*nhel%fmyz cnpfc`h(|dz$Sni fhdl[}jipV<?t>8P2bnh*kah92:?7=>?9593416339:=<95?1122?45<9'::7?:421236>4?<283M=:42@:30>5799>0?><<13902?OIX\^1hd`33483:42<;?0DYY^ZT;flqq:4=3:5h6=|2123bb22>$?:79?4639561=110<?7;7N10g82?OIX\^1|ah_dosp|Ys`{oxd1;50?06?3<NFY__6}|`g^gntqX|axneQnsrgqp93=878>7;4FNQWW>uthoVof|ywPtipfwmYimnki1;50?3g?3<NFY__6}|`g^dvhiYs`{oxd1;50?07?3<NFY__6}|`g^dvhiYs`{oxdRo|sdpw80<76;>0:7GAPTV9twi`Wog`Rzgrdqk[kc`i}o797>12:4B0>0FIH80:H<479683=@7911<6B[[PTV9`drfWje~by29:1<2f>1=G\^[YY4}d^fbpdYdg|d0;4?>0`83?IR\Y__6z|Pd`vb[firf}6=6=0=;6F1?2@33>L3<958FGD2?=4<09>04=>?4::1042<0=8:=67;;82341=>8939748=;8C7?<GFI;1J<?5N139B67=F;01J_T@L_SGD7>DR:11IY^QFNGM2?F2<KH2J>6MJ139@L@ELWECHIC]J_U[SA7=DA:1H@_74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF3:FSK0=CX[K;96J_R@36?AVUI;?0H]\N339F07=AL:1MHN:4FEAF0>@CKY90JI^;;GFSA1=AN1:>7Kocsd38C6=@FM;0E?5F039J57=N:;1B?45FNHVPPDRB;2CEZ>5FOC08HL4<DF<0@BOKEE58HJANKHF?7A[[279OQQ4XD=1GYY=9;MWW7ZB13E__?RB;;MWW03=K]]>TH85BSFMM1>KRPJS>7@[WF4a8Idlhz_oydaac:OjjjtQm{ybcc=4N131?K743G;;86@>0168J466<2D:<?:4N0200>H68=>0B<>:4:L2432<F8:<86@>0968J46>;2D:=95A1027?K769=1E=<<;;O3271=I98>?7C?>559M54033G;:;95A10:7?K761:1E=?:4N0030>H6:8>0B<<=4:L2662<F88?86@>2468J441<2D:>::4N00;0>H6:090B<=;;O3041=I9:;?7C?<259M56133G;8495A12;0?K73<2D:8=:4N0620>H6<;>0B<:<4:L2032<F8><86@>4968J42>;2D:995A1427?K729=1E=8<<;O357>H6?:1E=5=4N0;1?K443G8;?6@=129M675<F;987C<;3:L116=I:?90B?9<;O0;7>H51:1E?==4N230?K55;2D8?>5A3518J6343G9=?6@<729M7=5<F:387C:?3:L757=I>;1E;>5A7918J2?53G287C6>3:L;76=I0=80B4=4N870?K?1;2D2;>5A9918J<?a3GHTNX]_IO]SVJVT?2DNXZA]K09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P:8VDK6>Q;O=6]9;RMVVFC43ZZD86ZVPD11?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4567W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;=R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?03]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3455XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:?S_k|umv264=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj969:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5;5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj929:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5?5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbR>=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_003?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\676<]9%l~k }f.e`|+ekcq%yhR~ats]amkY4:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV>9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS8<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34565?2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>?1348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw67888<7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?013263=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;>?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos234775>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=><269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567;;8=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01613>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:?=?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1>1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<0<14>S7'nxm"h gbz-gim'{nT|cz}_fa?6;473\:$kh!rg-dg}(ddbr$~iQnup\cf:46;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5>5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh080>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP00d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^32b>S7'nxm"h gbz-gim'{nT|cz}_fa\64`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ56n2_;#j|i.sd,cf~)keas#jPpovq[beX<8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV?9:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=2=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6:2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?6;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0>0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc929:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2:>378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX8;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP1378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX:;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP3378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX<;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP53;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86;2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:66;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>1:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2<>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86?2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:26;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V?996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01211>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01011>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01615>S7'nxm"h gbz-gim'~xT|cz}_ckm858592_;#j|i.sd,cf~)keas#z|Ppovq[goi4849=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0?0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<2<15>S7'nxm"h gbz-gim'~xT|cz}_ckm818592_;#j|i.sd,cf~)keas#z|Ppovq[goi4<49<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS=<?;T2,cw`)zo%lou lljz,swYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})pzVzexQmio]165=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#z|Ppovq[goiW=8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT9?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2345403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=>>279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv5679;=0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?00312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:9>:5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r12364413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<===7:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4564:;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0504?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789>:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0=0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm31?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>1:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg959:91^<"i}f/pe+be&jf`t"y}_qlwvZad4=49<6[?/fpe*w`(ojr%oaew/vp\tkruWni793?i;T2,cw`)zo%lou lljz,swYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_03e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]15c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[67a3\:$kh!rg-dg}(ddbr${Qnup\cfY39o1^<"i}f/pe+be&jf`t"y}_qlwvZadW<8=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1;1249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY7:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ>249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY5:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ<249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY3:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ:289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95:5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5979:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=0=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1=1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95>5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5939:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^21<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S<<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X:;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]06==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R:=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W<8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0106?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw67888>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0306?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678:8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0514?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IdbcW{nThnQf_`fgwpd789:9?:5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"Cnde]q`ZbdW`Ujhi}zb12341503\:$kh!rg-dh5(ul&my=#i}db0-vae(EhnoSjPdb]j[dbc{|h;<=>9369V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.Ob`aYulVnhSdQndeqvf567819h7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$Aljk_sf\`fYnWhnoxl?012\g|:66=80Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FmijPre]ggZoXimnxyo>?01]`}92998UX[=:=;T2,cw`)zo%l`= }d.eq5+aulj8%~im M`fg[wbXljUbSljkst`3456Xkp6?2<?PSV30<>S7'nxm"h gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7<3=7;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:66:20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=0=7==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>0<8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;<7927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?4;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz31?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7>3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;;7927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?0;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz35?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXpfx7<3=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;97927X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?6;5>3\:$kh!rg-dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTtb|33?1:?P6(o{l%~k!hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXpfx783=6;T2,cw`)zo%l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;=79;7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$hdhi_vp\vaYsey6;2?64U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lY7:11^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^31<>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS?<7;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoX;;20Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]76==R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeR;=8:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnW?9:7X> gsd-vc)`d9$yh"i}1/eq`f4)zmi$~iQkc^k\ip~78987<3==;T2,cw`)zo%l`= }d.eq5+aulj8%~im re]ggZoXe|r;<=<30?305>S7'nxm"h gm2-va)`z8$l~im=.sf`+wbXljUbS`{w01218484:2_;#j|i.sd,ci6)zm%l~< hrea1*wbd'{nThnQf_lw{4565484:?>5Z0.eqb+ta'nf;"j gs3-cwbd:'xoo"|k_ea\mZkrp9:;>1?11012?P6(o{l%~k!hl1,q`*au9'myhn<!rea,vaYckVcTaxv?010?6;553\:$kh!rg-dh5(ul&my=#i}db0-vae(zmUooRgPmtz3454;:7;8=6[?/fpe*w`(oe:%~i!hr0,dvae5&{nh#jPdb]j[hs89:90>0<2:W3+bta&{l$ka>!re-dv4(`zmi9"jl/sf\`fYnWds<=>=<2<274=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx1236929;<1^<"i}f/pe+bj7&{n$k?!gsf`6+tck&xoSimPi^ov|567:5>5S^Y?309V4*aun'xm#jb?.sf,cw7)o{nh>#|kc.pg[aeXaVg~t=>?2=7=77=R8&myj#|i/fn3*wb(o{;%kjl2/pgg*tcWmiTeRczx12369399:;0Y=!hrg,qb*ak8'xo#j|>.fpgg7(ulj%yhRjl_h]nq}678;6=2><4U1-dvc(un&mg<#|k/fp2*btck;$yhn!}d^f`[lYj}q:;<?29>00b?P6(o{l%~k!hl1,q`*au9'xm{kz R@O\VAYBFVL\JY?=9:W3+bta&{l$ka>!re-dv4(un~l#_OB_SGDKPRXMG;9n6[?/fpe*w`(oe:%~i!hr0,qbr`s'[KFS[OCIE]ESCR69:90Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi;11^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<#Fn0307>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c::<0Y=!hrg,qb*ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi<"Io1;?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5%@d:=?64U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZ`e:>1^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_h0f?P6(o{l%~k!hl1,q`*au9'xm{kz elrw}Z`pn}UbSb|?0121b>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~TeRa}0123573<]9%l~k }f.eo4+tc'nx:"hxfu-vw`tX~hfbh?74U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXa::0Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;?>5Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYnWfx;<=>>2310?P6(o{l%~k!hl1,q`*auiz$yy} r`o\ahvsqVliSdQ`r123444>;:1^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789:::9=<;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?00;276=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np34565919<7X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<??7_RU377=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np34565>:80Y=!hrg,qb*ak8'xo#j|ns/pppv)uidUna}zv_g`\mZiu89:;9>==;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0922b>S7'nxm"h gm2-va)uidU}magk_guep75<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~996[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at0013>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|88:=?84U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov2674f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d=?Qmde211>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|8?9:6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at07261=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}9986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at707?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs1:80Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?4;76W@D]S=<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu484:=?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;:7;:>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:468;:j6[?/fpe*w`(oe:%~i!}povq+firf}6;2<h4U1-dvc(un&mg<#|k/srmpw)dg|d0<0>f:W3+bta&{l$ka>!re-qtkru'je~by2=>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<2<2b>S7'nxm"h gm2-va)uxg~y#naznu>7:4`<]9%l~k }f.eo4+tc'{zex!lotlw8086m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY79l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX98o0Y=!hrg,qb*ak8'xo#~ats-`kphsW;;n7X> gsd-vc)`d9$yh"|nup,gjsi|V9:i6[?/fpe*w`(oe:%~i!}povq+firf}U?=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T9?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?30?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28485:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?0;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64<49=6[?/fpe*w`(oe:%~i!}povq+firf}Uo=R>=3:W3+bta&{l$ka>!re-qtkru'je~byQk1^2\577<]9%l~k }f.eo4+tc'{zex!lotlw[a7X9;90Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T=R?=1:W3+bta&{l$ka>!re-qtkru'je~byQk1^017>S7'nxm"h gm2-va)uxg~y#naznu]g5Z4X9;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T??=4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P3^317>S7'nxm"h gm2-va)uxg~y#naznu]g5Z5X:;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T8??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P5368Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_omw4566:11^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQib^k11>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVc9o6[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k\kw67898m7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv5678882?>5Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j[jt789::>4Q\W10e?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`Ud~=>?00476c=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZ`rdeUbSb|?0122=44a3\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXn|fgSdQ`r123477?;:1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf_np3456591UX[=<j;T2,cw`)zo%l`= xr.etev(p{}y$~lcPftno[lYhz9:;<?8=e:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXg{:;<=;<2d9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWfx;<=>702`8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.Ob`aYpzVnjxlQlotlw[lYflm:;<=<<b:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[rtXlh~jSnaznu]j[dbc89:;8>l4U1-dvc(un&mg<#y}/fugg4(pljosx"Cnde]tvZbf|hUhcx`{_h]b`a6789<8n6[?/fpe*w`(oe:%{!hwea2*rbdmq~$Aljk_vp\`drfWje~byQf_`fg45670=:0Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GjhiQxr^fbpdYdg|dSdQnde2345Ydq5;58=5Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vir080;1:W3+bta&{l$ka>!ws-dsae6&~nhiuz M`fg[rtXlh~jSnaznu]j[dbc89:;Snw35?372>S7'nxm"h gm2-sw)`mi:"zjleyv,IdbcW~xThlzn_bmvjqYnWhno<=>?_b{?1;46WZ];845Z0.eqb+ta'nf;"z| gvf`5+qcklr#@okd^uq[agsiVidyczPi^cg`5678Vrd~1?110]JJSY7<:1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'DkohRy}_ecweZeh}g~TeRokd1234Z~hz585=<:<;T2,cw`)zo%l`= xr.et`f7)minty!Baef\swYci}kTob{at^k\eab789:Ttb|33?3206=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HgclV}ySio{a^alqkrXaVkoh=>?0^zlv929989<7X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qly=2=72=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7=3=8;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}949;>1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw33?14?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq5>5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww858402_;#j|i.sd,ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz31?1;?P6(o{l%~k!hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692>64U1-dvc(un&mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^nvp959;11^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sa{{<5<0<>S7'nxm"h gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~793=7;T2,cw`)zo%l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:76:20Y=!hrg,qb*ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=3=7==R8&myj#|i/fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0?0<8:W3+bta&{l$ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;;7937X> gsd-vc)`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>7:6><]9%l~k }f.eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1;12g9V4*aun'xm#jb?.vp,crbd9'}oohv{/ekebZquW{nTx`~30?0e?P6(o{l%~k!hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]36c=R8&myj#|i/fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[44a3\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY5:o1^<"i}f/pe+bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW:8m7X> gsd-vc)`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U?>k5Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS8<i;T2,cw`)zo%l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ9399V4*aun'xm#jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_`fg4567484846[?/fpe*w`(oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcTmij?012?6;5?3\:$kh!rg-dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lYflm:;<=2<>2:8Q5)`zo$yj"ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^cg`56785>5?55Z0.eqb+ta'nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbSljk0123808412_;#j|i.sd,ci6){%l{im>.vf`a}r({UomyoPcnwmpZoXimn;<=>35?32`>S7'nxm"h gm2-sw)uidU|~Rka_h317>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;?0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz>2378Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr6=;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz<259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex4==;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu494:=RGAV^21g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?1100`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0?0>13f8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3?>13a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3?=2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6?2<?=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5?5=<?6;T2,cw`)zo%l`= xr.usjqt(F;;m7X> gsd-vc)`d9$|~"ynup,gjsi|5:5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7=3?i;T2,cw`)zo%l`= xr.usjqt(kfex1<11g9V4*aun'xm#jb?.vp,suhsz&idycz33?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=6=5c=R8&myj#|i/fn3*rt(yd~"m`uov?1;7b3\:$kh!rg-dh5(pz&}{by| cnwmpZ66m2_;#j|i.sd,ci6){%||cz}/bmvjqY69l1^<"i}f/pe+bj7&~x${}`{r.alqkrX:8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW:;n7X> gsd-vc)`d9$|~"ynup,gjsi|V>:i6[?/fpe*w`(oe:%{!xpovq+firf}U>>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2?>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?5;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64;49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1=1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>7:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;=78:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_401?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18585:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5;5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2=>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?7;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54=49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1;1209V4*aun'xm#jb?.vp,suhsz&idyczPd3]366=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y7W88:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S<<<;T2,cw`)zo%l`= xr.usjqt(kfexRj=_0]264=R8&myj#|i/fn3*rt(yd~"m`uov\`7Y5::1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U9S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_200?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[6Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl;U?><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?Q:179V4*aun'xm#`kb/emvpZoX88<0Y=!hrg,qb*kbe&ndyyQf_034?P6(o{l%~k!bel-gkprXaV;;=:5Z0.eqb+ta'dof#iazt^k\54703\:$kh!rg-nah)cg|~TeR?=169V4*aun'xm#`kb/emvpZoX9:;<7X> gsd-vc)jmd%ocxzPi^3752=R8&myj#|i/lgn+air|VcT=8?8;T2,cw`)zo%fi`!kotv\mZ719>1^<"i}f/pe+hcj'me~xRgP1634?P6(o{l%~k!bel-gkprXaV;3=:5Z0.eqb+ta'dof#iazt^k\5<713\:$kh!rg-nah)cg|~TeR<>7:W3+bta&{l$ahc dnww[lY588=0Y=!hrg,qb*kbe&ndyyQf_3323>S7'nxm"h mdo,`jssW`U9><94U1-dvc(un&gna"j`uu]j[756?2_;#j|i.sd,i`k(lfSdQ=4058Q5)`zo$yj"cjm.flqqYnW;?:;6[?/fpe*w`(elg$hb{{_h]1241<]9%l~k }f.ofi*bh}}UbS?9>7:W3+bta&{l$ahc dnww[lY508=0Y=!hrg,qb*kbe&ndyyQf_3;22>S7'nxm"h mdo,`jssW`U8=:5Z0.eqb+ta'dof#iazt^k\75703\:$kh!rg-nah)cg|~TeR=>169V4*aun'xm#`kb/emvpZoX;;;<7X> gsd-vc)jmd%ocxzPi^1052=R8&myj#|i/lgn+air|VcT?9?8;T2,cw`)zo%fi`!kotv\mZ529?1^<"i}f/pe+hcj'me~xRgP4048Q5)`zo$yj"cjm.flqqYnW<;=7X> gsd-vc)jmd%ocxzPi^422>S7'nxm"h mdo,`jssW`U<=;5Z0.eqb+ta'dof#iazt^k\<40<]9%l~k }f.ofi*bh}}UbS4?j;T2,cw`)zo%fi`!hdl,gi*KuidUYM@?>f:W3+bta&{l$ahc geo-`h)JzhgT^LC>0328Q5)`zo$yj"cjm.egi+bj'DxjaR\NM02365=R8&myj#|i/lgn+bbj&mg$Aob_SCN557582_;#j|i.sd,i`k(omg%h`!Br`o\VDK68;8;7X> gsd-vc)jmd%lh` km.OqehYUID;;??>4U1-dvc(un&gna"ikm/fn+HtfeVXJA<>;219V4*aun'xm#`kb/ffn*ak(E{kfS_OB11714>S7'nxm"h mdo,cak)ld%F~lcPR@O243473\:$kh!rg-nah)`ld$oa"C}al]QEH77?;:0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE8:3>=5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF==7>f:W3+bta&{l$ahc geo-`h)JzhgT^LC>1328Q5)`zo$yj"cjm.egi+bj'DxjaR\NM03365=R8&myj#|i/lgn+bbj&mg$Aob_SCN547582_;#j|i.sd,i`k(omg%h`!Br`o\VDK69;8;7X> gsd-vc)jmd%lh` km.OqehYUID;:??>4U1-dvc(un&gna"ikm/fn+HtfeVXJA<?;219V4*aun'xm#`kb/ffn*ak(E{kfS_OB10714>S7'nxm"h mdo,cak)ld%F~lcPR@O253473\:$kh!rg-nah)`ld$oa"C}al]QEH76?;:0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE8;3>=5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=<7>f:W3+bta&{l$ahc geo-`h)JzhgT^LC>2328Q5)`zo$yj"cjm.egi+bj'DxjaR\NM00365=R8&myj#|i/lgn+bbj&mg$Aob_SCN577582_;#j|i.sd,i`k(omg%h`!Br`o\VDK6:;8;7X> gsd-vc)jmd%lh` km.OqehYUID;9??>4U1-dvc(un&gna"ikm/fn+HtfeVXJA<<;219V4*aun'xm#`kb/ffn*ak(E{kfS_OB13714>S7'nxm"h mdo,cak)ld%F~lcPR@O263473\:$kh!rg-nah)`ld$oa"C}al]QEH75?;:0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE883>=5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=?7>f:W3+bta&{l$ahc geo-`h)JzhgT^LC>3328Q5)`zo$yj"cjm.egi+bj'DxjaR\NM01365=R8&myj#|i/lgn+bbj&mg$Aob_SCN567582_;#j|i.sd,i`k(omg%h`!Br`o\VDK6;;8;7X> gsd-vc)jmd%lh` km.OqehYUID;8??>4U1-dvc(un&gna"ikm/fn+HtfeVXJA<=;219V4*aun'xm#`kb/ffn*ak(E{kfS_OB12714>S7'nxm"h mdo,cak)ld%F~lcPR@O273473\:$kh!rg-nah)`ld$oa"C}al]QEH74?;:0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE893>=5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF=>7>f:W3+bta&{l$ahc geo-`h)JzhgT^LC>4328Q5)`zo$yj"cjm.egi+bj'DxjaR\NM06365=R8&myj#|i/lgn+bbj&mg$Aob_SCN517582_;#j|i.sd,i`k(omg%h`!Br`o\VDK6<;8;7X> gsd-vc)jmd%lh` km.OqehYUID;???>4U1-dvc(un&gna"ikm/fn+HtfeVXJA<:;1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB143e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL355c=R8&myj#|i/lgn+bbj&mg$Aob_SCN527a3\:$kh!rg-nah)`ld$oa"C}al]QEH7?9o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ90;n7X> gsd-vc)jmd%lh` km.OqehYUID8:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG9<<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA??>f:W3+bta&{l$ahc geo-`h)JzhgT^LC=20d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM312b>S7'nxm"h mdo,cak)ld%F~lcPR@O104`<]9%l~k }f.ofi*ace'nf#@|nm^PBI736n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK5>8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE;=:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG94<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA?7>e:W3+bta&{l$ahc geo-`h)JzhgT^LC<1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB313e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL125c=R8&myj#|i/lgn+bbj&mg$Aob_SCN777a3\:$kh!rg-nah)`ld$oa"C}al]QEH549o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ;=;m7X> gsd-vc)jmd%lh` km.OqehYUID9>=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF?;?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@=81g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB393e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL1:5`=R8&myj#|i/lgn+bbj&mg$Aob_SCN04`<]9%l~k }f.ofi*ace'nf#@|nm^PBI166n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK398l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE=8:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG??<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA9:>f:W3+bta&{l$ahc geo-`h)JzhgT^LC;50d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM542b>S7'nxm"h mdo,cak)ld%F~lcPR@O734`<]9%l~k }f.ofi*ace'nf#@|nm^PBI1>6n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK318o0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE<;m7X> gsd-vc)jmd%lh` km.OqehYUID?;=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF9<?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@;=1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB523e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL775c=R8&myj#|i/lgn+bbj&mg$Aob_SCN107a3\:$kh!rg-nah)`ld$oa"C}al]QEH319o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ=>;m7X> gsd-vc)jmd%lh` km.OqehYUID?3=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF94?j;T2,cw`)zo%fi`!hdl,gi*KuidUYM@8>f:W3+bta&{l$ahc geo-`h)JzhgT^LC900d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM732b>S7'nxm"h mdo,cak)ld%F~lcPR@O564`<]9%l~k }f.ofi*ace'nf#@|nm^PBI356n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK1<8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE??:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG=:<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA;9>f:W3+bta&{l$ahc geo-`h)JzhgT^LC980d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM7;2a>S7'nxm"h mdo,cak)ld%F~lcPR@O45c=R8&myj#|i/lgn+bbj&mg$Aob_SCN357a3\:$kh!rg-nah)`ld$oa"C}al]QEH169o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ?;;m7X> gsd-vc)jmd%lh` km.OqehYUID=8=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF;9?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@9:1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB773e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL545c=R8&myj#|i/lgn+bbj&mg$Aob_SCN3=7a3\:$kh!rg-nah)`ld$oa"C}al]QEH1>9l1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ08l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE1::j6[?/fpe*w`(elg$kic!dl-NvdkXZHG3=<h4U1-dvc(un&gna"ikm/fn+HtfeVXJA5<>f:W3+bta&{l$ahc geo-`h)JzhgT^LC730d8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM962b>S7'nxm"h mdo,cak)ld%F~lcPR@O;14`<]9%l~k }f.ofi*ace'nf#@|nm^PBI=06n2_;#j|i.sd,i`k(omg%h`!Br`o\VDK??8l0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE12:j6[?/fpe*w`(elg$kic!dl-NvdkXZHG35<k4U1-dvc(un&gna"ikm/fn+HtfeVXJA4?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@7?1g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB903e?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL;15c=R8&myj#|i/lgn+bbj&mg$Aob_SCN=67a3\:$kh!rg-nah)`ld$oa"C}al]QEH?39o1^<"i}f/pe+hcj'nnf"ic Mscn[WGJ1<;m7X> gsd-vc)jmd%lh` km.OqehYUID3==k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF5:?i;T2,cw`)zo%fi`!hdl,gi*KuidUYM@771g9V4*aun'xm#`kb/ffn*ak(E{kfS_OB983:?P6(o{l%~k!bel-d`h(ce&D:<<?6;T2,cw`)zo%fi`!hdl,gi*H68:;27X> gsd-vc)jmd%lh` km.L2407>3\:$kh!rg-nah)`ld$oa"@>063:?P6(o{l%~k!bel-d`h(ce&D:<4?7;T2,cw`)zo%fi`!hdl,gi*H69830Y=!hrg,qb*kbe&moa#jb/O3254?<]9%l~k }f.ofi*ace'nf#C?>30;8Q5)`zo$yj"cjm.egi+bj'G;:9<74U1-dvc(un&gna"ikm/fn+K76?830Y=!hrg,qb*kbe&moa#jb/O32=4?<]9%l~k }f.ofi*ace'nf#C?=10;8Q5)`zo$yj"cjm.egi+bj'G;9?<74U1-dvc(un&gna"ikm/fn+K75=830Y=!hrg,qb*kbe&moa#jb/O3134?<]9%l~k }f.ofi*ace'nf#C?=90:8Q5)`zo$yj"cjm.egi+bj'G;8=45Z0.eqb+ta'dof#jjb.eo,J456901^<"i}f/pe+hcj'nnf"ic N0105<=R8&myj#|i/lgn+bbj&mg$B<=:189V4*aun'xm#`kb/ffn*ak(F89<=45Z0.eqb+ta'dof#jjb.eo,J45>901^<"i}f/pe+hcj'nnf"ic N0625<=R8&myj#|i/lgn+bbj&mg$B<:<189V4*aun'xm#`kb/ffn*ak(F8>>=45Z0.eqb+ta'dof#jjb.eo,J420901^<"i}f/pe+hcj'nnf"ic N06:5==R8&myj#|i/lgn+bbj&mg$B<;>9:W3+bta&{l$ahc geo-`h)I9<;:56[?/fpe*w`(elg$kic!dl-M505612_;#j|i.sd,i`k(omg%h`!A1472=>S7'nxm"h mdo,cak)ld%E=89>9:W3+bta&{l$ahc geo-`h)I9<3:56[?/fpe*w`(elg$kic!dl-M537612_;#j|i.sd,i`k(omg%h`!A1712=>S7'nxm"h mdo,cak)ld%E=;;>9:W3+bta&{l$ahc geo-`h)I9?=:56[?/fpe*w`(elg$kic!dl-M53?602_;#j|i.sd,i`k(omg%h`!A163:?P6(o{l%~k!bel-d`h(ce&D:;<?6;T2,cw`)zo%fi`!hdl,gi*H6?:;27X> gsd-vc)jmd%lh` km.L2307>3\:$kh!rg-nah)`ld$oa"@>763:?P6(o{l%~k!bel-d`h(ce&D:;4?6;T2,cw`)zo%fi`!hdl,gi*H608;27X> gsd-vc)jmd%lh` km.L2<67>3\:$kh!rg-nah)`ld$oa"@>843:?P6(o{l%~k!bel-d`h(ce&D:4:?6;T2,cw`)zo%fi`!hdl,gi*H600;37X> gsd-vc)jmd%lh` km.L2=4?<]9%l~k }f.ofi*ace'nf#C?610;8Q5)`zo$yj"cjm.egi+bj'G;2?<74U1-dvc(un&gna"ikm/fn+K7>=830Y=!hrg,qb*kbe&moa#jb/O3:34?<]9%l~k }f.ofi*ace'nf#C?690;8Q5)`zo$yj"cjm.egi+bj'G8;=<74U1-dvc(un&gna"ikm/fn+K47;830Y=!hrg,qb*kbe&moa#jb/O0314?<]9%l~k }f.ofi*ace'nf#C<?70;8Q5)`zo$yj"cjm.egi+bj'G8;5<64U1-dvc(un&gna"ikm/fn+K46901^<"i}f/pe+hcj'nnf"ic N3325<=R8&myj#|i/lgn+bbj&mg$B??<189V4*aun'xm#`kb/ffn*ak(F;;>=45Z0.eqb+ta'dof#jjb.eo,J770901^<"i}f/pe+hcj'nnf"ic N33:5<=R8&myj#|i/lgn+bbj&mg$B?<>189V4*aun'xm#`kb/ffn*ak(F;88=45Z0.eqb+ta'dof#jjb.eo,J742901^<"i}f/pe+hcj'nnf"ic N3045<=R8&myj#|i/lgn+bbj&mg$B?<6199V4*aun'xm#`kb/ffn*ak(F;9:56[?/fpe*w`(elg$kic!dl-M667612_;#j|i.sd,i`k(omg%h`!A2212=>S7'nxm"h mdo,cak)ld%E>>;>9:W3+bta&{l$ahc geo-`h)I::=:56[?/fpe*w`(elg$kic!dl-M66?612_;#j|i.sd,i`k(omg%h`!A2532=>S7'nxm"h mdo,cak)ld%E>9=>9:W3+bta&{l$ahc geo-`h)I:=?:56[?/fpe*w`(elg$kic!dl-M611612_;#j|i.sd,i`k(omg%h`!A25;2<>S7'nxm"h mdo,cak)ld%E>8?6;T2,cw`)zo%fi`!hdl,gi*H5=8;27X> gsd-vc)jmd%lh` km.L1167>3\:$kh!rg-nah)`ld$oa"@=543:?P6(o{l%~k!bel-d`h(ce&D99:?6;T2,cw`)zo%fi`!hdl,gi*H5=0;27X> gsd-vc)jmd%lh` km.L1247>3\:$kh!rg-nah)`ld$oa"@=623:?P6(o{l%~k!bel-d`h(ce&D9:8?6;T2,cw`)zo%fi`!hdl,gi*H5>>;27X> gsd-vc)jmd%lh` km.L12<7?3\:$kh!rg-nah)`ld$oa"@=70;8Q5)`zo$yj"cjm.egi+bj'G8<=<74U1-dvc(un&gna"ikm/fn+K40;830Y=!hrg,qb*kbe&moa#jb/O0414?<]9%l~k }f.ofi*ace'nf#C<870;8Q5)`zo$yj"cjm.egi+bj'G8<5<74U1-dvc(un&gna"ikm/fn+K4?9830Y=!hrg,qb*kbe&moa#jb/O0;74?<]9%l~k }f.ofi*ace'nf#C<750;8Q5)`zo$yj"cjm.egi+bj'G83;<74U1-dvc(un&gna"ikm/fn+K4?1820Y=!hrg,qb*kbe&moa#jb/O0:5<=R8&myj#|i/lgn+bbj&mg$B?7>189V4*aun'xm#`kb/ffn*ak(F;38=55Z0.eqb+ta'dof#jjb.eo,J67602_;#j|i.sd,i`k(omg%h`!A323;?P6(o{l%~k!bel-d`h(ce&D89<64U1-dvc(un&gna"ikm/fn+K50911^<"i}f/pe+hcj'nnf"ic N2;2<>S7'nxm"h mdo,cak)ld%E8<?7;T2,cw`)zo%fi`!hdl,gi*H3;820Y=!hrg,qb*kbe&moa#jb/O665==R8&myj#|i/lgn+bbj&mg$B99>8:W3+bta&{l$ahc geo-`h)I<0;37X> gsd-vc)jmd%lh` km.L654><]9%l~k }f.ofi*ace'nf#C;<199V4*aun'xm#`kb/ffn*ak(F<?:46[?/fpe*w`(elg$kic!dl-M127?3\:$kh!rg-nah)`ld$oa"@:90:8Q5)`zo$yj"cjm.egi+bj'G<:=55Z0.eqb+ta'dof#jjb.eo,J35602_;#j|i.sd,i`k(omg%h`!A643;?P6(o{l%~k!bel-d`h(ce&D=;<64U1-dvc(un&gna"ikm/fn+K0>9>1^<"i}f/pe+hcj'nnf"ic N63;?P6(o{l%~k!bel-d`h(ce&D<=<64U1-dvc(un&gna"ikm/fn+K14911^<"i}f/pe+hcj'nnf"ic N672<>S7'nxm"h mdo,cak)ld%E;:?7;T2,cw`)zo%fi`!hdl,gi*H01820Y=!hrg,qb*kbe&moa#jb/O:25==R8&myj#|i/lgn+bbj&mg$B5=>8:W3+bta&{l$ahc geo-`h)I0<;37X> gsd-vc)jmd%lh` km.L;34><]9%l~k }f.ofi*ace'nf#C66169V4*aun'xm#`kb/ffn*ak(F0;37X> gsd-vc)jmd%lh` km.L:54><]9%l~k }f.ofi*ace'nf#C7<199V4*aun'xm#`kb/ffn*ak(F0?:46[?/fpe*w`(elg$kic!dl-M=27?3\:$kh!rg-nah)`ld$oa"@69378Q5)`zo$yj"cjm.egi+bj'V|j~d`key2345:76;<0Y=!hrg,qb*kbe&moa#jb/^tbvlhcmq:;<=2>0?05?P6(o{l%~k!bel-d`h(ce&U}mgaddz3456;9849:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<00=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|56785;82?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>20;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7=80=6:W3+bta&{l$ahc geo-`h)X~hxbbikw01238409:?1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1?8>348Q5)`zo$yj"cjm.egi+bj'V|j~d`key2345:6078=7X> gsd-vc)jmd%lh` km.]uewoillr;<=>318<11>S7'nxm"h mdo,cak)ld%Tzl|fneg{45674849:6[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<32=63=R8&myj#|i/lgn+bbj&mg$S{o}ioff|567858:2?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>16;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7>>0=6:W3+bta&{l$ahc geo-`h)X~hxbbikw01238729:?1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1<:>348Q5)`zo$yj"cjm.egi+bj'V|j~d`key2345:5>78=7X> gsd-vc)jmd%lh` km.]uewoillr;<=>326<12>S7'nxm"h mdo,cak)ld%Tzl|fneg{45674;25>;5Z0.eqb+ta'dof#jjb.eo,[sguagnnt=>?0=0::73<]9%l~k }f.ofi*ace'nf#Rxnrhlga}6789692?84U1-dvc(un&gna"ikm/fn+Zpfz`doiu>?01>04;413\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7?<0=6:W3+bta&{l$ahc geo-`h)X~hxbbikw01238649:?1^<"i}f/pe+hcj'nnf"ic _wcqmkbbp9:;<1=<>348Q5)`zo$yj"cjm.egi+bj'V|j~d`key2345:4<78=7X> gsd-vc)jmd%lh` km.]uewoillr;<=>334<11>S7'nxm"h mdo,cak)ld%Tzl|fneg{45674:4996[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<5<11>S7'nxm"h mdo,cak)ld%Tzl|fneg{45674<4996[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<7<11>S7'nxm"h mdo,cak)ld%Tzl|fneg{45674>4996[?/fpe*w`(elg$kic!dl-\rdtnfmos<=>?<9<11>S7'nxm"h mdo,cak)ld%Tzl|fneg{4567404:i6[?/fpe*w`(elg$kic!dl-gkprXa5:5=k5Z0.eqb+ta'dof#jjb.eo,`jssW`6:<3?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0<?11g9V4*aun'xm#`kb/ffn*ak(lfSd2>2?3e?P6(o{l%~k!bel-d`h(ce&ndyyQf<01=5c=R8&myj#|i/lgn+bbj&mg$hb{{_h>20;7a3\:$kh!rg-nah)`ld$oa"j`uu]j84399o1^<"i}f/pe+hcj'nnf"ic dnww[l:6>7;m7X> gsd-vc)jmd%lh` km.flqqYn48=5=k5Z0.eqb+ta'dof#jjb.eo,`jssW`6:43?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0<711d9V4*aun'xm#`kb/ffn*ak(lfSd2>>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg321<2b>S7'nxm"h mdo,cak)ld%ocxzPi=02:4`<]9%l~k }f.ofi*ace'nf#iazt^k?6786n2_;#j|i.sd,i`k(omg%h`!kotv\m94468l0Y=!hrg,qb*kbe&moa#jb/emvpZo;:=4:j6[?/fpe*w`(elg$kic!dl-gkprXa58>2<h4U1-dvc(un&gna"ikm/fn+air|Vc7>;0>f:W3+bta&{l$ahc geo-`h)cg|~Te1<8>0d8Q5)`zo$yj"cjm.egi+bj'me~xRg329<2b>S7'nxm"h mdo,cak)ld%ocxzPi=0::4c<]9%l~k }f.ofi*ace'nf#iazt^k?6;7a3\:$kh!rg-nah)`ld$oa"j`uu]j86699o1^<"i}f/pe+hcj'nnf"ic dnww[l:497;m7X> gsd-vc)jmd%lh` km.flqqYn4:85=k5Z0.eqb+ta'dof#jjb.eo,`jssW`68?3?i;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0>:11g9V4*aun'xm#`kb/ffn*ak(lfSd2<5?3f?P6(o{l%~k!bel-d`h(ce&ndyyQf<2<2a>S7'nxm"h mdo,cak)ld%ocxzPi=6=5`=R8&myj#|i/lgn+bbj&mg$hb{{_h>6:4c<]9%l~k }f.ofi*ace'nf#iazt^k?2;7b3\:$kh!rg-nah)`ld$oa"j`uu]j8286m2_;#j|i.sd,i`k(omg%h`!kotv\m9>99l1^<"i}f/pe+hcj'nnf"ic dnww[l:>68n0Y=!hrg,qb*kbe&moa#jb/emvpZoX88n0Y=!hrg,qb*kbe&moa#jb/emvpZoX98o0Y=!hrg,qb*kbe&moa#jb/emvpZoX99;n7X> gsd-vc)jmd%lh` km.flqqYnW8;:i6[?/fpe*w`(elg$kic!dl-gkprXaV;9=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U:?<k4U1-dvc(un&gna"ikm/fn+air|VcT=9?j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS<;>e:W3+bta&{l$ahc geo-`h)cg|~TeR?91d9V4*aun'xm#`kb/ffn*ak(lfSdQ>70g8Q5)`zo$yj"cjm.egi+bj'me~xRgP193f?P6(o{l%~k!bel-d`h(ce&ndyyQf_0;2`>S7'nxm"h mdo,cak)ld%ocxzPi^02a>S7'nxm"h mdo,cak)ld%ocxzPi^035`=R8&myj#|i/lgn+bbj&mg$hb{{_h]154c<]9%l~k }f.ofi*ace'nf#iazt^k\677b3\:$kh!rg-nah)`ld$oa"j`uu]j[756m2_;#j|i.sd,i`k(omg%h`!kotv\mZ439l1^<"i}f/pe+hcj'nnf"ic dnww[lY5=8o0Y=!hrg,qb*kbe&moa#jb/emvpZoX:?;n7X> gsd-vc)jmd%lh` km.flqqYnW;=:i6[?/fpe*w`(elg$kic!dl-gkprXaV83=h5Z0.eqb+ta'dof#jjb.eo,`jssW`U95<j4U1-dvc(un&gna"ikm/fn+air|VcT?<k4U1-dvc(un&gna"ikm/fn+air|VcT?=?j;T2,cw`)zo%fi`!hdl,gi*bh}}UbS>?>e:W3+bta&{l$ahc geo-`h)cg|~TeR==1d9V4*aun'xm#`kb/ffn*ak(lfSdQ<30g8Q5)`zo$yj"cjm.egi+bj'me~xRgP353f?P6(o{l%~k!bel-d`h(ce&ndyyQf_272`>S7'nxm"h mdo,cak)ld%ocxzPi^62`>S7'nxm"h mdo,cak)ld%ocxzPi^72`>S7'nxm"h mdo,cak)ld%ocxzPi^42`>S7'nxm"h mdo,cak)ld%ocxzPi^52`>S7'nxm"h mdo,cak)ld%ocxzPi^:2`>S7'nxm"h mdo,cak)ld%ocxzPi^;1<>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^68V8Tj8<:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY33[6423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q;;S9<7;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY32[7Ya=;?0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T<?P3378Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\47X<;20Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T<<P2^d660=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_9;U8>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W13]76==R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_9:U9Sk;=5:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ27Z55=2_;#j|i.sd,i`k(omg%h`!fnrv\HUKXE\R:?R:=8:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ20Z4Xn<8>7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU?;_206?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]73W=837X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU?:_3]e173<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP8?T??;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX07\07><]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP8<T>Rh:249V4*aun'xm#`kb/ffn*ak(agySA^B_LW[53Y4:<1^<"i}f/pe+hcj'nnf"ic ioqw[IVJWD_S=;Q;299V4*aun'xm#`kb/ffn*ak(agySA^B_LW[52Y5Wo?996[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV>7^111>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^6?V>946[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV>8^0\b0423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q;3S><:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY3;[14?3\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q;2S?Qi5378Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\4?X;;?0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T<7P4358Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\4Y5Wo?986[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV>_207?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]7X<;20Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T?>P2^d660=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_:9U8>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W21]76==R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_:8U9Sk;=5:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ15Z55=2_;#j|i.sd,i`k(omg%h`!fnrv\HUKXE\R9=R:=8:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ16Z4Xn<8>7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU<=_206?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]45W=837X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU<<_3]e173<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;9T??;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX31\07><]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP;>T>Rh:249V4*aun'xm#`kb/ffn*ak(agySA^B_LW[61Y4:<1^<"i}f/pe+hcj'nnf"ic ioqw[IVJWD_S>9Q;299V4*aun'xm#`kb/ffn*ak(agySA^B_LW[60Y5Wo?996[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV=5^111>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^5=V>946[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV=6^0\b0423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q8=S><:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY05[14?3\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q8<S?Qi5378Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\71X;;?0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T?9P43:8Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\7>X:Vl>>85Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W29]060=R8&myj#|i/lgn+bbj&mg$ec}{_MRN[HS_:1U?>55Z0.eqb+ta'dof#jjb.eo,mkusWEZFS@[W28]1[c35=2_;#j|i.sd,i`k(omg%h`!fnrv\HUKXE\R95R==5:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ1=Z25?2_;#j|i.sd,i`k(omg%h`!fnrv\HUKXE\R9S?Qi5368Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\7Y4:=1^<"i}f/pe+hcj'nnf"ic ioqw[IVJWD_S>R:=8:W3+bta&{l$ahc geo-`h)nfz~T@]CPMTZ04Z4Xn<8>7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU=?_206?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]57W=837X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU=>_3]e173<]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP:;T??;4U1-dvc(un&gna"ikm/fn+lht|VF[ARCZX23\07><]9%l~k }f.ofi*ace'nf#d`|t^NSIZKRP:8T>Rh:249V4*aun'xm#`kb/ffn*ak(agySA^B_LW[77Y4:<1^<"i}f/pe+hcj'nnf"ic ioqw[IVJWD_S??Q;299V4*aun'xm#`kb/ffn*ak(agySA^B_LW[76Y5Wo?996[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV<3^111>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^4;V>946[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV<4^0\b0423\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q9?S><:;T2,cw`)zo%fi`!hdl,gi*oi{}UG\@QBUY17[14?3\:$kh!rg-nah)`ld$oa"gasu]OTHYJ]Q9>S?Qi5378Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\63X;;?0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T>;P4358Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\6Y5Wo?986[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV<_207?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]5X<;=0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T9Q=_g710>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^3W:8?7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU:P4358Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\0Y5Wo?986[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV:_207?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]3X<;=0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T;Q=_g710>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^1W:8?7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU8P4358Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\2Y5Wo?986[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV8_207?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]1X<;=0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^T5Q=_g710>S7'nxm"h mdo,cak)ld%bb~zPLQO\IP^?W:8?7X> gsd-vc)jmd%lh` km.kmwqYKXDUFYU6P4358Q5)`zo$yj"cjm.egi+bj'`dxxRB_M^OV\<Y5Wo?986[?/fpe*w`(elg$kic!dl-jjvrXDYGTAXV6_207?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]?X<;<0Y=!hrg,qb*kbe&moa#jb/hlppZJWEVG^TR<Pf400?P6(o{l%~k!bel-d`h(ce&ceyQCPL]NQ]Y4::1^<"i}f/pe+hcj'nnf"ic ioqw[IVJWD_SS9?i;T2,cw`)zo%fi`!hdl,gi*qua}oToy|c219V4*aun'xm#`kb/ffn*ak({ciRm{rm314>S7'nxm"h mdo,cak)ld%|~dzj_bvqh7473\:$kh!rg-nah)`ld$oa"y}iug\gqtk;8=0Y=!hrg,qb*tfeVxnkb{{_dl27>S7'nxm"h r`o\swYbf8n0Y=!hrg,qb*tt|kf`#jPrrv\evtbo;:0Y=!hrg,qb*tt|kf`#jPrrv\evtboVn:>=5Z0.eqb+ta'{ynae re]qwqYf{{olSi<>c:W3+bta&{l$~~zmlj-q`Ztt|Vidao?k;T2,cw`)zo%yylck.pg[wusWjefn<?j;T2,cw`)zo%yylck.pg[wusW{ol0=0>e:W3+bta&{l$~~zmlj-q`Ztt|Vxnk1?11d9V4*aun'xm#}{bmi,vaYu{}Uyij2=>0f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP00f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP10f8Q5)`zo$yj"||tcnh+wbXzz~T~hiP20f8Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg328Q5)`zo$yj"||tcnh+rtXzz~Tm~|jg^f265=R8&myj#|i/sqwfim({UyyQnssgd[a46k2_;#j|i.sd,vvredb%|~R||t^alig7c3\:$kh!rg-qwqdkc&}yS}{_bmnf47b3\:$kh!rg-qwqdkc&}yS}{_sgd8586m2_;#j|i.sd,vvredb%|~R||t^pfc9799m1^<"i}f/pe+wusjea${Q}su]qabY79m1^<"i}f/pe+wusjea${Q}su]qabY6i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD68SFJL:2]N=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohfj1j``a|t^gntqe3hffc~zPftno2>dfkb{h6lncjws[hguclx87nbdd:fbpdYdg|d$='k;ecweZeh}g~#=$j4d`vb[firf}"9%i5kauc\gjsi|!9"h6jnt`]`kphs =#o7io{a^alqkr/= n0hlzn_bmvjq.1!m1omyoPcnwmp969n2njxlQlotlw83<76>1ondzjrs48`lh/8 <0hd`'1(58`lh/99#<7iga(03*3>bnf!;9%:5kio*27,1<l`d#=9'8;ekm,43.?2nbb%?9)69gmk.6? =0hd`'19+4?aoi 83":6jfn)0*3>bnf!8;%:5kio*15,1<l`d#>?'8;ekm,75.?2nbb%<;)69gmk.5= =0hd`'27+4?aoi ;=";6jfn)0;-2=cag"95$84dhl+7,1<l`d#?='8;ekm,67.?2nbb%==)69gmk.4; =0hd`'35+4?aoi :?":6jfn)6*2>bnf!?":6jfn)4*2>bnf!=":6jfn):*2>bnf!3":6jfn=2=3>bnf5;;2:5kio>25;1<l`d7=?08;ekm8459?2nbb1?;>69gmk:6=7=0hd`317<4?aoi48=5;6jfn=3;:2=cag6:5384dhl?5;1<l`d7>=08;ekm8779?2nbb1<=>69gmk:5;7=0hd`325<4?aoi4;?5;6jfn=05:2=cag69;394dhl?6=803mce0?716:fjj949?2nbb1=?>69gmk:497=0hd`333<4?aoi4:95;6jfn=17:<=cag6897>17:fjj9526?1oec2<>79gmk:36?1oec2:>79gmk:16?1oec28>79gmk:?6?1oec26>69gkpr/8 =0hb{{(0+;?air|!;;%55kotv+54/?3me~x%?=)99gkpr/9:#37iazt)37-==cg|~#=8'7;emvp-71!11ocxz'16+;?air|!;3%55kotv+5</03me~x%<&8:flqq.58 20hb{{(33*<>bh}}"9>$64dnww,75.02ndyy&=4(:8`jss ;?"46j`uu*12,><lf$?9&8:flqq.50 20hb{{(3;*3>bh}}"8%55kotv+75/?3me~x%=>)99gkpr/;;#37iazt)10-==cg|~#?9'7;emvp-52!>1ocxz'4(58`jss <#<7iazt)4*3>bh}}"<%:5kotv+<,1<lf$4'8;emvp96902ndyy2>0?:8`jss48;546j`uu>26;><lf0<=18:flqq:6<720hb{{<07=<>bh}}6::364dnww841902ndyy2>8?:8`jss4835;6j`uu>2:==cg|~7>=07;emvp946611ocxz323<;?air|588255kotv?618?3me~x1<:>99gkpr;:?437iazt=04:==cg|~7>507;emvp94>6>1ocxz32?:8`jss4::546j`uu>05;><lf0><18:flqq:4;720hb{{<26=e>bh}}6897>18:flqq:4=7=0hb{{<2<4?air|5>5;6j`uu>6:2=cg|~7:394dnww82803me~x1617:flqq:>611nhdh=nff6?`kw|p997kgio^efj`tf|fxTz9Q<,!Zjhlh(JEYI-Ijndpbpjt'99$:"==>4:djbjYdgrT:9v<6^0`hn553ocmcRvcny]50}51W;igg!hffn]dakcui}eyS{:P3-LLJ@*IGGO8ni5iigm\|ihW?>s?;Q=cmi/bl`hWnoeio{os]u0Z5+sjUcm~Qfnu]`hn;7$jUcm~Q|rrv>4)eXzmUm~h21-a\lduXzmUomyoPcnwmp87+kVnbbRyfduj>73*dWakxSz|Pd`vb[firf}7: nQiumn\big`{VxxxRxnl<3/gZojxeoficznthmm[qwm48'oR{|e^gnf`bcmmUyij3?,b]tvZ`umx7: nQzsd]gkprXzz~6=!mPuoffvcjhVfd{0>#c^jbwZiqm{lgcz3?,b]sv`jhimUgmykacx?3(fYcg|~T{dj{h<15(fYj{neeS{oc=0.`[wbXllzd08;,b]kevYrfmoyjaax=1.`[mgtWhffc~zPftno95*dWakxS}{=0.`[agsiVidyczPwhfwl81+kVbjRy}_`ah95*dW|ynShml=1.`[fijefdToaalk<2/gZquWhi`Saax=1.`[wbXxg~ySzgkti?5(fYr{lUm{kzPd`vb[firf}7: nQgar]bhhit|Vof|yw20-a\vaYci}kTob{at^uj`qn:?%iTc{k}fmmt[iip59&hSz|Ppovq[roc|a7= nQrne\ahvsqV~r|h3?,b]tvZbf|hUhcx`{_vkgpm;0$jU{~hb`ae]qabu:9%iThb{{_sqw[sgk59&hSeo|_ecweZeh}g~6<!mPpsmd[cskdV~c~h}g_ogdeqcX~hf6:?"l_vp\``vs`4<? nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUdk|h^cpw`tsWkg19"l_icp[sgkam7; nQrne\bpjkW}byi~fParqfvqYqie7=?!m`mqmmakrXfhgn1kgio^zoj}Y1<q9=S?mck-a\rdjnlVfd{0>#c^rqmhYaaoeTxt~j=431|60+kVzycjQiumn\p|vb59&hS`kbos{\p|vb5;&v>h5iigm\|ihW?>s?;Q=cmi\bl`hWnoeio{os]u0Z5Xpz~T=95iumn4?lhsWjf`46`hccwjha><goyjaaxa:rqkbYbey~r=95rne\ahvsqV~c~h}g(1+20>vugnUna}zv_ujqavn/9 ;?7}|`g^gntqX|axne&=)068twi`Wlg{xtQ{hsgpl-5.9=1{~biPelrw}Zrozlyc$9'>4:rqkbYbey~rSyf}erj+1,713yxdkRkbpu{\pmtb{a6>6=0>b:rqkbYbey~rSyf}erj\evubz}";%<l4psmd[`kw|pUdk|h^cpw`ts 8#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|ah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShctx]wlwct`Vkxh|{(4+2`>vugnUna}zv_ujqavnXizyn~y2::1<2f>vugnUna}zv_ujqavnXflmjxh&?)0`8twi`Wlg{xtQ{hsgplZhboh~n$<'>b:rqkbYbey~rSyf}erj\j`af|l"9%<l4psmd[`kw|pUdk|h^lfcdrb :#:n6~}of]fiur~W}byi~fPndebp`.3!8h0|ah_dosp|Ys`{oxdR`jg`vf,0/6l2zycjQjmqvz[qnumzbTbhintd>6>58>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?<;qplcZ`rdeUdk|h)0*56=wzfmTjxbc_ujqavn/; ;87}|`g^dvhiYs`{oxd%:&129svjaXn|fgSyf}erj+1,723yxdkRhzlm]wlwct`5?1<3?n;qplcZ`rdeUdk|h^cpw`ts 9#:m6~}of]eqijX|axneQnsrgqp-7.9h1{~biPftno[qnumzbTm~}jru*1-4g<x{elSk{cl^vkv`uoWhyxiz'3(3b?uthoVl~`aQ{hsgplZgt{lx$9'>a:rqkbYa}efTxe|jsi]bwvcu|!?"=n5rne\bpjkW}byi~fParqfvq:2294:m6~}of]eqijX|axneQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4g<x{elSk{cl^vkv`uoWgolmyk'2(3b?uthoVl~`aQ{hsgplZhboh~n$>'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8i0|ah_gwohZrozlycSckhaug?1?69>2xoSnbd119q`Zbf|hUhcx`{(1+24>tcWmkmRm`uov+5,773{nThlzn_bmvjq.5!8:0~iQkauc\gjsi|!9"==5}d^fbpdYdg|d$9'>0:pg[agsiVidycz'5(33?wbXlh~jSnaznu*5-46<zmUomyoPcnwmp969991yhRjnt`]`kphs484:<6|k_ecweZeh}g~7>3??;sf\`drfWje~by2<>028vaYci}kTob{at=6=55=ulVnjxlQlotlw8086:2xoSio{a^alqkr;>3:5==5}d^fbpdYdg|d0;0:;sf\ak0<zmUyy=4rrv4?vdn|lxy86}}su68pwsb12ehh|ilnu6?sgkam<0{Qncj48swYddb;;7z|Pd`vb[firf}";%<>4ws]geqgXkfex%?&119tvZbf|hUhcx`{(3+24>quWmkmRm`uov+7,773~xThlzn_bmvjq.3!8:0{Qkauc\gjsi|!?"==5xr^fbpdYdg|d$;'>0:uq[agsiVidycz30?33?rtXlh~jSnaznu>2:46<{UomyoPcnwmp949991|~Rjnt`]`kphs4:4:<6y}_ecweZeh}g~783??;vp\`drfWje~by2:>008swYci}kTob{at=494;773~xThlzn_bmvjq:16<1|~Rka6:uq[wusuIJ{=;6k;AB{5?@=<3;p_9:55229<?74:0::;7<n0egxj1>c281e85k54:&7<g<30<1v_9<55229<?74:0::;7<n0eg8W37==:31<7?<28223?4f8ml0_9<552;94?74:0::;7<n0d28`054290:6<u\458675<?28995=?8:3c3``=q\mh1<7?51;06b~U3<3?8<765120:441=:h:oi6*;83856>P30j09wx8k:09v2`<73t.o87?=;c707?6==m0868jtH5:3?_5e2=q997<;:03955<z,mn19>=4$5:b>0553`?<;7>5;n7;3?6=,m<195k4ne794>=h=1<1<7*k6;7;a>hc=3;07b;75;29 a0==1o0bi;52:9l1=2=83.o:7;7e:lg1?5<3f?3?7>5$e491=c<fm?1865`59094?"c>3?3i6`k5;78?j3?93:1(i8559g8ja3=>21d9;k50;&g2?30=2do97>4;n75`?6=,m<19:;4ne795>=h=?i1<7*k6;741>hc=3807b;9b;29 a0==>?0bi;53:9l13g=83.o:7;85:lg1?2<3f?=57>5$e49123<fm?1965`57:94?"c>3?<96`k5;48?l3>83:17b;<7;29?j3493:17d;70;29?l3013:17b;?4;29 a0==;=0bi;50:9l155=83.o:7;=7:lg1?7<3f?;>7>5$e49171<fm?1>65`51394?"c>3?9;6`k5;18?j3783:1(i855358ja3=<21d8kh50;&g2?35?2do97;4;n6e`?6=,m<19?94ne792>=h<oi1<7*k6;713>hc=3=07b:ib;29 a0==;=0bi;58:9l0cg=83.o:7;=7:lg1??<3f>m57>5$e49171<fm?1m65`4g:94?"c>3?9;6`k5;`8?j2a?3:1(i855358ja3=k21d8k850;&g2?35?2do97j4;n6e1?6=,m<19?94ne79a>=h<o>1<7*k6;713>hc=3l07b:i2;29 a0==;=0bi;51198k1`6290/h;4:269m`0<6921d8k>50;&g2?35?2do97?=;:m7ac<72-n=68<8;of6>45<3f>ni7>5$e49171<fm?1=954o5gg>5<#l?0>>:5ad4821>=h<li1<7*k6;713>hc=3;=76a;ec83>!b12<8<7cj::058?j2bi3:1(i855358ja3=9110c9k6:18'`3<2:>1eh84>9:9l15g=83.o:7;=7:lg1?7f32e><44?:%f5>0403gn>6<l4;n73<?6=,m<19?94ne795f=<g<:<6=4+d78662=il<0:h65`51494?"c>3?9;6`k5;3f?>i28<0;6)j9:404?kb228l07b:ie;29 a0==;=0bi;52198k1`4290/h;4:269m`0<5921d8h650;&g2?35?2do97<=;:m7a2<72-n=68<8;of6>75<3`>in7>5$e490ac<fm?1<65f4cc94?"c>3>oi6`k5;38?l2e13:1(i854eg8ja3=:21b8o650;&g2?2cm2do97=4;h6a3?6=,m<18ik4ne790>=n<k<1<7*k6;6ga>hc=3?07d:m4;29 a0=<mo0bi;56:9j0g5=83.o:7:ke:lg1?1<3`>i>7>5$e490ac<fm?1465f4c394?"c>3>oi6`k5;;8?l2e83:1(i854eg8ja3=i21b8lh50;&g2?2cm2do97l4;h6ba?6=,m<18ik4ne79g>=n<hn1<7*k6;6ga>hc=3n07d:nc;29 a0=<mo0bi;5e:9j0dd=83.o:7:ke:lg1?`<3`>j57>5$e490ac<fm?1==54i5c;>5<#l?0?hh5ad4825>=n<h=1<7*k6;6ga>hc=3;976g;a783>!b12=nn7cj::018?l2f=3:1(i854eg8ja3=9=10e9o;:18'`3<3ll1eh84>5:9j0d5=83.o:7:ke:lg1?7132c?m?4?:%f5>1bb3gn>6<94;h6b5?6=,m<18ik4ne795==<a=k;6=4+d787``=il<0:565f4b394?"c>3>oi6`k5;3b?>o3k90;6)j9:5ff?kb228h07d:mf;29 a0=<mo0bi;51b98m1db290/h;4;dd9m`0<6l21b8oj50;&g2?2cm2do97?j;:k7ff<72-n=69jj;of6>4`<3`>i97>5$e490ac<fm?1>=54i5cb>5<#l?0?hh5ad4815>=n<0l1<7*k6;6ga>hc=38976g;9d83>!b12=nn7cj::318?l31?3:17o:71;295?6=8rB?4=5+de87<4=hl:0;66sm5783>4<729qC85>4$ef913=h=<0;66sm3`83><?=::91>8htH5:3?_5e28<p=44=5;07>4b=::0:n7?>:0:955<6k3;j6?=524825?432831==4>d;3`>4d=9h0:47s+de8673=#=l0>86*<f;700>"3;3?896*lf;f1?l3003:17b;:1;29?l30j3:17b:7f;29?j30m3:17d:62;29?l3303:1(i8555;8ja3=821b99950;&g2?3312do97?4;h772?6=,m<19974ne796>=n==?1<7*k6;77=>hc=3907d;;4;29 a0===30bi;54:9j115=83.o:7;;9:lg1?3<3`?<;7>5;n70e?6=3f?3;7>5$e491=c<fm?1<65`59494?"c>3?3i6`k5;38?j3?=3:1(i8559g8ja3=:21d95:50;&g2?3?m2do97=4;n7;7?6=,m<195k4ne790>=h=181<7*k6;7;a>hc=3?07b;71;29 a0==1o0bi;56:9l13c=83.o:7;85:lg1?6<3f?=h7>5$e49123<fm?1=65`57a94?"c>3?<96`k5;08?j31j3:1(i855678ja3=;21d9;o50;&g2?30=2do97:4;n75=?6=,m<19:;4ne791>=h=?21<7*k6;741>hc=3<07d;95;29 a0==?<0bi;50:9j132=83.o:7;96:lg1?7<3`?=?7>5$e49130<fm?1>65f57094?"c>3?=:6`k5;18?l3193:1(i855748ja3=<21b9;>50;&g2?31>2do97;4;h7:4?6=3f>2m7>5$e490<e<fm?1<65`48;94?"c>3>2o6`k5;38?j2>03:1(i8548a8ja3=:21d84950;&g2?2>k2do97=4;n6:2?6=,m<184m4ne790>=h<0?1<7*k6;6:g>hc=3?07b:64;29 a0=<0i0bi;56:9l161=831d9>?50;9j101=83.o:7;:8:lg1?6<3`?>:7>5$e4910><fm?1=65f54794?"c>3?>46`k5;08?l32<3:1(i8554:8ja3=;21b98=50;&g2?3202do97:4;h766?6=,m<19864ne791>=n=1:1<75f52:94?=n<091<75f58394?=h=>k1<75`56d94?=h<0:1<75`56f94?=n=>31<75`51694?"c>3?9;6`k5;28?j37;3:1(i855358ja3=921d9=<50;&g2?35?2do97<4;n735?6=,m<19?94ne797>=h=9:1<7*k6;713>hc=3>07b:if;29 a0==;=0bi;55:9l0cb=83.o:7;=7:lg1?0<3f>mo7>5$e49171<fm?1;65`4g`94?"c>3?9;6`k5;:8?j2ai3:1(i855358ja3=121d8k750;&g2?35?2do97o4;n6e<?6=,m<19?94ne79f>=h<o=1<7*k6;713>hc=3i07b:i6;29 a0==;=0bi;5d:9l0c3=83.o:7;=7:lg1?c<3f>m87>5$e49171<fm?1j65`4g094?"c>3?9;6`k5;33?>i3n80;6)j9:404?kb228;07b:i0;29 a0==;=0bi;51398k1ca290/h;4:269m`0<6;21d8hk50;&g2?35?2do97?;;:m7aa<72-n=68<8;of6>43<3f>no7>5$e49171<fm?1=;54o5ga>5<#l?0>>:5ad4823>=h<lk1<7*k6;713>hc=3;376a;e883>!b12<8<7cj::0;8?j37i3:1(i855358ja3=9h10c8>6:18'`3<2:>1eh84>b:9l15>=83.o:7;=7:lg1?7d32e><:4?:%f5>0403gn>6<j4;n732?6=,m<19?94ne795`=<g<:>6=4+d78662=il<0:j65`4gg94?"c>3?9;6`k5;03?>i3n:0;6)j9:404?kb22;;07b:j8;29 a0==;=0bi;52398k1c0290/h;4:269m`0<5;21b99?50;&g2?33:2do97>4;h774?6=,m<199<4ne795>=n=:l1<7*k6;776>hc=3807d;<e;29 a0===80bi;53:9j16b=83.o:7;;2:lg1?2<3`?8o7>5$e49114<fm?1965f4c`94?"c>3>oi6`k5;28?l2ei3:1(i854eg8ja3=921b8o750;&g2?2cm2do97<4;h6a<?6=,m<18ik4ne797>=n<k=1<7*k6;6ga>hc=3>07d:m6;29 a0=<mo0bi;55:9j0g2=83.o:7:ke:lg1?0<3`>i?7>5$e490ac<fm?1;65f4c094?"c>3>oi6`k5;:8?l2e93:1(i854eg8ja3=121b8o>50;&g2?2cm2do97o4;h6bb?6=,m<18ik4ne79f>=n<ho1<7*k6;6ga>hc=3i07d:nd;29 a0=<mo0bi;5d:9j0de=83.o:7:ke:lg1?c<3`>jn7>5$e490ac<fm?1j65f4`;94?"c>3>oi6`k5;33?>o3i10;6)j9:5ff?kb228;07d:n7;29 a0=<mo0bi;51398m1g1290/h;4;dd9m`0<6;21b8l;50;&g2?2cm2do97?;;:k7e1<72-n=69jj;of6>43<3`>j?7>5$e490ac<fm?1=;54i5c1>5<#l?0?hh5ad4823>=n<h;1<7*k6;6ga>hc=3;376g;a183>!b12=nn7cj::0;8?l2d93:1(i854eg8ja3=9h10e9m?:18'`3<3ll1eh84>b:9j0g`=83.o:7:ke:lg1?7d32c?nh4?:%f5>1bb3gn>6<j4;h6a`?6=,m<18ik4ne795`=<a=hh6=4+d787``=il<0:j65f4c794?"c>3>oi6`k5;03?>o3ih0;6)j9:5ff?kb22;;07d:6f;29 a0=<mo0bi;52398m1?b290/h;4;dd9m`0<5;21b84?50;9j10c=83.o:7;:f:lg1?6<3`?>h7>5$e4910`<fm?1=65f54a94?"c>3?>j6`k5;08?l32j3:1(i8554d8ja3=;21b98o50;&g2?32n2do97:4;h76=?6=,m<198h4ne791>=n==l1<7*k6;764>hc=3:07d;;e;29 a0==<:0bi;51:9j11b=83.o:7;:0:lg1?4<3`??o7>5$e49106<fm?1?65f55`94?"c>3?><6`k5;68?l33i3:1(i855428ja3==21d9>l50;9l0<b=831b9;950;9a0=>=83;1<7>t$ef913=O<1=0D96?;n76>5<<uk>357>51;294~"cl3>3=6F;869K0=6<gm91<75rb54g>5<4290;w)jk:5f8L1>03A>3<6F<9:&5e?30k2.>o7<4i2a94?=n<<0;66ak8;29?xd3?10;6>4?:1y'`a<3l2B?4:5G4928L6?<,?k19:m4$4a96>o4k3:17d:::188ka>=831vn98l:180>5<7s-no69j4H5:4?M2?82B856*9a;74g>"2k380e>m50;9j00<722eo47>5;|`733<72<0;6=u+de866>N30>1C85>4H2;8 3g==>i0(8m52:k0g?6=3`9n6=44i5794?=nl>0;66ak8;29?xd3?>0;6>4?:1y'`a<3l2B?4:5G4928L6?<,?k19:m4$4a96>o4k3:17d:::188ka>=831vn98m:186>5<7s-no68<4H5:4?M2?82B856*9a;74g>"2k380e>m50;9j7`<722c?97>5;hf4>5<<gm21<75rb556>5<3290;w)jk:438L1>03A>3<6*:c;08m6e=831b884?::kg3?6=3fn36=44}c640?6=<3:1<v*kd;72?M2??2B?4=5+5b81?l5d2900e9;50;9j`2<722eo47>5;|`736<72=0;6=u+de865>N30>1C85>4$4a96>o4k3:17d:::188ma1=831dh54?::a02c=83>1<7>t$ef914=O<1=0D96?;%7`>7=n;j0;66g;5;29?lb02900ci650;9~f11c290?6=4?{%fg>07<@=2<7E:70:&6g?4<a:i1<75f4483>>oc?3:17bj7:188yg7f;3:187>50z&g`?363A>3;6F;819'1f<03`9h6=44i5794?=nl>0;66ak8;29?xd6?o0;684?:1y'`a<282B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75fd683>>ic03:17pl>8183>0<729q/hi4:0:J7<2=O<1:0(8m52:k0g?6=3`>:6=44i5794?=nl>0;66ak8;29?xd6080;684?:1y'`a<282B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75fd683>>ic03:17pl>8383>0<729q/hi4:0:J7<2=O<1:0(8m52:k0g?6=3`>:6=44i5794?=nl>0;66ak8;29?xd60:0;684?:1y'`a<282B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75fd683>>ic03:17pl>8583>0<729q/hi4:0:J7<2=O<1:0(8m52:k0g?6=3`>:6=44i5794?=nl>0;66ak8;29?xd60<0;684?:1y'`a<282B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75fd683>>ic03:17pl>cg83>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l80;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl>d283>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l<0;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl>d983>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l00;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl>db83>1<729q/hi4:1:J7<2=O<1:0(8m52:k0g?6=3`>>6=44ie594?=hl10;66sm1e`94?2=83:p(ij5509K0=1<@=2;7);l:39j7f<722c?97>5;hf4>5<<gm21<75rb326>5<3290;w)jk:5g8L1>03A>3<6*:c;08m6e=831b8<4?::k71?6=3fn36=44}c032?6=<3:1<v*kd;6f?M2??2B?4=5+5b81?l5d2900e9?50;9j00<722eo47>5;|`142<72=0;6=u+de87a>N30>1C85>4$4a96>o4k3:17d:>:188m13=831dh54?::a65>=83>1<7>t$ef90`=O<1=0D96?;%7`>7=n;j0;66g;1;29?l222900ci650;9~f76>290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg47i3:187>50z&g`?2b3A>3;6F;819'1f<53`9h6=44i5394?=n<<0;66ak8;29?xd58k0;694?:1y'`a<3m2B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75`d983>>{e9j91<7;50;2x ab==;1C8594H5:3?!3d2>1b?n4?::k0a?6=3`>>6=44ie594?=hl10;66sm1b694?3=83:p(ij54g9K0=1<@=2;7);l:39j7f<722c8i7>5;h62>5<<a=?1<75`d983>>{e9j?1<7;50;2x ab=<o1C8594H5:3?!3d2;1b?n4?::k0a?6=3`>:6=44i5794?=hl10;66sm1b:94?3=83:p(ij54g9K0=1<@=2;7);l:39j7f<722c8i7>5;h62>5<<a=?1<75`d983>>{e9j<1<7;50;2x ab=<o1C8594H5:3?!3d2;1b?n4?::k0a?6=3`>:6=44i5794?=hl10;66sm1b594?3=83:p(ij54g9K0=1<@=2;7);l:39j7f<722c8i7>5;h62>5<<a=?1<75`d983>>{e9>81<7=50;2x ab=>:1C8594H5:3?!3d2;l0e8650;9j1<<722eo=7>5;|`22a<72=0;6=u+de85f>N30>1C85>4i5294?=n<?0;66gka;29?jb62900qo?88;297?6=8r.oh7:76:J7<2=O<1:0e9>50;9j1c<722eo=7>5;|`236<72:0;6=u+de857>N30>1C85>4$4a96c=n=10;66g:9;29?jb62900qo?9e;290?6=8r.oh78m;I6;3>N3091b8=4?::k72?6=3`nj6=44oe394?=zj8=26=4<:183!bc2=2=7E:77:J7<5=n<90;66g:f;29?jb62900qo?84;297?6=8r.oh78<;I6;3>N3091/9n4=f:k6<?6=3`?26=44oe394?=zj8<m6=4;:183!bc2?h0D968;I6;4>o383:17d:9:188mag=831dh<4?::a52g=8391<7>t$ef90=0<@=2<7E:70:k74?6=3`?m6=44oe394?=zj8=>6=4<:183!bc2?90D968;I6;4>"2k38m7d;7:188m0?=831dh<4?::a526=83>1<7>t$ef92g=O<1=0D96?;h63>5<<a=<1<75fd`83>>ic93:17pl>7c83>6<729q/hi4;879K0=1<@=2;7d:?:188m0`=831dh<4?::a520=8391<7>t$ef926=O<1=0D96?;%7`>7`<a<21<75f5883>>ic93:17pl>7083>1<729q/hi49b:J7<2=O<1:0e9>50;9j03<722com7>5;nf2>5<<uk;<o7>53;294~"cl3>3:6F;869K0=6<a=:1<75f5g83>>ic93:17pl>7683>6<729q/hi493:J7<2=O<1:0(8m52g9j1=<722c>57>5;nf2>5<<uk;<h7>53;294~"cl3>3:6F;869K0=6<a=:1<75f5g83>>ic93:17pl>f983>6<729q/hi493:J7<2=O<1:0(8m52g9j1=<722c>57>5;nf2>5<<uk;m?7>54;294~"cl3<i7E:77:J7<5=n<90;66g;6;29?lbf2900ci?50;9~f4`b29086=4?{%fg>1>13A>3;6F;819j05<722c>j7>5;nf2>5<<uk;m57>53;294~"cl3<87E:77:J7<5=#=j09j6g:8;29?l3>2900ci?50;9~f4`3290?6=4?{%fg>3d<@=2<7E:70:k74?6=3`>=6=44iec94?=hl80;66sm1gd94?5=83:p(ij54948L1>03A>3<6g;0;29?l3a2900ci?50;9~f4`f29086=4?{%fg>35<@=2<7E:70:&6g?4a3`?36=44i4;94?=hl80;66sm1g794?2=83:p(ij56c9K0=1<@=2;7d:?:188m10=831bhl4?::mg5?6=3th9<=4?:283>5}#lm0?4;5G4958L1>73`>;6=44i4d94?=hl80;66sm1g`94?5=83:p(ij5629K0=1<@=2;7);l:3d8m0>=831b944?::mg5?6=3th:j;4?:583>5}#lm0=n6F;869K0=6<a=:1<75f4783>>oci3:17bj>:188yg4793:1?7>50z&g`?2?>2B?4:5G4928m16=831b9k4?::mg5?6=3th:jn4?:283>5}#lm0=?6F;869K0=6<,<i1>k5f5983>>o213:17bj>:188yg7a?3:187>50z&g`?0e3A>3;6F;819j05<722c?:7>5;hfb>5<<gm;1<75rb321>5<4290;w)jk:5:5?M2??2B?4=5f4183>>o2n3:17bj>:188yg7al3:1?7>50z&g`?043A>3;6F;819'1f<5n2c>47>5;h7:>5<<gm;1<75rb320>5<4290;w)jk:5:5?M2??2B?4=5f4183>>o2n3:17bj>:188yg`4290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg`5290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg`6290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg`7290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188ygca290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188ygcb290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg74:3:187>50z&g`?2b3A>3;6F;819'1f<53`9h6=44i5394?=n<<0;66ak8;29?xd6;80;694?:1y'`a<3m2B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75`d983>>{e9::1<7:50;2x ab=<l1C8594H5:3?!3d2;1b?n4?::k75?6=3`>>6=44oe:94?=zj88m6=4;:183!bc2=o0D968;I6;4>"2k380e>m50;9j04<722c?97>5;nf;>5<<uk;9i7>54;294~"cl3>n7E:77:J7<5=#=j097d=l:188m17=831b884?::mg<?6=3th:>i4?:583>5}#lm0?i6F;869K0=6<,<i1>6g<c;29?l262900e9;50;9l`=<722wi=;>50;694?6|,mn18h5G4958L1>73-?h6?5f3b83>>o393:17d:::188ka>=831vn<;i:187>5<7s-no69k4H5:4?M2?82.>o7<4i2a94?=n<80;66g;5;29?jb?2900qo?:e;290?6=8r.oh7:j;I6;3>N3091/9n4=;h1`>5<<a=;1<75f4483>>ic03:17pl>5e83>1<729q/hi4;e:J7<2=O<1:0(8m52:k0g?6=3`>:6=44i5794?=hl10;66sm14a94?2=83:p(ij54d9K0=1<@=2;7);l:39j7f<722c?=7>5;h66>5<<gm21<75rb07a>5<3290;w)jk:5g8L1>03A>3<6*:c;08m6e=831b8<4?::k71?6=3fn36=44}c315?6=<3:1<v*kd;6f?M2??2B?4=5+5b81?l5d2900e9?50;9j00<722eo47>5;|`265<72=0;6=u+de87a>N30>1C85>4$4a96>o4k3:17d:>:188m13=831dh54?::a54`=83>1<7>t$ef90`=O<1=0D96?;%7`>7=n;j0;66g;1;29?l222900ci650;9~f47b290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg76l3:187>50z&g`?2b3A>3;6F;819'1f<53`9h6=44i5394?=n<<0;66ak8;29?xd69j0;694?:1y'`a<3m2B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75`d983>>{e9<>1<7:50;2x ab=<l1C8594H5:3?M5>3-<j689l;%7`>7=n;j0;66g;1;29?l222900ci650;9~f434290?6=4?{%fg>1c<@=2<7E:70:J0=>"1i3?<o6*:c;08m6e=831b8<4?::k71?6=3fn36=44}c366?6=<3:1<v*kd;6f?M2??2B?4=5G389'2d<2?j1/9n4=;h1`>5<<a=;1<75f4483>>ic03:17pl>5083>1<729q/hi4;e:J7<2=O<1:0D>74$7c912e<,<i1>6g<c;29?l262900e9;50;9l`=<722wi=8>50;694?6|,mn18h5G4958L1>73A927)8n:45`?!3d2;1b?n4?::k75?6=3`>>6=44oe:94?=zj8>m6=4;:183!bc2=o0D968;I6;4>N412.=m7;8c:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg76=3:187>50z&g`?2b3A>3;6F;819K7<=#>h0>;n5+5b81?l5d2900e9?50;9j00<722eo47>5;|`251<72=0;6=u+de87a>N30>1C85>4H2;8 3g==>i0(8m52:k0g?6=3`>:6=44i5794?=hl10;66sm10194?2=83:p(ij54d9K0=1<@=2;7E=6;%4b>01d3-?h6?5f3b83>>o393:17d:::188ka>=831vn<?=:187>5<7s-no69k4H5:4?M2?82B856*9a;74g>"2k380e>m50;9j04<722c?97>5;nf;>5<<uk;:=7>54;294~"cl3>n7E:77:J7<5=O;01/:l4:7b9'1f<53`9h6=44i5394?=n<<0;66ak8;29?xd6990;694?:1y'`a<3m2B?4:5G4928L6?<,?k19:m4$4a96>o4k3:17d:>:188m13=831dh54?::a55?=83>1<7>t$ef90`=O<1=0D96?;%7`>7=n;j0;66g;1;29?l222900ci650;9~f46?290?6=4?{%fg>1c<@=2<7E:70:&6g?4<a:i1<75f4083>>o3=3:17bj7:188yg77?3:187>50z&g`?2b3A>3;6F;819'1f<53`9h6=44i5394?=n<<0;66ak8;29?xd68?0;694?:1y'`a<3m2B?4:5G4928 0e=:2c8o7>5;h62>5<<a=?1<75`d983>>{e99?1<7:50;2x ab=<l1C8594H5:3?!3d2;1b?n4?::k75?6=3`>>6=44oe:94?=zj8:?6=4;:183!bc2=o0D968;I6;4>"2k380e>m50;9j04<722c?97>5;nf;>5<<uk;?47>54;294~"cl3>n7E:77:J7<5=#=j097d=l:188m17=831b884?::mg<?6=3th:8:4?:583>5}#lm0?i6F;869K0=6<,<i1>6g<c;29?l262900e9;50;9l`=<722wi=9850;694?6|,mn18h5G4958L1>73-?h6?5f3b83>>o393:17d:::188ka>=831vn<:::187>5<7s-no69k4H5:4?M2?82.>o7<4i2a94?=n<80;66g;5;29?jb?2900qo?;4;290?6=8r.oh7:j;I6;3>N3091/9n4=;h1`>5<<a=;1<75f4483>>ic03:17pl>4283>1<729q/hi4;e:J7<2=O<1:0(8m52:k0g?6=3`>:6=44i5794?=hl10;66sm18`94?2=83:p(ij54d9K0=1<@=2;7);l:39j7f<722c?=7>5;h66>5<<gm21<75rb0;;>5<3290;w)jk:5g8L1>03A>3<6*:c;08m6e=831b8<4?::k71?6=3fn36=44}c3b6?6=<3:1<v*kd;6f?M2??2B?4=5+5b81?l5d2900e9?50;9j00<722eo47>5;|`2=c<72=0;6=u+de865>N30>1C85>4$4a93>o4k3:17d:::188ma1=831dh54?::a5<c=83>1<7>t$ef914=O<1=0D96?;%7`>2=n;j0;66g;5;29?lb02900ci650;9~f74e290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?<n:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f74d290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?<7:186>5<7s-no68<4H5:4?M2?82.>o794i2a94?=n;l0;66g;5;29?lb02900ci650;9~f740290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?<6:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f752290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?=<:186>5<7s-no68<4H5:4?M2?82.>o794i2a94?=n;l0;66g;5;29?lb02900ci650;9~f753290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?=7:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f751290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?=8:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f72?290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?:8:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f722290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?:<:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f726290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn?=i:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6b2290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>j9:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6c6290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>kl:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6`4290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>h;:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6`2290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>h9:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6`0290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>h7:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6b0290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>j7:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6b>290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>jn:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6be290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>jl:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6bc290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>jj:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6ba290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>k?:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6c5290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>k<:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6c3290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>k::186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6c1290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>k8:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6c?290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>k6:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6cf290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>km:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6cc290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>kj:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6ca290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>h?:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f6`6290>6=4?{%fg>1`<@=2<7E:70:&6g?4<a:i1<75f3d83>>o393:17d:::188ka>=831vn>h=:186>5<7s-no69h4H5:4?M2?82.>o7<4i2a94?=n;l0;66g;1;29?l222900ci650;9~f7g2290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb34g>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?m9:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;h;6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7de290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3g4>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?j>:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;nh6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f71>290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3d1>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?8j:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;3j6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7>3290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:e>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?o=:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;k;6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7g6290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3c5>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?o<:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;k?6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7g0290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3c;>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?om:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;k26=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7gf290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3cf>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?ol:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;ko6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7d5290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3ce>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?l>:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;h>6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7d4290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3`7>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?l7:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;h=6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7d0290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3``>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?l6:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;hj6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7da290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3`g>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?lj:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;i;6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7e6290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3a7>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?m=:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;i86=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7e?290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3a6>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?m8:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;ii6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7e>290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3ab>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?mj:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;ih6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7ec290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3f1>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?mi:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;n;6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7b2290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3f0>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?j;:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;n36=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7b1290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3f4>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?j6:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;nj6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7bb290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3fa>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?jk:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;o:6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7ba290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3g3>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?k;:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;o96=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7c4290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3g6>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?k9:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;oj6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7c?290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3g:>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?kk:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;oi6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7cd290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3d3>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?kj:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;om6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7`6290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3d0>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?h9:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;l?6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7`2290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3d:>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?h8:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;l36=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7`d290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3db>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?hm:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;=:6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f70a290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb353>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?9;:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;=96=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f714290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb354>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?9::18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;==6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f71e290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb35;>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?9n:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;=h6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f71c290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:3>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?9j:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;=m6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7>4290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:2>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?6=:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;2<6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7>2290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:5>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?67:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;226=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7>d290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:b>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?6m:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;3;6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7>c290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3:f>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?7<:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;3:6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7?5290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3;7>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?7::18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;336=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7?1290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3;4>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?7l:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;326=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f7?e290o6=4?{%fg>a?<@=2<7E:70:k01?6=3`9=6=44i2594?=n;10;66g;5;29?l2?2900e9750;9j0d<722c?n7>5;h6;7?6=3`>387>5;nf`>5<<g==1<75rb3;e>5<c290;w)jk:e;8L1>03A>3<6g<5;29?l512900e>950;9j7=<722c?97>5;h6;>5<<a=31<75f4`83>>o3j3:17d:73;29?l2?<3:17bjl:188k11=831vn?7k:18g>5<7s-no6i74H5:4?M2?82c897>5;h15>5<<a:=1<75f3983>>o3=3:17d:7:188m1?=831b8l4?::k7f?6=3`>3?7>5;h6;0?6=3fnh6=44o5594?=zj;3n6=4k:183!bc2m30D968;I6;4>o4=3:17d=9:188m61=831b?54?::k71?6=3`>36=44i5;94?=n<h0;66g;b;29?l2?;3:17d:74;29?jbd2900c9950;9~f170290?6=4?{%fg>3e<@=2<7E:70:k6<?6=3`?26=44iec94?=hl80;66sm40;94?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c62<?6=<3:1<v*kd;46?M2??2B?4=5+5b87g>o203:17d;6:188m0g=831dh<4?::a034=83>1<7>t$ef92f=O<1=0D96?;h7;>5<<a<31<75fd`83>>ic93:17pl;6583>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb540>5<3290;w)jk:778L1>03A>3<6*:c;6`?l3?2900e8750;9j1d<722eo=7>5;|`77=<72=0;6=u+de85g>N30>1C85>4i4:94?=n=00;66gka;29?jb62900qo:<a;290?6=8r.oh78:;I6;3>N3091/9n4;c:k6<?6=3`?26=44i4c94?=hl80;66sm42;94?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c67`?6=<3:1<v*kd;4`?M2??2B?4=5f5983>>o213:17djn:188ka7=831vn9:i:187>5<7s-no6;;4H5:4?M2?82.>o7:l;h7;>5<<a<31<75f5`83>>ic93:17pl;4d83>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb575>5<3290;w)jk:7a8L1>03A>3<6g:8;29?l3>2900eio50;9l`4<722wi88650;694?6|,mn1:85G4958L1>73-?h69m4i4:94?=n=00;66g:a;29?jb62900qo::7;290?6=8r.oh78:;I6;3>N3091/9n4;c:k6<?6=3`?26=44i4c94?=hl80;66sm44294?2=83:p(ij56b9K0=1<@=2;7d;7:188m0?=831bhl4?::mg5?6=3th?9?4?:583>5}#lm0=96F;869K0=6<,<i18n5f5983>>o213:17d;n:188ka7=831vn9;>:187>5<7s-no6;;4H5:4?M2?82.>o7:l;h7;>5<<a<31<75f5`83>>ic93:17pl;5283>1<729q/hi49c:J7<2=O<1:0e8650;9j1<<722com7>5;nf2>5<<uk>>97>54;294~"cl3<>7E:77:J7<5=#=j0?o6g:8;29?l3>2900e8o50;9l`4<722wi88:50;694?6|,mn1:85G4958L1>73-?h69m4i4:94?=n=00;66g:a;29?jb62900qo::9;290?6=8r.oh78l;I6;3>N3091b954?::k6=?6=3`nj6=44oe394?=zj=?i6=4;:183!bc2??0D968;I6;4>"2k3>h7d;7:188m0?=831b9l4?::mg5?6=3th?9l4?:583>5}#lm0=96F;869K0=6<,<i18n5f5983>>o213:17d;n:188ka7=831vn9;l:187>5<7s-no6;m4H5:4?M2?82c>47>5;h7:>5<<amk1<75`d083>>{e<<o1<7:50;2x ab=><1C8594H5:3?!3d2=i0e8650;9j1<<722c>m7>5;nf2>5<<uk>>h7>54;294~"cl3<>7E:77:J7<5=#=j0?o6g:8;29?l3>2900e8o50;9l`4<722wi?km50;694?6|,mn1:n5G4958L1>73`?36=44i4;94?=nlh0;66ak1;29?xd4nl0;694?:1y'`a<1=2B?4:5G4928 0e=<j1b954?::k6=?6=3`?j6=44oe394?=zj:lo6=4;:183!bc2??0D968;I6;4>"2k3>h7d;7:188m0?=831b9l4?::mg5?6=3th?9k4?:583>5}#lm0=o6F;869K0=6<a<21<75f5883>>oci3:17bj>:188yg2193:187>50z&g`?023A>3;6F;819'1f<3k2c>47>5;h7:>5<<a<k1<75`d083>>{e<?:1<7:50;2x ab=><1C8594H5:3?!3d2=i0e8650;9j1<<722c>m7>5;nf2>5<<uk9m57>54;294~"cl3<h7E:77:J7<5=n=10;66g:9;29?lbf2900ci?50;9~f6`e290?6=4?{%fg>33<@=2<7E:70:&6g?2d3`?36=44i4;94?=n=h0;66ak1;29?xd4nh0;694?:1y'`a<1=2B?4:5G4928 0e=<j1b954?::k6=?6=3`?j6=44oe394?=zj:lm6=4;:183!bc2?i0D968;I6;4>o203:17d;6:188mag=831dh<4?::a057=83>1<7>t$ef920=O<1=0D96?;%7`>1e<a<21<75f5883>>o2i3:17bj>:188yg2783:187>50z&g`?023A>3;6F;819'1f<3k2c>47>5;h7:>5<<a<k1<75`d083>>{e<981<7:50;2x ab=>j1C8594H5:3?l3?2900e8750;9j`d<722eo=7>5;|`741<72=0;6=u+de851>N30>1C85>4$4a90f=n=10;66g:9;29?l3f2900ci?50;9~f164290?6=4?{%fg>33<@=2<7E:70:&6g?2d3`?36=44i4;94?=n=h0;66ak1;29?xd38<0;694?:1y'`a<1k2B?4:5G4928m0>=831b944?::kge?6=3fn:6=44}c633?6=<3:1<v*kd;46?M2??2B?4=5+5b87g>o203:17d;6:188m0g=831dh<4?::a050=83>1<7>t$ef920=O<1=0D96?;%7`>1e<a<21<75f5883>>o2i3:17bj>:188yg2703:187>50z&g`?0d3A>3;6F;819j1=<722c>57>5;hfb>5<<gm;1<75rb52b>5<3290;w)jk:778L1>03A>3<6*:c;6`?l3?2900e8750;9j1d<722eo=7>5;|`74<<72=0;6=u+de851>N30>1C85>4$4a90f=n=10;66g:9;29?l3f2900ci?50;9~f16e290?6=4?{%fg>3e<@=2<7E:70:k6<?6=3`?26=44iec94?=hl80;66sm41f94?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c63g?6=<3:1<v*kd;46?M2??2B?4=5+5b87g>o203:17d;6:188m0g=831dh<4?::a05c=83>1<7>t$ef92f=O<1=0D96?;h7;>5<<a<31<75fd`83>>ic93:17pl;1183>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb52e>5<3290;w)jk:778L1>03A>3<6*:c;6`?l3?2900e8750;9j1d<722eo=7>5;|`75d<72=0;6=u+de85g>N30>1C85>4i4:94?=n=00;66gka;29?jb62900qo:>c;290?6=8r.oh78:;I6;3>N3091/9n4;c:k6<?6=3`?26=44i4c94?=hl80;66sm40`94?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c625?6=<3:1<v*kd;4`?M2??2B?4=5f5983>>o213:17djn:188ka7=831vn9?<:187>5<7s-no6;;4H5:4?M2?82.>o7:l;h7;>5<<a<31<75f5`83>>ic93:17pl;1383>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb537>5<3290;w)jk:7a8L1>03A>3<6g:8;29?l3>2900eio50;9l`4<722wi8<850;694?6|,mn1:85G4958L1>73-?h69m4i4:94?=n=00;66g:a;29?jb62900qo:>5;290?6=8r.oh78:;I6;3>N3091/9n4;c:k6<?6=3`?26=44i4c94?=hl80;66sm40f94?2=83:p(ij56b9K0=1<@=2;7d;7:188m0?=831bhl4?::mg5?6=3th?=k4?:583>5}#lm0=96F;869K0=6<,<i18n5f5983>>o213:17d;n:188ka7=831vn9?j:187>5<7s-no6;;4H5:4?M2?82.>o7:l;h7;>5<<a<31<75f5`83>>ic93:17pl;2183>1<729q/hi49c:J7<2=O<1:0e8650;9j1<<722com7>5;nf2>5<<uk>9>7>54;294~"cl3<>7E:77:J7<5=#=j0?o6g:8;29?l3>2900e8o50;9l`4<722wi8??50;694?6|,mn1:85G4958L1>73-?h69m4i4:94?=n=00;66g:a;29?jb62900qo:=3;290?6=8r.oh78l;I6;3>N3091b954?::k6=?6=3`nj6=44oe394?=zj=8>6=4;:183!bc2??0D968;I6;4>"2k3>h7d;7:188m0?=831b9l4?::mg5?6=3th?>94?:583>5}#lm0=96F;869K0=6<,<i18n5f5983>>o213:17d;n:188ka7=831vn9<9:187>5<7s-no6;m4H5:4?M2?82c>47>5;h7:>5<<amk1<75`d083>>{e<;21<7:50;2x ab=><1C8594H5:3?!3d2=i0e8650;9j1<<722c>m7>5;nf2>5<<uk>9;7>54;294~"cl3<>7E:77:J7<5=#=j0?o6g:8;29?l3>2900e8o50;9l`4<722wi8?750;694?6|,mn1:n5G4958L1>73`?36=44i4;94?=nlh0;66ak1;29?xd3:k0;694?:1y'`a<1=2B?4:5G4928 0e=<j1b954?::k6=?6=3`?j6=44oe394?=zj=8j6=4;:183!bc2??0D968;I6;4>"2k3>h7d;7:188m0?=831b9l4?::mg5?6=3th?>n4?:583>5}#lm0=o6F;869K0=6<a<21<75f5883>>oci3:17bj>:188yg25m3:187>50z&g`?023A>3;6F;819'1f<3k2c>47>5;h7:>5<<a<k1<75`d083>>{e<;n1<7:50;2x ab=><1C8594H5:3?!3d2=i0e8650;9j1<<722c>m7>5;nf2>5<<uk>897>54;294~"cl3<h7E:77:J7<5=n=10;66g:9;29?lbf2900ci?50;9~f150290?6=4?{%fg>33<@=2<7E:70:&6g?2d3`?36=44i4;94?=n=h0;66ak1;29?xd3;?0;694?:1y'`a<1=2B?4:5G4928 0e=<j1b954?::k6=?6=3`?j6=44oe394?=zj=8m6=4;:183!bc2?i0D968;I6;4>o203:17d;6:188mag=831dh<4?::a067=83>1<7>t$ef920=O<1=0D96?;%7`>1e<a<21<75f5883>>o2i3:17bj>:188yg2483:187>50z&g`?023A>3;6F;819'1f<3k2c>47>5;h7:>5<<a<k1<75`d083>>{e<:81<7:50;2x ab=>j1C8594H5:3?l3?2900e8750;9j`d<722eo=7>5;|`771<72=0;6=u+de851>N30>1C85>4$4a90f=n=10;66g:9;29?l3f2900ci?50;9~f154290?6=4?{%fg>33<@=2<7E:70:&6g?2d3`?36=44i4;94?=n=h0;66ak1;29?xd3;k0;694?:1y'`a<1k2B?4:5G4928m0>=831b944?::kge?6=3fn:6=44}c60`?6=<3:1<v*kd;46?M2??2B?4=5+5b87g>o203:17d;6:188m0g=831dh<4?::a06e=83>1<7>t$ef920=O<1=0D96?;%7`>1e<a<21<75f5883>>o2i3:17bj>:188yg24m3:187>50z&g`?0d3A>3;6F;819j1=<722c>57>5;hfb>5<<gm;1<75rb563>5<3290;w)jk:778L1>03A>3<6*:c;6`?l3?2900e8750;9j1d<722eo=7>5;|`77c<72=0;6=u+de851>N30>1C85>4$4a90f=n=10;66g:9;29?l3f2900ci?50;9~f126290?6=4?{%fg>3e<@=2<7E:70:k6<?6=3`?26=44iec94?=hl80;66sm45194?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c676?6=<3:1<v*kd;46?M2??2B?4=5+5b87g>o203:17d;6:188m0g=831dh<4?::a012=83>1<7>t$ef92f=O<1=0D96?;h7;>5<<a<31<75fd`83>>ic93:17pl;4783>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb566>5<3290;w)jk:778L1>03A>3<6*:c;6`?l3?2900e8750;9j1d<722eo=7>5;|`702<72=0;6=u+de85g>N30>1C85>4i4:94?=n=00;66gka;29?jb62900qo:;9;290?6=8r.oh78:;I6;3>N3091/9n4;c:k6<?6=3`?26=44i4c94?=hl80;66sm45:94?2=83:p(ij5649K0=1<@=2;7);l:5a8m0>=831b944?::k6e?6=3fn:6=44}c67e?6=<3:1<v*kd;4`?M2??2B?4=5f5983>>o213:17djn:188ka7=831vn9:l:187>5<7s-no6;;4H5:4?M2?82.>o7:l;h7;>5<<a<31<75f5`83>>ic93:17pl;4c83>1<729q/hi495:J7<2=O<1:0(8m54b9j1=<722c>57>5;h7b>5<<gm;1<75rb345>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<>6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb347>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<86=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb341>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<:6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb37e>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?n6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb37g>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?h6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb37a>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?j6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb37:>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?36=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb374>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?=6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb377>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?86=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb371>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?:6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb373>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;>m6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb36f>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;>o6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb36`>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;>i6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb34`>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<i6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb34b>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<26=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb34;>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;<<6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb343>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;?>6=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb36b>5<2290;w)jk:5d8L1>03A>3<6*:c;08m6e=831b?h4?::k75?6=3`>>6=44oe:94?=zj;>26=4::183!bc2=l0D968;I6;4>"2k380e>m50;9j7`<722c?=7>5;h66>5<<gm21<75rb55b>5<4290;w)jk:718L1>03A>3<6*:c;30?l3?2900e8750;9l`4<722wi8;h50;194?6|,mn1:>5G4958L1>73-?h6<=4i4:94?=n=00;66ak1;29?xd6;=0;6>4?:1y'`a<1;2B?4:5G4928 0e=9=1b954?::k6=?6=3fn:6=44}cd6>5<4290;w)jk:718L1>03A>3<6*:c;37?l3?2900e8750;9l`4<722wi><o50;194?6|,mn1:>5G4958L1>73-?h6<:4i4:94?=n=00;66ak1;29?xd6jh0;6>4?:1y'`a<1;2B?4:5G4928 0e=9=1b954?::k6=?6=3fn:6=44}cg4>5<4290;w)jk:718L1>03A>3<6*:c;37?l3?2900e8750;9l`4<722wii;4?:283>5}#lm0=?6F;869K0=6<,<i1=95f5983>>o213:17bj>:188ygc229086=4?{%fg>35<@=2<7E:70:&6g?733`?36=44i4;94?=hl80;66sme583>6<729q/hi493:J7<2=O<1:0(8m5159j1=<722c>57>5;nf2>5<<uko86=4<:183!bc2?90D968;I6;4>"2k3;?7d;7:188m0?=831dh<4?::aa7<72:0;6=u+de857>N30>1C85>4$4a951=n=10;66g:9;29?jb62900qok>:180>5<7s-no6;=4H5:4?M2?82.>o7?;;h7;>5<<a<31<75`d083>>{em90;6>4?:1y'`a<1;2B?4:5G4928 0e=9=1b954?::k6=?6=3fn:6=44}cfe>5<4290;w)jk:718L1>03A>3<6*:c;37?l3?2900e8750;9l`4<722wihh4?:283>5}#lm0=?6F;869K0=6<,<i1=95f5983>>o213:17bj>:188yg74>3:187>50z&g`?023A>3;6F;819'1f<4:2c>47>5;h7:>5<<a<k1<75`d083>>{en>0;694?:1y'`a<1=2B?4:5G4928 0e=;;1b954?::k6=?6=3`?j6=44oe394?=zj83j6=4;:183!bc2??0D968;I6;4>"2k38;7d;7:188m0?=831b9l4?::mg5?6=3th9=o4?:583>5}#lm0=96F;869K0=6<,<i1=h5f5983>>o213:17d;n:188ka7=831vn<lm:187>5<7s-no6;;4H5:4?M2?82.>o7?j;h7;>5<<a<31<75f5`83>>ic93:17pl>3983>0<729q/hi498:J7<2=O<1:0(8m5179j1=<722c>57>5;h7b>5<<a<h1<75`d083>>{en00;684?:1y'`a<102B?4:5G4928 0e=9?1b954?::k6=?6=3`?j6=44i4`94?=hl80;66sm1`394?3=83:p(ij5699K0=1<@=2;7);l:2f8m0>=831b944?::k6e?6=3`?i6=44oe394?=zj8hh6=4::183!bc2?20D968;I6;4>"2k3;m7d;7:188m0?=831b9l4?::k6f?6=3fn:6=44}c3:`?6==3:1<v*kd;4;?M2??2B?4=5+5b823>o203:17d;6:188m0g=831b9o4?::mg5?6=3th:5;4?:583>5}#lm0=96F;869K0=6<,<i1=85f5983>>o213:17d;n:188ka7=831vn<l=:180>5<7s-no6;=4H5:4?M2?82.>o7<i;h7;>5<<a<31<75`d083>>{e9:k1<7=50;2x ab=>:1C8594H5:3?!3d28>0e8650;9j1<<722eo=7>5;|`ef?6=;3:1<v*kd;40?M2??2B?4=5+5b820>o203:17d;6:188ka7=831vn<=l:187>5<7s-no6;;4H5:4?M2?82.>o7==;h7;>5<<a<31<75f5`83>>ic93:17plid;290?6=8r.oh78:;I6;3>N3091/9n4<2:k6<?6=3`?26=44i4c94?=hl80;66sm1c794?2=83:p(ij5649K0=1<@=2;7);l:218m0>=831b944?::k6e?6=3fn:6=44}c02a?6=<3:1<v*kd;46?M2??2B?4=5+5b807>o203:17d;6:188m0g=831dh<4?::a64`=83?1<7>t$ef92==O<1=0D96?;%7`>62<a<21<75f5883>>o2i3:17d;m:188ka7=831vn<68:186>5<7s-no6;64H5:4?M2?82.>o7k4i4:94?=n=00;66g:a;29?l3e2900ci?50;9~f77529086=4?{%fg>35<@=2<7E:70:&6g?743`?36=44i4;94?=hl80;66sm18794?3=83:p(ij5699K0=1<@=2;7);l:g9j1=<722c>57>5;h7b>5<<a<h1<75`d083>>{e9l>1<7;50;2x ab=>11C8594H5:3?!3d2:;0e8650;9j1<<722c>m7>5;h7a>5<<gm;1<75rb0g6>5<2290;w)jk:7:8L1>03A>3<6*:c;12?l3?2900e8750;9j1d<722c>n7>5;nf2>5<<uk;n:7>55;294~"cl3<37E:77:J7<5=#=j08=6g:8;29?l3>2900e8o50;9j1g<722eo=7>5;|`2a5<72<0;6=u+de85<>N30>1C85>4$4a974=n=10;66g:9;29?l3f2900e8l50;9l`4<722wi=h?50;794?6|,mn1:55G4958L1>73-?h6>?4i4:94?=n=00;66g:a;29?l3e2900ci?50;9~f4ge290>6=4?{%fg>3><@=2<7E:70:&6g?4c3`?36=44i4;94?=n=h0;66g:b;29?jb62900qo?nc;291?6=8r.oh787;I6;3>N3091/9n4=e:k6<?6=3`?26=44i4c94?=n=k0;66ak1;29?xd6im0;684?:1y'`a<102B?4:5G4928 0e=;81b954?::k6=?6=3`?j6=44i4`94?=hl80;66sm1`794?5=83:p(ij5629K0=1<@=2;7);l:3d8m0>=831b944?::mg5?6=3th:m:4?:483>5}#lm0=46F;869K0=6<,<i1?<5f5983>>o213:17d;n:188m0d=831dh<4?::a5d>=83?1<7>t$ef92==O<1=0D96?;%7`>67<a<21<75f5883>>o2i3:17d;m:188ka7=831vn<66:180>5<7s-no6;=4H5:4?M2?82.>o774i4:94?=n=00;66ak1;29?xd6n90;6>4?:1y'`a<1;2B?4:5G4928 0e=9=1b954?::k6=?6=3fn:6=44}c3;g?6=<3:1<v*kd;46?M2??2B?4=5+5b8a?l3?2900e8750;9j1d<722eo=7>5;|`2b4<72=0;6=u+de851>N30>1C85>4$4a964=n=10;66g:9;29?l3f2900ci?50;9~f4>b290>6=4?{%fg>3><@=2<7E:70:&6g?b<a<21<75f5883>>o2i3:17d;m:188ka7=831vn<h=:186>5<7s-no6;64H5:4?M2?82.>o7<7;h7;>5<<a<31<75f5`83>>o2j3:17bj>:188yg7>83:1?7>50z&g`?043A>3;6F;819'1f<5i2c>47>5;h7:>5<<gm;1<75rb0:e>5<2290;w)jk:7:8L1>03A>3<6*:c;a8m0>=831b944?::k6e?6=3`?i6=44oe394?=zj8on6=4<:183!bc2?90D968;I6;4>"2k38j7d;7:188m0?=831dh<4?::a647=83?1<7>t$ef92==O<1=0D96?;%7`>74<a<21<75f5883>>o2i3:17d;m:188ka7=831vn<kk:186>5<7s-no6;64H5:4?M2?82.>o7<l;h7;>5<<a<31<75f5`83>>o2j3:17bj>:188yg71j3:187>50z&g`?023A>3;6F;819'1f<5j2c>47>5;h7:>5<<a<k1<75`d083>>{e90>1<7;50;2x ab=>11C8594H5:3?!3d2;i0e8650;9j1<<722c>m7>5;h7a>5<<gm;1<75rb04`>5<3290;w)jk:778L1>03A>3<6*:c;0a?l3?2900e8750;9j1d<722eo=7>5;|`2=7<72<0;6=u+de85<>N30>1C85>4$4a9g>o203:17d;6:188m0g=831b9o4?::mg5?6=3th?:84?:483>5}#lm0=46F;869K0=6<,<i1:6g:8;29?l3>2900e8o50;9j1g<722eo=7>5;|`723<72<0;6=u+de85<>N30>1C85>4$4a90>o203:17d;6:188m0g=831b9o4?::mg5?6=3th?::4?:483>5}#lm0=46F;869K0=6<,<i186g:8;29?l3>2900e8o50;9j1g<722eo=7>5;|`72=<72<0;6=u+de85<>N30>1C85>4$4a9e>o203:17d;6:188m0g=831b9o4?::mg5?6=3th:m;4?:483>5}#lm0=46F;869K0=6<,<i1?=5f5983>>o213:17d;n:188m0d=831dh<4?::a5`4=83?1<7>t$ef92==O<1=0D96?;%7`>05<a<21<75f5883>>o2i3:17d;m:188ka7=831vn<o6:186>5<7s-no6;64H5:4?M2?82.>o7;<;h7;>5<<a<31<75f5`83>>o2j3:17bj>:188yg46l3:197>50z&g`?0?3A>3;6F;819'1f<6n2c>47>5;h7:>5<<a<k1<75f5c83>>ic93:17pl>dd83>0<729q/hi498:J7<2=O<1:0(8m53:k6<?6=3`?26=44i4c94?=n=k0;66ak1;29?xd5<;0;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl=4183>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd5<=0;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl=4783>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l;0;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl>d583>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l90;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl>d783>0<729q/hi4;f:J7<2=O<1:0(8m52:k0g?6=3`9n6=44i5394?=n<<0;66ak8;29?xd6l>0;684?:1y'`a<3n2B?4:5G4928 0e=:2c8o7>5;h1f>5<<a=;1<75f4483>>ic03:17pl=1883>7<729q/hi4:d:J7<2=O<1:0e8950;9l`4<722wi=o750;094?6|,mn19i5G4958L1>73`?<6=44oe394?=zj8om6=4=:183!bc2<n0D968;I6;4>o2?3:17bj>:188yg46k3:197>50z&g`?013A>3;6F;819'1f<5?2c>47>5;h7:>5<<a<k1<75`6183>>ic93:17pl>b083>3<729q/hi499:J7<2=O<1:0(8m5289j1=<722c>57>5;h7b>5<<a<h1<75`6183>>ic93:17pl>9683>6<729q/hi494:J7<2=O<1:0(8m5159j1=<722c>57>5;n43>5<<uk;3m7>56;294~"cl3<27E:77:J7<5=#=j0>7d;7:188m0?=831b9l4?::k6f?6=3f<;6=44oe394?=zj8o86=4;:183!bc2?=0D968;I6;4>"2k38i7d;7:188m0?=831b9l4?::m54?6=3th:ml4?:583>5}#lm0=;6F;869K0=6<,<i1>o5f5983>>o213:17d;n:188k36=831vn<ji:187>5<7s-no6;94H5:4?M2?82.>o7<9;h7;>5<<a<31<75f5`83>>i183:17p}:7683>3}Y=>=01<7n:4c894g62<h01<7k:4`894?12<k01<7::4:8yv2>j3:1>=uQ48f8Z1?73W?<j6P:7e9]0=`<V<=j7S;<a:\63`=Y=:h0R8;>;_6:e>X3101U8464^5;4?[2>>2T?585Q486891>?2<?01999:578910e2=?0199::57891132=?0199<:578911b2=?0199k:578941a2m=01<6?:e5894>62m=01<6=:e5894>42m=01<6;:e5894>22m=0q~;89;297~X2?0168:85d69>03d=l>1v8=>:181[34927:5k4k8:p1<6=83=pR87?;<026?3>34>=97;m;<652?3>34>=;7;6;<65<?3e34;oj7;7;|q622<721?pR888;<65`?5d34>=o7=l;<65f?5d34><97=l;<640?5d34><?7=l;<3b7?5d34;<j7=l;<3;4?5d34;3=7=l;<3;6?5d34;3?7=l;<3;0?5d34;397=l;<3`b?5d34;o=7=l;<3g7?5d34;o97=l;<3g<?5d34;o57=l;<3`7?5d34;h87=l;<3`1?5d34;h47=l;<3`2?5d34;h;7=l;<306?5d34;8=7=l;<304?5d34;9j7=l;<31a?5d34;9h7=l;<354?5d34;>j7=l;<36a?5d34;>h7=l;<36g?5d34;>n7=l;<360?5d34;>?7=l;<366?5d34;>=7=l;<364?5d34;?j7=l;<33=?5d34;;47=l;<333?5d34;;:7=l;<331?5d34;;87=l;<3:f?5d34;247=l;<3b6?5d34;2j7=l;<3:a?5d349o97=l;<1g2?5d349n=7=l;<1fg?5d349m?7=l;<1e0?5d349m97=l;<1e2?5d349m;7=l;<1e<?5d349o;7=l;<1g<?5d349o57=l;<1ge?5d349on7=l;<1gg?5d349oh7=l;<1ga?5d349oj7=l;<1f4?5d349n>7=l;<1f7?5d349n87=l;<1f1?5d349n:7=l;<1f3?5d349n47=l;<1f=?5d349nm7=l;<1ff?5d349nh7=l;<1fa?5d349nj7=l;<1e4?5d349m=7=l;<1e6?5d348=:7=l;<051?5d348=87=l;<057?5d348=>7=l;<055?5d348>j7=l;<06a?5d348>h7=l;<06g?5d348>n7=l;<06e?5d348>57=l;<06<?5d348>;7=l;<062?5d348>87=l;<067?5d348>>7=l;<065?5d348><7=l;<07b?5d348?i7=l;<07`?5d348?o7=l;<07f?5d348=o7=l;<05f?5d348=m7=l;<05=?5d348=47=l;<053?5d348=<7=l;<061?5d348?m7=l;<07=?5d34;o>7=l;<3g0?5d34;o<7=l;<3g2?5d34;o;7=l;|q672<72;qU9>94=0f`>a><uz?3<7>5cey]1=6<5==36>m4=555>6e<5==<6>m4=55f>6e<5==o6>m4=0f`>6e<58ni6>m4=326>6e<5;:=6>m4=324>6e<5;:36>m4=32:>6e<5;:j6>m4=32a>6e<5o91?n52f380g>;a939h70h?:2a89``=;j16ih4<c:?264<4k27:>=4<c:?25c<4k27:=h4<c:?25a<4k27:=n4<c:?250<4k27:=94<c:?256<4k27:=?4<c:?254<4k27:==4<c:?20=<4k27:8:4<c:?203<4k27:884<c:?201<4k27:8>4<c:?16g<4k279>l4<c:?16f<4k279>54<c:?162<4k279>44<c:?170<4k279?>4<c:?171<4k279?54<c:?173<4k279?:4<c:?10=<4k2798:4<c:?100<4k2798>4<c:?104<4k279?k4<c:?1e0<30:16>;j5491897e12=2870<m0;6;7>;5jk0?4>522d590=5<5;n:696<;<0gg?2?;279;44;829>6c4=<1901?8j:5:0?84>i3>3?63=8587<6=::1l185=4=3c1>1>4348j<7:73:?1e4<30:16>l85491897g42=2870<n4;6;7>;5i>0?4>522`:90=5<5;ki696<;<0b=?2?;279ml4;829>6dc=<1901?ol:5:0?84fl3>3?63=b387<6=::hl185=4=3`2>1>4348i97:73:?1f6<30:16>o:5491897d?2=2870<m6;6;7>;5j>0?4>522ca90=5<5;h2696<;<0ae?2?;279nk4;829>6gb=<1901?lj:5:0?84d83>3?63=c087<6=::j>185=4=3a1>1>4348h?7:73:?1g=<30:16>n;5491897e02=2870<lb;6;7>;5k00?4>522bc90=5<5;in696<;<0`g?2?;279oi4;829>6a4=<1901?mi:5:0?84c83>3?63=d487<6=::m9185=4=3f7>1>4348o47:73:?1`3<30:16>i95491897b>2=2870<ka;6;7>;5ll0?4>522e`90=5<5;no696<;<0f5?2?;279hk4;829>6`6=<1901?k;:5:0?84b:3>3?63=e287<6=::l?185=4=3g5>1>4348nm7:73:?1a=<30:16>h75491897cc2=2870<jb;6;7>;5mj0?4>522g290=5<5;on696<;<0fb?2?;279j<4;829>6c5=<1901?h9:5:0?84a<3>3?63=f487<6=::o3185=4=3d4>1>4348m47:73:?1bf<30:16>ko5491897`e2=2870<81;6;7>;5>o0?4>5226290=5<5;=?696<;<046?2?;279;>4;829>621=<1901?9::5:0?840>3>3?63=7c87<6=::>2185=4=35b>1>4348<o7:73:?13a<30:16>5>54918971b2=2870<8f;6;7>;50:0?4>5229390=5<5;29696<;<0;3?2?;279484;829>6=0=<1901?67:5:0?84?13>3?63=8b87<6=::1k185=4=3:a>1>43482<7:73:?1<a<30:16>5k5491897?42=2870<61;6;7>;51;0?4>5228690=5<5;3>696<;<0:<?2?;2795;4;829>6<1=<1901?7l:5:0?84>13>3?63=9c87<6=::0l185=4=3;g>1>43482i7:73:?107<4k2798=4<c:?101<4k2798;4<c:p1=`=838pR868;<03f?b?3ty>4i4?:3y]1=0<5;:j6i64}r7;g?6=:rT>485221;9`==z{<2i6=4={_7;0>;5810o46s|59c94?4|V<2870<?7;f;?xu2000;6?uQ590897612m20q~;78;296~X20816>=;5d99~w04?2909wS;?4:?123<c02wx9?850;0xZ064348=97j7;|q660<72;qU9=<4=347>a><uz?987>52z\644=::?91h55rs400>5<5sW?;<63=638g<>{t=;81<7<t^5de?84193n37p}:2183>7}Y<on01?;i:e:8yv36n3:1>vP;fb9>60c=l11v8?j:181[2aj2799i4k8:p14b=838pR9hn;<06g?b?3ty>=n4?:3y]0c?<5;?i6i64}r72f?6=:rT?j55224c9`==z{<;j6=4={_6e3>;5=00o46s|50;94?4|V=l=70<:8;f;?xu2910;6?uQ4g7897302m20q~;>7;296~X3n=16>885d99~w0722909wS:i2:?111<c02wx9<:50;0xZ1`6348>?7j7;|q656<72;qU8k>4=371>a><uz?:>7>52z\7ac=::<;1h55rs432>5<5sW>ni63=518g<>{t=8:1<7<t^5gg?843n3n37p}:0g83>7}Y<li01?:j:e:8yv37m3:1>vP;ec9>61b=l11v8>k:181[2bi2798n4k8:p15e=838pR9k6;<07f?b?3ty>>k4?:3y]15g<5;<h6i64}r71a?6=:rT><45227`9`==z{<8o6=4={_73<>;5>h0o46s|53a94?4|V<:<70<99;f;?xu2:k0;6?uQ5148970?2m20q~;=a;296~X28<16>;95d99~w04>2909wS:ie:?125<c02wx9??50;0xZ1`4348>97j7;|q653<72;qU8h64=36b>a><uz?;n7>52z\7a2=::=31h55rs455>5<5sW?=i63>848g<>{t=>>1<7<t^44g?87?<3n37p}:7283>7}Y=?i01<6<:e:8yv30:3:1>vP:6c9>5=4=l11v89>:181[31i27:4<4k8:p126=838pR886;<3;4?b?3ty>:k4?:3y]13><58=m6i64}r6gb?6==rT?no5228a900=::0l1885228f900=::0o1885rs5fg>5<2sW>im63=99871>;51>0?963=98871>;51k0?96s|4ea94?3|V=h270<63;66?84><3>>70<65;66?84>>3>>7p};dc83>0}Y<k201?7?:57897>b2=?01?7>:57897?52=?0q~:ka;291~X3j>16>5m5449>6=g=<<16>5l5449>6=b=<<1v9j6:186[2e>2794:4;5:?1<3<3=279454;5:?1<<<3=2wx8i950;7xZ1d33483?7::;<0;5?223483>7::;<0;1?223ty?h;4?:4y]0g5<5;=o69;4=3:3>13<5;=n69;4=35e>13<uz>o97>55z\7f7=::>h1885226:900=::>k1885226a900=z{=n?6=4:{_6a5>;5?=0?963=76871>;5?<0?963=77871>{t<m91<7;t^5`3?84093>>70<80;66?840:3>>70<83;66?xu3l;0;68uQ4`d897`d2=?01?hn:57897`e2=?01?8i:578yv2c93:19vP;ad9>6c0=<<16>k75449>6c1=<<16>k65449~w1b7290>wS:nd:?1b4<3=279j>4;5:?1b1<3=279j84;5:p0f`=83?pR9ol;<0f`?22348m<7::;<0fa?22348nj7::;|q7g`<72<qU8ll4=3gb>13<5;o269;4=3ga>13<5;oh69;4}r6`g?6==rT?m4522d6900=::l?188522d4900=::l21885rs5aa>5<2sW>j463=e0871>;5m90?963=e3871>;5m:0?96s|4bc94?3|V=k<70<ke;66?84cj3>>70<kd;66?84cn3>>7p};c883>0}Y<h<01?j7:57897b02=?01?j6:57897bf2=?0q~:l8;291~X3i<16>i;5449>6a5=<<16>i:5449>6a0=<<1v9m8:186[2f<279oh4;5:?1`7<3=279ok4;5:?1`5<3=2wx8n850;7xZ1g4348hn7::;<0`e?22348ho7::;<0``?223ty?o84?:4y]0d4<5;i369;4=3a6>13<5;i<69;4=3a:>13<uz>h87>55z\7e4=::j;188522b6900=::j8188522b1900=z{=i86=4:{_6b4>;5jo0?963=be871>;5jl0?963=c1871>{t<l<1<7;t^5a2?84e03>>70<mc;66?84e13>>70<ma;66?xu3m<0;68uQ4b2897d22=?01?l;:57897d12=?01?l8:578yv2b<3:19vP;bg9>6g4=<<16>lh5449>6g7=<<16>o=5449~w1c4290>wS:me:?1eg<3=279mh4;5:?1ef<3=279mi4;5:p0`4=83?pR9lk;<0b3?22348j47::;<0b=?22348jm7::;|q7a4<72<qU8om4=3c1>13<5;k=69;4=3c0>13<5;k?69;4}r6f4?6==rT?n85228c900=::1l188522`2900=::h;1885rs5f;>5<2sW>jm63=78871>;5n;0?963=6d871>;50=0?96s|4bf94?3|V=3m70<l6;66?84b?3>>70<k1;66?84ck3>>7p};c383>0}Y<0o01?o::578970c2=?01?l?:57897de2=?0q~9?:18682?13n870?9d;63?87003>;70?i3;63?87am3>;7p};6b83>6}:<?n1885247a9`==:<?h1?h5rs54g>5<5s4>=h7j7;<65b?3?3ty?;:4?:2y>02>=<<168:853d9>021=l11v997:18182003n370:8a;7;?xu3>k0;6>u247a900=:<?h1h55247d91<=z{===6=4<{<642?b?34><;7::;<64e?3>3ty?:h4?:5y>023=l>168::5d69>025=l>168;h5d09~w1152909=v3;748g<>;6i:0o;63>7g875>;6090?=63>80875>;60;0?=63>82875>;60=0?=63>84875>;6ko0?=63>d0875>;6l:0?=63>d4875>;6l10?=63>d8875>;6k:0o;63>c5875>;6k<0?=63>c9875>;6k?0?=63>c6875>;61k0?=63>99875>;6i;0?=63>9g8g3>;61l0o;63>8686=>;6l;0?=63>d5875>;6l90?=63>d7875>;6l>0?=63>8`86f>{t<>;1<7?6{<640?b?34;8>7:>;<305?2634;8<7:>;<31b?2634;9i7:>;<31`?2634;=<7:>;<36b?2634;>i7:>;<36`?2634;>o7:>;<36f?2634;>87:>;<367?2634;>>7:>;<365?2634;><7:>;<37b?2634;;57:>;<33<?2634;;;7:>;<332?2634;;97:>;<330?263ty?;=4?:5;x91142m201>j::53896b12=;01>k>:53896cd2=;01>h<:53896`32=;01>h::53896`12=;01>h8:53896`?2=;01>j8:53896b?2=;01>j6:53896bf2=;01>jm:53896bd2=;01>jk:53896bb2=;01>ji:53896c72=;01>k=:53896c42=;01>k;:53896c22=;01>k9:53896c02=;01>k7:53896c>2=;01>kn:53896ce2=;01>kk:53896cb2=;01>ki:53896`72=;01>h>:53896`52=;01?89:53897022=;01?8;:53897042=;01?8=:53897062=;01?;i:538973b2=;01?;k:538973d2=;01?;m:538973f2=;01?;6:538973?2=;01?;8:53897312=;01?;;:53897342=;01?;=:53897362=;01?;?:538972a2=;01?:j:538972c2=;01?:l:538972e2=;01?8l:538970e2=;01?8n:538970>2=;01?87:53897002=;01?8?:53897322=;01?:n:538972>2=;0q~:89;297~;3?l0o;63;7e8g3>;3?h0o=6s|46a94?47s4><i7j7;<3gg?b034;on7j8;<031?26348;:7:>;<033?26348;47:>;<03=?26348;m7:>;<03f?263489n7:>;<01e?263489o7:>;<01<?b03489;7:>;<01=?26348897:>;<007?b0348887:>;<00<?263488:7:>;<003?26348?47:>;<073?26348?97:>;<077?26348?=7:>;<00b?26348?>7:>;<074?26348?87:>;<072?263ty?;o4?:0;x911c2m201k=5409>b7<3927m=7:>;<d3>17<5ll18<52ed875>;6:80?=63>21875>;69o0?=63>1d875>;69m0?=63>1b875>;69<0?=63>15875>;69:0?=63>13875>;6980?=63>11875>;6<10?=63>46875>;6<?0?=63>44875>;6<=0?=63>42875>{t9h>1<7<t=0c0>13<58k26i?4}r3b7?6=;r7:m>4k8:?2=a<2027:584:9:p5=0=838p1<9i:57894>02m;0q~?78;296~;6090?963>888g5>{t91h1<7<t=0:2>13<582h6i?4}r3;`?6=:r7:4?4;5:?2<`<c92wx=5h50;0x94>42=?01<6i:e38yv7>93:1>v3>85871>;61;0o=6s|18194?4|582>69;4=0;7>a7<uz;om7>536y>5f`=;l16=i?53d9>5a5=;l16=i;53d9>5a>=;l16=i753d9>5f5=;l16=n:53d9>5f3=;l16=n653d9>5f0=;l16=n953d9>7a3=;l16?i853d9>7`7=;l16?hm53d9>7c5=;l16?k:53d9>7c3=;l16?k853d9>7c1=;l16?k653d9>7a1=;l16?i653d9>7a?=;l16?io53d9>7ad=;l16?im53d9>7ab=;l16?ik53d9>7a`=;l16?h>53d9>7`4=;l16?h=53d9>7`2=;l16?h;53d9>7`0=;l16?h953d9>7`>=;l16?h753d9>7`g=;l16?hl53d9>7`b=;l16?hk53d9>7``=;l16?k>53d9>7c7=;l16?k<53d9>5<3=l816=l855c9>5a4=;l16=i:53d9>5a6=;l16=i853d9>5a1=;l1v<lk:18:87dn3>>70?l3;f;?87ei3?270?mb;7b?87ek3?j70?n8;7b?87c83>>70?m9;74?87e93?37p}>c883><5|58im6i64=3c6>1><5;<o6964=3a5>1><5;h;6964=3`a>1><5;o<6964=3f2>1><5;nh6964=35:>1><5;l96964=34f>1><5;3j6964=3:7>1><5;2m6964=3c1>1><5;k;6964=3c2>1><5;k=6964=3c0>1><5;k?6964=3c4>1><5;k36964=3ca>1><5;k26964=3cb>1><5;kn6964=3c`>1><5;ko6964=3`1>1><5;km6964=3`2>1><5;h>6964=3`0>1><5;h?6964=3`;>1><5;h=6964=3`4>1><5;hh6964=3`:>1><5;hj6964=3`e>1><5;ho6964=3`f>1><5;i;6964=3a2>1><5;i?6964=3a1>1><5;i86964=3a;>1><5;i>6964=3a4>1><5;ii6964=3a:>1><5;ij6964=3af>1><5;ih6964=3ag>1><5;n96964=3ae>1><5;n;6964=3f6>1><5;n86964=3f7>1><5;n36964=3f5>1><5;n<6964=3f:>1><5;nj6964=3ff>1><5;ni6964=3fg>1><5;o:6964=3fe>1><5;o;6964=3g7>1><5;o96964=3g0>1><5;o>6964=3g5>1><5;oj6964=3g;>1><5;o26964=3gg>1><5;oi6964=3g`>1><5;l;6964=3gf>1><5;om6964=3d2>1><5;l86964=3d5>1><5;l?6964=3d6>1><5;l26964=3d4>1><5;l36964=3d`>1><5;lj6964=3da>1><5;=:6964=34e>1><5;=;6964=357>1><5;=96964=350>1><5;=<6964=356>1><5;==6964=35a>1><5;=36964=35b>1><5;=h6964=35g>1><5;2;6964=35f>1><5;=m6964=3:0>1><5;2:6964=3:1>1><5;2<6964=3:6>1><5;2=6964=3:;>1><5;226964=3:`>1><5;2j6964=3:a>1><5;3;6964=3:g>1><5;2n6964=3;0>1><5;3:6964=3;1>1><5;3?6964=3;6>1><5;336964=3;5>1><5;3<6964=3;`>1><5;326964=3;a>1><5;3m6964=3;g>1><5;3n6964=d091<=:9hh19l5rs0`f>5<?s4;o=7::;<3`0?b?34;im7;7;<3af?3>34;io7;6;<3b1?3?34;o>7::;<3a5?3>3ty:ol4?:86x94b62m201?o::5;8970c2=301?m9:5;897d72=301?lm:5;897c02=301?j>:5;897bd2=301?96:5;897`52=301?8j:5;897?f2=301?6;:5;897>a2=301?o=:5;897g72=301?o>:5;897g12=301?o<:5;897g32=301?o8:5;897g?2=301?om:5;897g>2=301?on:5;897gb2=301?ol:5;897gc2=301?l=:5;897ga2=301?l>:5;897d22=301?l<:5;897d32=301?l7:5;897d12=301?l8:5;897dd2=301?l6:5;897df2=301?li:5;897dc2=301?lj:5;897e72=301?m>:5;897e32=301?m=:5;897e42=301?m7:5;897e22=301?m8:5;897ee2=301?m6:5;897ef2=301?mj:5;897ed2=301?mk:5;897b52=301?mi:5;897b72=301?j::5;897b42=301?j;:5;897b?2=301?j9:5;897b02=301?j6:5;897bf2=301?jj:5;897be2=301?jk:5;897c62=301?ji:5;897c72=301?k;:5;897c52=301?k<:5;897c22=301?k9:5;897cf2=301?k7:5;897c>2=301?kk:5;897ce2=301?kl:5;897`72=301?kj:5;897ca2=301?h>:5;897`42=301?h9:5;897`32=301?h::5;897`>2=301?h8:5;897`?2=301?hl:5;897`f2=301?hm:5;897162=301?8i:5;897172=301?9;:5;897152=301?9<:5;897102=301?9::5;897112=301?9m:5;8971?2=301?9n:5;8971d2=301?9k:5;897>72=301?9j:5;8971a2=301?6<:5;897>62=301?6=:5;897>02=301?6::5;897>12=301?67:5;897>>2=301?6l:5;897>f2=301?6m:5;897?72=301?6k:5;897>b2=301?7<:5;897?62=301?7=:5;897?32=301?7::5;897??2=301?79:5;897?02=301?7l:5;897?>2=301?7m:5;897?a2=301?7k:5;897?b2=301h<5599>a4<2127:mn4:b:p5g`=83=p1<j<:57894e22m201<lm:4:894dd2<h01<o7:4:894b32=?01<l>:4c8yv7dj3:159u21e19`==::h?18l5227f90d=::j<18l522c290d=::kh18l522d590d=::m;18l522ea90d=::>318l522g090d=::?o18l5228c90d=::1>18l5229d90d=::h818l522`290d=::h;18l522`490d=::h918l522`690d=::h=18l522`:90d=::hh18l522`;90d=::hk18l522`g90d=::hi18l522`f90d=::k818l522`d90d=::k;18l522c790d=::k918l522c690d=::k218l522c490d=::k=18l522ca90d=::k318l522cc90d=::kl18l522cf90d=::ko18l522b290d=::j;18l522b690d=::j818l522b190d=::j218l522b790d=::j=18l522b`90d=::j318l522bc90d=::jo18l522ba90d=::jn18l522e090d=::jl18l522e290d=::m?18l522e190d=::m>18l522e:90d=::m<18l522e590d=::m318l522ec90d=::mo18l522e`90d=::mn18l522d390d=::ml18l522d290d=::l>18l522d090d=::l918l522d790d=::l<18l522dc90d=::l218l522d;90d=::ln18l522d`90d=::li18l522g290d=::lo18l522dd90d=::o;18l522g190d=::o<18l522g690d=::o?18l522g;90d=::o=18l522g:90d=::oi18l522gc90d=::oh18l5226390d=::?l18l5226290d=::>>18l5226090d=::>918l5226590d=::>?18l5226490d=::>h18l5226:90d=::>k18l5226a90d=::>n18l5229290d=::>o18l5226d90d=::1918l5229390d=::1818l5229590d=::1?18l5229490d=::1218l5229;90d=::1i18l5229c90d=::1h18l5228290d=::1n18l5229g90d=::0918l5228390d=::0818l5228690d=::0?18l5228:90d=::0<18l5228590d=::0i18l5228;90d=::0h18l5228d90d=::0n18l5228g90d=:m80>463j0;7:?87fj3?i7p}>c183>2}:9m?188521b49`==:9ki195521`591d=:9m<188521e5900=:9k;19o5rs0a`>5<>;r7:h84k8:?1e0<3j279:i4;b:?1g3<3j279n=4;b:?1fg<3j279i:4;b:?1`4<3j279hn4;b:?13<<3j279j?4;b:?12`<3j2795l4;b:?1<1<3j2794k4;b:?1e7<3j279m=4;b:?1e4<3j279m;4;b:?1e6<3j279m94;b:?1e2<3j279m54;b:?1eg<3j279m44;b:?1ed<3j279mh4;b:?1ef<3j279mi4;b:?1f7<3j279mk4;b:?1f4<3j279n84;b:?1f6<3j279n94;b:?1f=<3j279n;4;b:?1f2<3j279nn4;b:?1f<<3j279nl4;b:?1fc<3j279ni4;b:?1f`<3j279o=4;b:?1g4<3j279o94;b:?1g7<3j279o>4;b:?1g=<3j279o84;b:?1g2<3j279oo4;b:?1g<<3j279ol4;b:?1g`<3j279on4;b:?1ga<3j279h?4;b:?1gc<3j279h=4;b:?1`0<3j279h>4;b:?1`1<3j279h54;b:?1`3<3j279h:4;b:?1`<<3j279hl4;b:?1``<3j279ho4;b:?1`a<3j279i<4;b:?1`c<3j279i=4;b:?1a1<3j279i?4;b:?1a6<3j279i84;b:?1a3<3j279il4;b:?1a=<3j279i44;b:?1aa<3j279io4;b:?1af<3j279j=4;b:?1a`<3j279ik4;b:?1b4<3j279j>4;b:?1b3<3j279j94;b:?1b0<3j279j44;b:?1b2<3j279j54;b:?1bf<3j279jl4;b:?1bg<3j279;<4;b:?12c<3j279;=4;b:?131<3j279;?4;b:?136<3j279;:4;b:?130<3j279;;4;b:?13g<3j279;54;b:?13d<3j279;n4;b:?13a<3j2794=4;b:?13`<3j279;k4;b:?1<6<3j2794<4;b:?1<7<3j2794:4;b:?1<0<3j2794;4;b:?1<=<3j279444;b:?1<f<3j2794l4;b:?1<g<3j2795=4;b:?1<a<3j2794h4;b:?1=6<3j2795<4;b:?1=7<3j279594;b:?1=0<3j279554;b:?1=3<3j2795:4;b:?1=f<3j279544;b:?1=g<3j2795k4;b:?1=a<3j2795h4;b:?f4?3?34nm6874}r3`5?6==r7:h54;5:?2g2<c027:n?4:8:?2f0<2i27:m;4:9:p5fb=83>ow0?k8;f;?870>3?270:>9;7;?82603?370:94;7;?821;3?370:<a;7;?82413?370:;f;7;?823m3?370::8;7;?822?3?370::2;7;?82293?370::5;7;?822<3?370::b;7;?822i3?370::e;7;?822l3?370=ie;7;?85al3?370:91;7;?82183?370=ib;7;?85ai3?370:?1;7;?82783?370:?4;7;?827;3?370:?7;7;?827>3?370:?a;7;?82713?370:?d;7;?827k3?370:>0;7;?827n3?370:>c;7;?826j3?370:>3;7;?826:3?370:>6;7;?826=3?370:>f;7;?826m3?370:=2;7;?82593?370:=5;7;?825<3?370:=8;7;?825?3?370:=b;7;?825i3?370:=e;7;?825l3?370:<7;7;?824>3?370:<1;7;?82483?370:<4;7;?824;3?370:<d;7;?824k3?370:;0;7;?824n3?370:;3;7;?823:3?370:;6;7;?823=3?370:;9;7;?82303?370:;c;7;?823j3?370ji:4:89ac==016=lm55`9~w4e5290?w0?k9;66?87d03n370?m5;7;?87f?3?37p}>cd83>7?|58n26i64=054>0?<58:269;4=534>ag<5=<96io4=51;>ag<5=>o6io4=575>ag<5=?;6io4=570>ag<5=?26io4=57`>ag<5:lh6io4=57e>ag<5:l26io4=2de>ag<5=:96io4=526>ag<5=:36io4=52a>ag<5=:n6io4=53b>ag<5=;:6io4=537>ag<5=;o6io4=503>ag<5=886io4=505>ag<5=826io4=50`>ag<5=9>6io4=50e>ag<5=996io4=51a>ag<5=9n6io4=562>ag<5=>?6io4=564>ag<5=>j6io4=eg91==:9hn19o5rs0fg>5<4s4;oo7::;<3gf?2234;n>7j>;|q2`g<72>q6=il5d99>644==1168;;55`9>030==k168;955c9>03>==016=ik55`9~w7632909w0<?5;66?87am3n:7p}=0b83>7}::9<188521dd9`4=z{;:o6=4={<033?2234;m<7j>;|q14`<72;q6>=65449>5c7=l81v?>i:18184713>>70?i2;f2?xu5990;6?u221c900=::8;1h<5rs0g`>5<5s48;n7::;<3f`?b63ty:mk4?:3y>5f5=<<16=o75d09~w4d12909w0?l4;66?87ei3n:7p}>b683>7}:9j?188521c`9`4=z{8h?6=4={<3`<?2234;i97j>;|q2f=<72;q6=n85449>5ge=l81v<l?:18187d?3>>70?m2;f2?xu6l90;6?u216091==:9m:1h55rs004>5<2s4;<>7;6;<35`?2134;9h7j7;<3bf?3>34;j47;m;|q237<72:q6=:<5d09>53b=lh16=:655g9~w40c2908w0?9d;f2?871m3>;70?89;63?xu6?l0;6?u216:9`4=:91=19o5rs0f1>5<5s4;<?7;7;<3g6?b?3ty:>54?:4y>525==016=;k5479>57c=l116=lm5589>5d3==01v<9<:180870;3n:70?9e;fb?87013?m7p}>6d83>6}:9?o1h<5217d905=:9>k18=5rs04b>5<0s4;<57j>;<3;=?3>34;3o7;n;<3;a?3f34;2<7;6;<35f?3f34;=o7;6;|q2`1<72;q6=::5599>5a2=l11v<<6:186870<3?270?9f;65?875n3n370?nb;7;?87f03?27p}>7583>6}:9>>1h<5217d9`d=:9>k19k5rs04e>5<4s4;=j7j>;<344?2734;<n7:?;|q223<72?q6=:o5d09>5=e==016=5k5589>5<6==116=;l5589>53e==11v<j9:181870=3?370?k6;f;?xu6:h0;68u216791<=:9>:18;521229`==:9hn194521`591g=z{8=>6=4<{<341?b634;<<7jn;<34f?3a3ty:;=4?:2y>526=l816=:?5419>52e=<91v<88:186870j3n:70?7e;7a?87?n3?270?64;7;?871k3?j7p}>2c83>0}:9><19552163903=:9:;1h5521`a91==:9h<1955rs055>5<4s4;<:7j>;<345?bf34;<o7;i;|q234<72;q6=:?5d09>52b=<91v<87:187870k3n:70?7f;7b?87><3?270?62;7:?xu6:j0;69u216591==:9:81h5521`f91d=:9h=1945rs054>5<5s4;<;7j>;<34`?3a3ty::44?:2y>52b=l816=4:55`9>5<4==h1v?:?:18087a03?370?i3;65?84383n37p}j8;290~;6n10>563je;f;?87b<3?270?j1;7a?xu6n10;6>u21g:9`4=:9o91hl521gg91c=z{8l86=4<{<3e7?b634;m87:?;<3eb?273ty98?4?:2y>5c?==116=k:5479>614=l11vh750;6x94`>2<301hh5d99>5`3==h16=ik5599~w4`>2908w0?i9;f2?87a<3nj70?if;7e?xu6n=0;6>u21g69`4=:9o?18=52212905=z{8oi6=49{<3eb?b634;m<7;7;<3e5?3?34;m>7;7;<3fa?3?34;nj7;8;|q101<72:q6=ko5599>5c3=<?16>9:5d99~w`g=83>p1<hn:4;89c6=l116=h:55c9>5`7==01v<hn:18087ai3n:70?i5;fb?84783?m7p}>f483>6}:9o?1h<521g4905=::9;18=5rs0g4>5<2s48;<7j>;<3e4?3>34;m=7;6;<3e6?3>34;ni7;6;|qff?6=<r7:jo4:8:?e5?b?34;n:7;m;<3f4?3e3ty98;4?:2y>5cd==016=k85479>610=l11v<hm:18087aj3n:70?i6;fb?84793?m7p}>f783>6}:9o<1h<521g5905=::9818=5rs0g;>5<2s48;=7j>;<3e5?3f34;m>7;n;<025?3?34;nh7;7;|q17a<720q6=km5599>5c1=<?16>995d99>a1<2027n?7;6;<651?3?34>=:7;n;<653?3?34>=47;n;|qfg?6=<r7:jn4:9:?e6?b?34;n97;6;<3gb?3f3ty:jn4?:2y>5ce=l816=k95d`9>654==o1v<h8:18187a?3n:70<?3;63?xu6m00;69u22109`4=:9o819o5220391<=:9ln1945rs31f>5<?s4;mh7;7;<37<?22348?47j7;<g0>0><5=<>6874=545>0><5=<<68o4=54;>0><uzoo6=4;{<3e`?3>34l86i64=0g5>0?<58o;6874}r3e`?6=:r7:ji4k1:?146<2n2wx=ho50;1x97642m;01??>:4c894cc2<k0q~?>b;291~;a;3>>70?=1;f;?8`22<301k95599>b<<2i2wxj94?:3y>b7<3=27m97j>;|qe2?6=:r7m=7::;<d4>a7<uzl36=4;{<d3>13<5o31h<52fc86=>;al3?j7p}ia;296~;bn3>>70hm:e38yv`d2909w0kj:5789cb=l81v<;n:186874:3>>70?90;f;?874<3?270?<6;7;?87403?j7p}>3283>7}:9:;188521269`4=z{89>6=4={<304?2234;8:7j>;|q272<72=q6=?h5449>56>=l816=>o5589>56e==h1v<=6:181875m3>>70?<a;f2?xu6;k0;6?u213f900=:9:i1h<5rs06f>5<5s4;=<7::;<360?b?3ty:8i4?:3y>50`=<<16=8=5d99~w43>290?w0?:f;f;?874<3?370?<6;7:?87403?i7p}>4b83>7}:9<o188521409`==z{8?36=4<{<36a?b?34;8:7;n;<30<?3?3ty:8o4?:3y>50b=<<16=8?5d99~w4302909w0?:d;f;?87403?27p}>4`83>7}:9<i188521429`==z{8?=6=4<{<36g?b?34;8m7;7;<30g?3>3ty:844?:3y>50d=<<16=9h5d99~w4322909w0?:b;f;?874k3?37p}>0g83>7}:9;;188521079`==z{8:n6=4={<314?2234;:87j7;|q25d<72=q6=?>5d99>b0<2027m;7;6;<d:>0d<uz;;h7>52z?25c<3=27:=>4k8:p54?=839p1<?i:e:89c1==h16j44:8:p55e=838p1<?j:57894752m20q~?>8;296~;69l0o463i9;7:?xu68k0;6?u210f900=:98;1h55rs034>5<4s4;:h7j7;<da>0><5on1945rs02b>5<5s4;:o7::;<324?b?3ty:=;4?:3y>54e=l116ji4:8:p514=838p1<;;:578942?2m20q~?;1;296~;6=:0?963>468g<>{t9=:1<7<t=071>13<58>=6i64}r30b?6=:r7:9<4;5:?200<c02wx=>k50;0x94372=?01<:;:e:8yv74l3:1>v3>4g871>;6<:0o46s|11194?4|58;>69;4=02:>a><uz;;>7>52z?251<3=27:<54k8:p557=838p1<?<:57894602m20q~??0;296~;69;0?963>078g<>{tno0;6?u2103900=:99?1h55rsgg94?4|58;;69;4=027>a><uz;9>7>52z?24=<3=27oi7j>;|q266<72;q6==95449>`c<c92wx=?:50;0x94612=?01h>5d09~w4422909w0??5;66?8c62m;0q~?=6;296~;68=0?963j2;f2?xu6>80;6?u2155900=:m:0o=6s|17094?4|58>=69;4=d69`4=z{8<86=4={<371?2234o>6i?4}r350?6=:r7:894;5:?f2?b63ty::84?:3y>515=<<16i:4k1:p5<e=838p1<7m:57894?c2m;0q~?6b;29<~;61k0o463>9`86=>;6i80>m63>9e86e>;61?0>463>9486f>;61>0>563>8`86=>{t9031<7=t=0;;>13<58k969;4=0;b>a7<uz;247>58z?2==<c027:5l4:8:?2e4<2127:5i4:9:?2=3<2127:584:a:?2=2<2027:4l4:8:p5d4=839p1<o=:e:894>02<k01<6n:4c8yv7f83:1?v3>9g871>;61l0?963>a08g5>{t90o1<7<t=0;f>a><58k:6864}r65e?6=9>q6>?l53d9>67g=;l16>?m53d9>67>=;l16>?953d9>67?=;l16>>;53d9>665=;l16>>:53d9>66>=;l16>>853d9>661=;l16>9653d9>611=;l16>9;53d9>615=;l16>9?53d9>66`=;l16><<5d09>614=;l16>9>53d9>612=;l16>9853d9~w7732909w0<=b;66?846m3n:7p}=2483>0}::;h1h552225900=::8o1955220d91d=:9ml1945rs33;>5<5s489m7::;<02`?b63ty9>94?:7y>67g=l116>>85449>64c==h16><h55c9>5`6==h16><j5599~w7722909w0<=c;66?846n3n:7p}=2783>1}::;i1h55222:900=::8l195521d291==z{;;=6=4={<01<?22348:m7j>;|q167<72>q6>?65d99>662=<<16><o5599>64d==016><j55`9>5ac==016><m5589~w7742909w0<=7;66?84613n:7p}=2083>=}::;=1h552221900=::8k1945220`91d=:9l;19l5220f91<=::8319:5220a91==z{;;<6=4={<01=?22348:n7j>;|q166<72?q6>?75d99>663=<<16><l5599>5`7==116><j55c9>64e==h1v?<i:187844=3n370<;3;66?87b<3?j70<;4;66?xu5:m0;69u22219`==:::l188521d691==::=:1885rs30f>5<3s48887j7;<075?2234;n97;m;<076?223ty9??4?:2y>66>=l116>965449>5`0==11v?=?:187844>3n370<;5;66?87b>3?j70<;6;66?xu5;80;6>u22259`==::==188521d791==z{;9h6=463z?100<c0279m84<8:?12a<40279o;4<8:?1f5<40279no4<8:?1a2<40279h<4<8:?1`f<40279;44<8:?1b7<40279:h4<8:?1=d<40279494<8:?1<c<40279m?4<8:?1e5<40279m<4<8:?1e3<40279m>4<8:?1e1<40279m:4<8:?1e=<40279mo4<8:?1e<<40279ml4<8:?1e`<40279mn4<8:?1ea<40279n?4<8:?1ec<40279n<4<8:?1f0<40279n>4<8:?1f1<40279n54<8:?1f3<40279n:4<8:?1ff<40279n44<8:?1fd<40279nk4<8:?1fa<40279nh4<8:?1g5<40279o<4<8:?1g1<40279o?4<8:?1g6<40279o54<8:?1g0<40279o:4<8:?1gg<40279o44<8:?1gd<40279oh4<8:?1gf<40279oi4<8:?1`7<40279ok4<8:?1`5<40279h84<8:?1`6<40279h94<8:?1`=<40279h;4<8:?1`2<40279h44<8:?1`d<40279hh4<8:?1`g<40279hi4<8:?1a4<40279hk4<8:?1a5<40279i94<8:?1a7<40279i>4<8:?1a0<40279i;4<8:?1ad<40279i54<8:?1a<<40279ii4<8:?1ag<40279in4<8:?1b5<40279ih4<8:?1ac<40279j<4<8:?1b6<40279j;4<8:?1b1<40279j84<8:?1b<<40279j:4<8:?1b=<40279jn4<8:?1bd<40279jo4<8:?134<40279:k4<8:?135<40279;94<8:?137<40279;>4<8:?132<40279;84<8:?133<40279;o4<8:?13=<40279;l4<8:?13f<40279;i4<8:?1<5<40279;h4<8:?13c<402794>4<8:?1<4<402794?4<8:?1<2<40279484<8:?1<3<40279454<8:?1<<<402794n4<8:?1<d<402794o4<8:?1=5<402794i4<8:?1<`<402795>4<8:?1=4<402795?4<8:?1=1<40279584<8:?1==<402795;4<8:?1=2<402795n4<8:?1=<<402795o4<8:?1=c<402795i4<8:?1=`<4027n97;7;<g7>0?<uz88n7>592y>615=l116>l;5369>63b=;>16>n85369>6g6=;>16>ol5369>6`1=;>16>i?5369>6ae=;>16>:75369>6c4=;>16>;k5369>6<g=;>16>5:5369>6=`=;>16>l<5369>6d6=;>16>l?5369>6d0=;>16>l=5369>6d2=;>16>l95369>6d>=;>16>ll5369>6d?=;>16>lo5369>6dc=;>16>lm5369>6db=;>16>o<5369>6d`=;>16>o?5369>6g3=;>16>o=5369>6g2=;>16>o65369>6g0=;>16>o95369>6ge=;>16>o75369>6gg=;>16>oh5369>6gb=;>16>ok5369>6f6=;>16>n?5369>6f2=;>16>n<5369>6f5=;>16>n65369>6f3=;>16>n95369>6fd=;>16>n75369>6fg=;>16>nk5369>6fe=;>16>nj5369>6a4=;>16>nh5369>6a6=;>16>i;5369>6a5=;>16>i:5369>6a>=;>16>i85369>6a1=;>16>i75369>6ag=;>16>ik5369>6ad=;>16>ij5369>6`7=;>16>ih5369>6`6=;>16>h:5369>6`4=;>16>h=5369>6`3=;>16>h85369>6`g=;>16>h65369>6`?=;>16>hj5369>6`d=;>16>hm5369>6c6=;>16>hk5369>6``=;>16>k?5369>6c5=;>16>k85369>6c2=;>16>k;5369>6c?=;>16>k95369>6c>=;>16>km5369>6cg=;>16>kl5369>627=;>16>;h5369>626=;>16>::5369>624=;>16>:=5369>621=;>16>:;5369>620=;>16>:l5369>62>=;>16>:o5369>62e=;>16>:j5369>6=6=;>16>:k5369>62`=;>16>5=5369>6=7=;>16>5<5369>6=1=;>16>5;5369>6=0=;>16>565369>6=?=;>16>5m5369>6=g=;>16>5l5369>6<6=;>16>5j5369>6=c=;>16>4=5369>6<7=;>16>4<5369>6<2=;>16>4;5369>6<>=;>16>485369>6<1=;>16>4m5369>6<?=;>16>4l5369>6<`=;>16>4j5369>6<c=;>16i;4:8:?f1?3>3ty9?l4?:81x97262m201?o::248970c2:<01?m9:24897d72:<01?lm:24897c02:<01?j>:24897bd2:<01?96:24897`52:<01?8j:24897?f2:<01?6;:24897>a2:<01?o=:24897g72:<01?o>:24897g12:<01?o<:24897g32:<01?o8:24897g?2:<01?om:24897g>2:<01?on:24897gb2:<01?ol:24897gc2:<01?l=:24897ga2:<01?l>:24897d22:<01?l<:24897d32:<01?l7:24897d12:<01?l8:24897dd2:<01?l6:24897df2:<01?li:24897dc2:<01?lj:24897e72:<01?m>:24897e32:<01?m=:24897e42:<01?m7:24897e22:<01?m8:24897ee2:<01?m6:24897ef2:<01?mj:24897ed2:<01?mk:24897b52:<01?mi:24897b72:<01?j::24897b42:<01?j;:24897b?2:<01?j9:24897b02:<01?j6:24897bf2:<01?jj:24897be2:<01?jk:24897c62:<01?ji:24897c72:<01?k;:24897c52:<01?k<:24897c22:<01?k9:24897cf2:<01?k7:24897c>2:<01?kk:24897ce2:<01?kl:24897`72:<01?kj:24897ca2:<01?h>:24897`42:<01?h9:24897`32:<01?h::24897`>2:<01?h8:24897`?2:<01?hl:24897`f2:<01?hm:24897162:<01?8i:24897172:<01?9;:24897152:<01?9<:24897102:<01?9::24897112:<01?9m:248971?2:<01?9n:248971d2:<01?9k:24897>72:<01?9j:248971a2:<01?6<:24897>62:<01?6=:24897>02:<01?6::24897>12:<01?67:24897>>2:<01?6l:24897>f2:<01?6m:24897?72:<01?6k:24897>b2:<01?7<:24897?62:<01?7=:24897?32:<01?7::24897??2:<01?79:24897?02:<01?7l:24897?>2:<01?7m:24897?a2:<01?7k:24897?b2:<01h95599>a3<212wx>>750;;1844n3n370<n5;16?841l39>70<l6;16?84e839>70<mb;16?84b?39>70<k1;16?84ck39>70<89;16?84a:39>70<9e;16?84>i39>70<74;16?84?n39>70<n2;16?84f839>70<n1;16?84f>39>70<n3;16?84f<39>70<n7;16?84f039>70<nb;16?84f139>70<na;16?84fm39>70<nc;16?84fl39>70<m2;16?84fn39>70<m1;16?84e=39>70<m3;16?84e<39>70<m8;16?84e>39>70<m7;16?84ek39>70<m9;16?84ei39>70<mf;16?84el39>70<me;16?84d839>70<l1;16?84d<39>70<l2;16?84d;39>70<l8;16?84d=39>70<l7;16?84dj39>70<l9;16?84di39>70<le;16?84dk39>70<ld;16?84c:39>70<lf;16?84c839>70<k5;16?84c;39>70<k4;16?84c039>70<k6;16?84c?39>70<k9;16?84ci39>70<ke;16?84cj39>70<kd;16?84b939>70<kf;16?84b839>70<j4;16?84b:39>70<j3;16?84b=39>70<j6;16?84bi39>70<j8;16?84b139>70<jd;16?84bj39>70<jc;16?84a839>70<je;16?84bn39>70<i1;16?84a;39>70<i6;16?84a<39>70<i5;16?84a139>70<i7;16?84a039>70<ic;16?84ai39>70<ib;16?840939>70<9f;16?840839>70<84;16?840:39>70<83;16?840?39>70<85;16?840>39>70<8b;16?840039>70<8a;16?840k39>70<8d;16?84?839>70<8e;16?840n39>70<73;16?84?939>70<72;16?84??39>70<75;16?84?>39>70<78;16?84?139>70<7c;16?84?i39>70<7b;16?84>839>70<7d;16?84?m39>70<63;16?84>939>70<62;16?84><39>70<65;16?84>039>70<66;16?84>?39>70<6c;16?84>139>70<6b;16?84>n39>70<6d;16?84>m39>70k8:4;8yv5?l3:1>v3<d4871>;3>;0o=6s|3c394?4|5:n>6i64=36:>13<uz9247>52z?0`3<3=27?=:4k1:p7ge=838p1>j9:e:8972f2=?0q~=n3;296~;4m80?963;398g5>{t;j=1<7<t=2g2>a><5;?>69;4}r1be?6=:r78in4;5:?70a<c92wx?nk50;0x96cd2m201?8?:578yv5fj3:1>v3<f2871>;3=90o=6s|3bd94?4|5:l86i64=344>13<uz9jo7>52z?0b1<3=27?9>4k1:p7a6=838p1>h;:e:8970?2=?0q~=nd;296~;4n<0?963;578g5>{t;m;1<7<t=2d6>a><5;<269;4}r1ba?6=:r78j;4;5:?71<<c92wx?i<50;0x96`12m201?8n:578yv5fn3:1>v3<f6871>;3=j0o=6s|3e194?4|5:l<6i64=34a>13<uz9i<7>52z?0b=<3=27?9k4k1:p7a2=838p1>h7:e:8970d2=?0q~=7e;296~;4l>0?963<f88g5>{t;k81<7<t=2f4>a><5;>i69;4}r1;b?6=:r78h54;5:?0bf<c92wx?o=50;0x96b?2m201?:l:578yv5>83:1>v3<d8871>;4no0o=6s|3c694?4|5:n26i64=36g>13<uz92=7>52z?0`d<3=27?<?4k1:p7g3=838p1>jn:e:8972b2=?0q~=62;296~;4lk0?963;048g5>{t;k<1<7<t=2fa>a><5;>m69;4}r1:7?6=:r78hn4;5:?74=<c92wx?o950;0x96bd2m201?;?:578yv5><3:1>v3<de871>;38k0o=6s|3c:94?4|5:no6i64=372>13<uz9297>52z?0``<3=27?<h4k1:p7g?=838p1>jj:e:897352=?0q~=66;296~;4lo0?963;108g5>{t;kk1<7<t=2fe>a><5;?869;4}r1:3?6=:r78i=4;5:?751<c92wx?ol50;0x96c72m201?;;:578yv5>13:1>v3<e3871>;39h0o=6s|3cf94?4|5:o96i64=375>13<uz92m7>52z?0a6<3=27?=i4k1:p7gc=838p1>k<:e:897302=?0q~=6b;296~;4m=0?963;218g5>{t;kl1<7<t=2g7>a><5;?369;4}r1:g?6=:r78i84;5:?766<c92wx?n>50;0x96c22m201?;6:578yv5>l3:1>v3<e7871>;3:?0o=6s|3b394?4|5:o=6i64=37b>13<uz92i7>52z?0a2<3=27?>44k1:p7f4=838p1>k8:e:8973e2=?0q~=6f;296~;4m10?963;2b8g5>{t;j91<7<t=2g;>a><5;?h69;4}r1b4?6=:r78i44;5:?76c<c92wx?n:50;0x96c>2m201?;k:578yv5f93:1>v3<e`871>;3;;0o=6s|3b794?4|5:oj6i64=37f>13<uz9j>7>52z?0ag<3=27??84k1:p7f0=838p1>km:e:8973a2=?0q~=n4;296~;4mm0?963;3c8g5>{t;j21<7<t=2gg>a><5;<:69;4}r1b1?6=:r78ih4;5:?77`<c92wx?n750;0x96cb2m201?8=:578yv5f>3:1>v3<eg871>;3<80o=6s|3bc94?4|5:om6i64=340>13<uz9j;7>52z?0b5<3=27?894k1:p7fd=838p1>h?:e:897032=?0q~=n8;296~;4n80?963;468g5>{t;ji1<7<t=2d2>a><5;<>69;4}r1b=?6=:r78j?4;5:?70d<c92wx?nj50;0x96`52m201?89:578yv21>3:1>8u22`790=2<5;n:696;;<05a?2?<2795l4;859>6d5=<1>01?o7:5:7?84fk3>3863=b087<1=::k?185:4=3`:>1>3348ii7:74:?1g7<30=16>n95496897ee2=2?70<lf;6;0>;5l=0?49522e:90=2<5;no696;;<0f5?2?<279i84;859>6`g=<1>01?kj:5:7?84a;3>3863=f687<1=::oh185:4=352>1>3348<97:74:?13d<30=16>:k5496897>52=2?70<77;6;0>;50k0?495228290=2<5;3?696;;<0:<?2?<2795i4;859>030=l81v>68:18184f=3><70:94;7b?xu3><0;6?;t=34g>1>3348h:7:74:?1b7<30=16>5h5496897g52=2?70<n7;6;0>;5ik0?49522`d90=2<5;h?696;;<0a<?2?<279ni4;859>6f7=<1>01?m::5:7?84di3>3863=cd87<1=::m9185:4=3f4>1>3348on7:74:?1a5<30=16>h:5496897c>2=2?70<jd;6;0>;5n80?49522g490=2<5;lj696;;<044?2?<279;94;859>62>=<1>01?9k:5:7?84?93>3863=8787<1=::1k185:4=3:f>1>33482?7:74:?1=2<30=16>4m5496891022m;0q~=8c;296~;5>m0?;63;6586=>{t;821<7<t=3a5>11<5=;26874}r653?6=:<q6>o>5496897bd2=2?70<89;6;0>;5i90?49522`690=2<5;k2696;;<0b`?2?<279n?4;859>6g0=<1>01?ln:5:7?84en3>3863=c287<1=::j2185:4=3a`>1>3348o<7:74:?1`0<30=16>i75496897bb2=2?70<j2;6;0>;5m?0?49522d`90=2<5;om696;;<0e0?2?<279j54;859>6ce=<1>01?9=:5:7?840>3>3863=7c87<1=::>l185:4=3:0>1>3348347:74:?1<f<30=16>4?5496897?22=2?70<69;6;0>;51l0?49524759`4=z{::96=4={<0a4?2034>=?7;6;|q72=<72;?p1?lm:5:7?84b?3>3863=8587<1=::h;185:4=3c5>1>3348jm7:74:?1e`<30=16>o=5496897d02=2?70<mc;6;0>;5k90?49522b690=2<5;i2696;;<0``?2?<279h?4;859>6a0=<1>01?jn:5:7?84cn3>3863=e287<1=::l2185:4=3g`>1>3348m<7:74:?1b0<30=16>k754968970a2=2?70<83;6;0>;5?>0?495226a90=2<5;2;696;;<0;1?2?<279444;859>6=b=<1>01?7=:5:7?84>>3>3863=9c87<1=::0l185:4=54;>a7<uz9;h7>52z?1fg<3?27?:>4:a:p76?=838p1?k8:558917?2<k0q~==3;296~;5l80?;63;1886e>{t;;o1<7<t=3f`>11<5=;36874}r16e?6=:r79;44;7:?77<<212wx?9:50;0x97`52==019=n:4;8yv53n3:1>v3=6d873>;3;h0>m6s|37;94?4|5;3j6994=56e>0g<uz9=97>52z?1<1<3?27??44:a:p73>=838p1?6i:558912a2<30q~=9c;296~;5i;0?;63;5386=>{t;?k1<7<t=3c3>11<5=>n6874}r15f?6=:r79m<4;7:?70`<2i2wx?;h50;0x97g12==019;>:4c8yv51l3:1>v3=a2873>;3=;0>m6s|37g94?4|5;k?6994=572>0?<uz9<<7>52z?1e2<3?27?984:9:p727=838p1?o7:55891322<k0q~=84;296~;5ik0?;63;5986=>{t;>81<7<t=3c:>11<5=??6874}r147?6=:r79ml4;7:?711<2i2wx?:950;0x97gb2==019;8:4c8yv50=3:1>v3=ab873>;3=10>m6s|36494?4|5;ko6994=574>0?<uz9<m7>52z?1f7<3?27?9l4:9:p72>=838p1?oi:558913e2<30q~=89;296~;5j80?;63;5c86e>{t;>o1<7<t=3`6>11<5=?n68o4}r14f?6=:r79n>4;7:?71d<2i2wx?:j50;0x97d32==019;j:4;8yv5?93:1>v3=b9873>;3>80>56s|36d94?4|5;h=6994=57g>0?<uz93<7>52z?1f2<3?27?9i4:a:p7=2=838p1?ll:55891072<k0q~=72;296~;5j00?;63;6086e>{t;191<7<t=3`b>11<5=<;6874}r1;<?6=:r79nk4;7:?0bd<212wx?5;50;0x97dc2==01>hm:4;8yv5?>3:1>v3=bd873>;4nk0>m6s|39;94?4|5;i;6994=2db>0g<uz93m7>52z?1g4<3?278jh4:9:p6cb=838p1?m;:55896`c2<k0q~=7b;296~;5k;0?;63<fd86e>{t;1i1<7<t=3a0>11<5:lo6874}r134?6=:r79o54;7:?745<212wx>kk50;0x97e22==019>>:4;8yv4an3:1>v3=c6873>;3880>m6s|31694?4|5;ii6994=527>0g<uz9;=7>52z?1g<<3?27?<=4:a:p755=838p1?mn:55891632<30q~=?7;296~;5kl0?;63;0686=>{t;9?1<7<t=3a`>11<5=:86874}r132?6=:r79oi4;7:?746<2i2wx?=o50;0x97b52==019>9:4c8yv5703:1>v3=cg873>;38>0>m6s|31;94?4|5;n;6994=525>0?<uz9;i7>52z?1`0<3?27?<44:9:p75d=838p1?j<:558916f2<30q~=?c;296~;5l=0?;63;0`86e>{t;8;1<7<t=3f;>11<5=:o68o4}r13b?6=:r79h;4;7:?74<<2i2wx?<>50;0x97b02==019>k:4;8yv56:3:1>v3=d8873>;38j0>56s|30194?4|5;nj6994=52`>0g<uz9::7>52z?1``<3?27?<k4:9:p742=838p1?jm:55891772<30q~=>5;296~;5lm0?;63;1186e>{t;8k1<7<t=3g2>11<5=;868o4}r123?6=:r79hk4;7:?74c<2i2wx?<750;0x97c72==019?<:4;8yv56l3:1>v3=e5873>;39?0>56s|30`94?4|5;o96994=531>0?<uz9:o7>52z?1a6<3?27?=?4:a:p74c=838p1?k::55891712<k0q~=>f;296~;5m?0?;63;1486=>{t;;81<7<t=3gb>11<5=;h68o4}r114?6=:r79i54;7:?750<2i2wx???50;0x97c>2==019?l:4;8yv55>3:1>v3=ee873>;39o0>56s|33694?4|5;oi6994=53a>0?<uz9997>52z?1af<3?27?=o4:a:p77?=838p1?h?:558917b2<k0q~==7;296~;5ml0?;63;1g86e>{t;;21<7<t=3ge>11<5=;n6874}r11e?6=:r79j<4;7:?767<212wx??l50;0x97`42==019<=:4c8yv55n3:1>v3=f7873>;3:<0>56s|33a94?4|5;l?6994=502>0?<uz99h7>52z?1b0<3?27?><4:a:p764=838p1?h6:55891432<k0q~=<0;296~;5n>0?;63;2486e>{t;:;1<7<t=3d;>11<5=8?6874}r101?6=:r79jn4;7:?762<212wx?>=50;0x97`f2==019<7:4;8yv54<3:1>v3=fc873>;3:10>m6s|32:94?4|5;=:6994=50a>0g<uz98:7>52z?12c<3?27?>:4:a:p761=838p1?9?:558914e2<30q~=<c;296~;5?=0?;63;2d86=>{t;:k1<7<t=351>11<5=8j6874}r10f?6=:r79;>4;7:?76d<2i2wx?>h50;0x97102==019<k:4c8yv54l3:1>v3=74873>;3:l0>m6s|32g94?4|5;==6994=50g>0?<uz9?>7>52z?13g<3?27??=4:9:p716=838p1?97:55891562<30q~=;1;296~;5?h0?;63;3086e>{t;=91<7<t=35`>11<5=9;68o4}r171?6=:r79;i4;7:?771<212wx?9650;0x97>72==019=<:4c8yv53>3:1>v3=7d873>;3;=0>m6s|35594?4|5;=m6994=510>0?<uz9?n7>52z?1<6<3?27??;4:9:p71?=838p1?6>:55891502<30q~=;a;296~;50;0?;63;3686e>{t;=o1<7<t=3:4>11<5=9o68o4}r17g?6=:r79484;7:?773<2i2wx?9j50;0x97>12==019=k:4;8yv5283:1>v3=89873>;3;j0>56s|34394?4|5;226994=51`>0g<uz9>87>52z?1<f<3?27??k4:9:p704=838p1?6n:55891272<30q~=:3;296~;50k0?;63;4186e>{t;<=1<7<t=3;3>11<5=>868o4}r161?6=:r794i4;7:?77c<2i2wx?8850;0x97>b2==019:<:4;8yv52j3:1>v3=92873>;3<?0>56s|34:94?4|5;3:6994=561>0?<uz9>57>52z?1=7<3?27?8?4:a:p70e=838p1?7;:55891212<k0q~=:d;296~;51<0?;63;4486=>{t;?:1<7<t=3;;>11<5=>268o4}r16a?6=:r795;4;7:?700<2i2wx?8h50;0x97?02==019:6:4;8yv51;3:1>v3=9b873>;3<j0>56s|37394?4|5;326994=56;>0?<uz9=>7>52z?1=g<3?27?854:a:p731=838p1?7i:558912e2<k0q~=94;296~;51m0?;63;4b86e>{t;?<1<7<t=3;f>11<5=>i6874}r62=?6=:r7?=:4:8:?75<<c92wx8<650;0x91702<3019?7:e38yv21<3:1>v3;6386<>;3>=0o=6s|47194?4|5=<96874=540>a7<uz>8m7>52z?77=<2027??l4k1:p06?=838p19=7:4;8915>2m;0q~:;f;296~;3<m0>463;4g8g5>{t<=o1<7<t=56g>0?<5=>n6i?4}r66<?6=:r7?9;4:8:?71=<c92wx88950;0x91312<3019;8:e38yv22:3:1>v3;5186<>;3=;0o=6s|44394?4|5=?;6874=572>a7<uz>>97>52z?716<2027?984k1:p002=838p19;<:4;891332m;0q~::b;296~;3=00>463;5c8g5>{t<<k1<7<t=57:>0?<5=?j6i?4}r66a?6=:r7?9n4:8:?71`<c92wx88j50;0x913d2<3019;k:e38yv5am3:1>v3<fb86<>;4nl0o=6s|3gf94?4|5:lh6874=2dg>a7<uz>==7>52z?71c<2027?:<4k1:p036=838p19;i:4;891072m;0q~=ib;296~;4n00>463<fc8g5>{t;ok1<7<t=2d:>0?<5:lj6i?4}r635?6=:r78jk4:8:?744<c92wx8=>50;0x96`a2<3019>?:e38yv27<3:1>v3;0386<>;38=0o=6s|41194?4|5=:96874=520>a7<uz>;;7>52z?740<2027?<:4k1:p050=838p19>::4;891612m;0q~:?a;296~;3810>463;0`8g5>{t<931<7<t=52;>0?<5=:26i?4}r63`?6=:r7?<o4:8:?74a<c92wx8=m50;0x916e2<3019>l:e38yv2683:1>v3;0d86<>;3990o=6s|41d94?4|5=:n6874=52e>a7<uz>:o7>52z?75d<2027?=n4k1:p04d=838p19?n:4;8917e2m;0q~:>3;296~;3980>463;128g5>{t<881<7<t=532>0?<5=;96i?4}r622?6=:r7?=94:8:?753<c92wx8<;50;0x91732<3019?::e38yv26n3:1>v3;1e86<>;39o0o=6s|40g94?4|5=;o6874=53f>a7<uz>9>7>52z?765<2027?>?4k1:p077=838p19<?:4;891462m;0q~:=5;296~;3::0>463;248g5>{t<;>1<7<t=500>0?<5=8?6i?4}r61<?6=:r7?>;4:8:?76=<c92wx8?950;0x91412<3019<8:e38yv25j3:1>v3;2886<>;3:k0o=6s|43c94?4|5=826874=50b>a7<uz>9i7>52z?76f<2027?>h4k1:p07b=838p19<l:4;8914c2m;0q~:<7;296~;3;<0>463;368g5>{t<:<1<7<t=516>0?<5=9=6i?4}r605?6=:r7?>k4:8:?774<c92wx8>>50;0x914a2<3019=?:e38yv24<3:1>v3;3386<>;3;=0o=6s|42194?4|5=996874=510>a7<uz>8h7>52z?77g<2027??i4k1:p06e=838p19=m:4;8915d2m;0q~:;0;296~;3;l0>463;418g5>{t<:l1<7<t=51f>0?<5=9m6i?4}r677?6=:r7?8<4:8:?706<c92wx89<50;0x91262<3019:=:e38yv23>3:1>v3;4586<>;3<?0o=6s|45794?4|5=>?6874=566>a7<uz>?57>52z?702<2027?844k1:p01>=838p19:8:4;8912?2m;0q~:;c;296~;3<h0>463;4b8g5>{t<=h1<7<t=56b>0?<5=>i6i?4}r65=?6=:<q6>;853d9>633=;l16>;:53d9>635=;l16>;<53d9>637=;l16>8h53d9>60c=;l16>8j53d9>60e=;l16>8l53d9>60g=;l16>8753d9>60>=;l16>8953d9>600=;l16>8:53d9>605=;l16>8<53d9>607=;l16>8>53d9>61`=;l16>9k53d9>61b=;l16>9m53d9>61d=;l16>;m53d9>63d=;l16>;o53d9>63?=;l16>;653d9>631=;l16>;>53d9>603=;l16>9o53d9>61?=;l16=485d09~wd1=838p1<l=:4;894d62?:0q~?m3;296~;6j<0>563>b08g5>{ti?0;6?u220g91<=::8i1:=5rs303>5<5s48:j7;6;<02g?b63ty:mh4?:3y>5=1==116=495619~w4c32909w0?j4;f2?87b;3?j7p}>e483>7}:9l?1h<521d191<=z{8o=6=4={<3f2?b634;n?7;7;|q2a5<72;q6=h>5d09>5`4==11v<k>:18187b93n:70?j2;7:?xu6ik0;6?u21``9`4=:9hk19l5rs0c`>5<5s4;jo7j>;<3be?3>3ty:h:4?:3y>5db==116=i95d99~w4gc2909w0?nd;f2?87fi3?37p}>a483>7}:9h?1h<521`491d=z{8k<6=4={<3b3?b634;j57;7;|q2e=<72;q6=l65d09>5d?==01vll50;4x94>>2<201<6l:4:894>b2<201<6i:4:894?52<201<6n:e38yv0a2909w0?60;f2?87?n3?i7p}na;297~;6ml0o=63=1086f>;6mm0>n6s|a983>7}:9?h1955219c925=z{j;1<7<t=04a>a7<583?68l4}ra6>5<5s4;=o7j>;<3:6?3e3ty:m;4?:3y>5d0=l816=l755`9~w4bb2909w0?j2;7b?87cm3n:7p}81;296~;6m;0>n63>e2854>{t0>0;6?u21`;91g=:9hk1:=5rs8;94?4|58nn68l4=0fe>36<uty?hk4?:3y]0gd<5:k18ol4$55e>f7<uz>oh7>52z\7fd=:;h0?nl5+46d9g7=z{=nh6=4={_6a=>;4i3>i56*;7g8`1>{t<mh1<7<t^5`;?85f2=h37):8f;a:?xu3lh0;6?uQ4c5896g=<k=0(99i:638yv2c13:1>vP;b79>7d<3j?1/8:h57b9~w1b02909wS:m4:?0e?2e<2.?;k477:p0a0=838pR9l<;<1b>1d43-><j77=;|q7`0<72;qU8o<4=2c90g4<,==m6474}r6g0?6=:rT?n<523`87f4=#<>l1m?5rs5f0>5<5sW>i<63<a;6a4>"3?o0j:6s|4e094?4|V=km70=n:5ce?!20n3k<7p};d083>7}Y<ho01>o54`g8 11a2h20q~:k0;296~X3im16?l4;ae9'02`=i01v9mi:181[2fk278m7:nc:&73c<fj2wx8nk50;0xZ1ge349j69om;%64b?gd3ty?on4?:3y]0d?<5:k18l74$55e>db<uz>hn7>52z\7e==:;h0?m55+46d9e`=z{=ij6=4={_6b3>;4i3>j;6*;7g8bb>{t<j31<7<t^5c5?85f2=k=7):8f;`3?xu3k10;6?uQ4`7896g=<h?0(99i:c38yv2d?3:1>vP;a59>7d<3i=1/8:h5b39~w1e12909wS:n3:?0e?2f;2.?;k4m3:p0f3=838pR9o=;<1b>1g53-><j7l;;|q7g1<72;qU8l?4=2c90d7<,==m6o;4}r6`7?6=:rT?m=523`87e5=#<>l1n;5rs5g5>5<5sW>h=63<a;6`5>"3?o0i;6s|4d794?4|V=i;70=n:5a3?!20n3h37p};e583>7}Y<kl01>o54cd8 11a2k30q~:j3;296~X3jl16?l4;bd9'02`=jh1v9k=:181[2el278m7:md:&73c<ej2wx8h?50;0xZ1dd349j69ll;%64b?dd3ty?i=4?:3y]0g3<5:k18o;4$55e>gb<uz>o47>52z\7ed=:;h0?ml5+46d9f`=z{=io6=4={_6:b>;4i3>2j6*;7g8ab>{t<j81<7<t^5;f?85f2=3n7):8f;a3?xu2>>0;6?uQ575896g==?=0(99i:b18yv30?3:1>vP:769>7d<2?>1/8:h5c59~w01>2909wS;89:?0e?3012.?;k4l6:p1=6=838pR86?;<1b>0>73-><j7m8;|q6=5<72;qU94>4=2c91<6<,==m6n64}r71<?6=:rT><9523`8641=#<>l1ol5rs405>5<5sW?;?63<a;737>"3?o0hn6s|53794?4|V<:970=n:421?!20n3ih7p}:2583>7}Y=9;01>o55138 11a2jn0q~;=3;296~X28916?l4:019'02`=kl1v8<=:181[2an278m7:if:&73c<0:2wx9?>50;0xZ1`c349j69hk;%64b?143ty>=k4?:3y]0ce<5:k18km4$55e>22<uz?:i7>52z\7bg=:;h0?jo5+46d930=z{<;o6=4={_6ee>;4i3>mm6*;7g842>{t=8i1<7<t^5d:?85f2=l27):8f;54?xu29k0;6?uQ4g:896g=<o20(99i:6:8yv36i3:1>vP;f69>7d<3n>1/8:h5789~w07>2909wS:i6:?0e?2a>2.?;k48a:p14>=838pR9h:;<1b>1`23-><j79m;|q652<72;qU8k:4=2c90c2<,==m6:j4}r721?6=:rT?j?523`87b7=#<>l1;h5rs437>5<5sW>m=63<a;6e5>"3?o0<j6s|50194?4|V=l;70=n:5d3?!20n32;7p}:1383>7}Y<ll01>o54dd8 11a21;0q~;>1;296~X3ml16?l4;ed9'02`=0;1v8??:181[2bl278m7:jd:&73c<?;2wx9=h50;0xZ1cd349j69kl;%64b?>33ty><h4?:3y]0`d<5:k18hl4$55e>=3<uz?;h7>52z\7ad=:;h0?il5+46d9<3=z{<:h6=4={_6f=>;4i3>n56*;7g8;<>{t=;l1<7<t^42b?85f2<:j7):8f;::?xu2:l0;6?uQ51;896g==930(99i:9c8yv35l3:1>vP:099>7d<2811/8:h58c9~w04d2909wS;?7:?0e?37?2.?;k47c:p17d=838pR8>9;<1b>0613-><j76k;|q66d<72;qU9=;4=2c9153<,==m65k4}r71=?6=:rT?jh523`87b`=#<>l14k5rs402>5<5sW>m?63<a;6e7>"3?o02<6s|50494?4|V=o370=n:5g;?!20n33:7p}:0c83>7}Y<l=01>o54d58 11a2090q~;<1;296~X2;816?l4:309'02`=1=1v8=8:181[34?278m7;<7:&73c<>=2wx9:850;0xZ00b349j688j;%64b??13ty>;94?:3y]13b<5:k19;j4$55e><1<uz?<?7>52z\62f=:;h0>:n5+46d9===z{<=96=4={_75f>;4i3?=n6*;7g8:e>{t=>;1<7<t^44b?85f2<<j7):8f;;a?xu2?90;6?uQ57;896g==?30(99i:8a8yv31n3:1>vP:699>7d<2>11/8:h59e9~w0>a2909wS;77:?0e?3??2.?;k46e:p1=b=838pR869;<1b>0>13-><j77i;|q6<f<72;qU95;4=2c91=3<,==m6l>4}r7;f?6=:rT>49523`86<1=#<>l1m<5rs4:b>5<5sW?3?63<a;7;7>"3?o0j?6s|59;94?4|V<2970=n:4:1?!20n3k?7p}:8983>7}Y=1;01>o55938 11a2h?0qp`>3e394?4|@=2;7p`>3e094?4|@=2;7p`>3e194?4|@=2;7p`>3e694?4|@=2;7p`>3e794?4|@=2;7p`>3e494?4|@=2;7p`>3e594?4|@=2;7p`>3e:94?4|@=2;7p`>3e;94?4|@=2;7p`>3ec94?4|@=2;7p`>3e`94?4|@=2;7p`>3ea94?4|@=2;7p`>3ef94?4|@=2;7p`>3eg94?4|@=2;7p`>3ed94?4|@=2;7p`>3d294?4|@=2;7p`>3d394?4|@=2;7p`>3d094?4|@=2;7p`>3d194?4|@=2;7p`>3d694?4|@=2;7p`>3d794?4|@=2;7p`>3d494?4|@=2;7p`>3d594?4|@=2;7p`>3d:94?4|@=2;7p`>3d;94?4|@=2;7p`>3dc94?4|@=2;7p`>3d`94?4|@=2;7p`>3da94?4|@=2;7p`>3df94?4|@=2;7p`>3dg94?4|@=2;7p`>3dd94?4|@=2;7p`>3g294?4|@=2;7p`>3g394?4|@=2;7p`>3g094?4|@=2;7p`>3g194?4|@=2;7p`>3g694?4|@=2;7p`>3g794?4|@=2;7p`>3g494?4|@=2;7p`>3g594?4|@=2;7p`>3g:94?4|@=2;7p`>3g;94?4|@=2;7p`>3gc94?4|@=2;7p`>3g`94?4|@=2;7p`>3ga94?4|@=2;7p`>3gf94?4|@=2;7p`>3gg94?4|@=2;7p`>3gd94?4|@=2;7p`>41294?4|@=2;7p`>41394?4|@=2;7p`>41094?4|@=2;7p`>41194?4|@=2;7p`>41694?4|@=2;7p`>41794?4|@=2;7p`>41494?4|@=2;7p`>41594?4|@=2;7p`>41:94?4|@=2;7p`>41;94?4|@=2;7p`>41c94?4|@=2;7p`>41`94?4|@=2;7p`>41a94?4|@=2;7p`>41f94?4|@=2;7p`>41g94?4|@=2;7p`>41d94?4|@=2;7p`>40294?4|@=2;7p`>40394?4|@=2;7p`>40094?4|@=2;7p`>40194?4|@=2;7p`>40694?4|@=2;7p`>40794?4|@=2;7p`>40494?4|@=2;7p`>40594?4|@=2;7p`>40:94?4|@=2;7p`>40;94?4|@=2;7p`>40c94?4|@=2;7p`>40`94?4|@=2;7p`>40a94?4|@=2;7p`>40f94?4|@=2;7p`>40g94?4|@=2;7p`>40d94?4|@=2;7p`>43294?4|@=2;7p`>43394?4|@=2;7p`>43094?4|@=2;7p`>43194?4|@=2;7p`>43694?4|@=2;7p`>43794?4|@=2;7p`>43494?4|@=2;7p`>43594?4|@=2;7p`>43:94?4|@=2;7p`>43;94?4|@=2;7p`>43c94?4|@=2;7p`>43`94?4|@=2;7p`>43a94?4|@=2;7p`>43f94?4|@=2;7p`>16194?7|@=2;7p`>1e294?7|@=2;7p`>1e394?7|@=2;7p`>1e094?7|@=2;7p`>1e194?7|@=2;7p`>1e694?7|@=2;7p`>1e794?7|@=2;7p`>1e494?7|@=2;7p`>1e594?7|@=2;7p`>1e:94?7|@=2;7p`>1e;94?7|@=2;7p`>1ec94?7|@=2;7p`>1e`94?7|@=2;7p`>1ea94?7|@=2;7p`>1ef94?7|@=2;7p`>1eg94?7|@=2;7p`>1ed94?7|@=2;7p`>1d294?7|@=2;7p`>1d394?7|@=2;7p`>1d094?7|@=2;7p`>1d194?7|@=2;7p`>1d694?7|@=2;7p`>1d794?7|@=2;7p`>1d494?7|@=2;7p`>1d594?7|@=2;7p`>1d:94?7|@=2;7p`>1d;94?7|@=2;7p`>1dc94?7|@=2;7p`>1d`94?7|@=2;7p`>1da94?7|@=2;7p`>1df94?7|@=2;7p`>1dg94?7|@=2;7p`>1dd94?7|@=2;7p`>1g294?7|@=2;7p`>1g394?7|@=2;7p`>1g094?7|@=2;7p`>1g194?7|@=2;7p`>1g694?7|@=2;7p`>1g794?7|@=2;7p`>1g494?7|@=2;7p`>1g594?7|@=2;7p`>1g:94?7|@=2;7p`>1g;94?7|@=2;7p`>1gc94?7|@=2;7p`>1g`94?7|@=2;7p`>1ga94?7|@=2;7p`>1gf94?7|@=2;7p`>1gg94?7|@=2;7p`>1gd94?7|@=2;7p`>21294?7|@=2;7p`>21394?7|@=2;7p`>21094?7|@=2;7p`>21194?7|@=2;7p`>21694?7|@=2;7p`>21794?7|@=2;7p`>21494?7|@=2;7p`>21594?7|@=2;7p`>21:94?7|@=2;7p`>21;94?7|@=2;7p`>21c94?7|@=2;7p`>21`94?7|@=2;7p`>21a94?7|@=2;7p`>21f94?7|@=2;7p`>21g94?7|@=2;7p`>21d94?7|@=2;7p`>20294?7|@=2;7p`>20394?7|@=2;7p`>20094?7|@=2;7p`>20194?7|@=2;7p`>20694?7|@=2;7p`>20794?7|@=2;7p`>20494?7|@=2;7p`>20594?7|@=2;7p`>20:94?7|@=2;7p`>20;94?7|@=2;7p`>20c94?7|@=2;7p`>20`94?7|@=2;7p`>20a94?7|@=2;7p`>20f94?7|@=2;7p`>20g94?7|@=2;7p`>20d94?7|@=2;7p`>23294?7|@=2;7p`>23394?7|@=2;7p`>23094?7|@=2;7p`>23194?7|@=2;7p`>23694?7|@=2;7p`>23794?7|@=2;7p`>23494?7|@=2;7p`>23594?7|@=2;7p`>23:94?7|@=2;7p`>23;94?7|@=2;7p`>23c94?7|@=2;7p`>23`94?7|@=2;7p`>23a94?7|@=2;7p`>23f94?7|@=2;7p`>23g94?7|@=2;7p`>23d94?7|@=2;7p`>22294?7|@=2;7p`>22394?7|@=2;7p`>22094?7|@=2;7p`>22194?7|@=2;7p`>22694?7|@=2;7p`>22794?7|@=2;7p`>22494?7|@=2;7p`>22594?7|@=2;7p`>22:94?7|@=2;7p`>22;94?7|@=2;7p`>22c94?7|@=2;7p`>22`94?7|@=2;7p`>22a94?7|@=2;7p`>22f94?7|@=2;7p`>22g94?7|@=2;7p`>22d94?7|@=2;7p`>25294?7|@=2;7p`>25394?7|@=2;7p`>25094?7|@=2;7p`>25194?7|@=2;7p`>25694?7|@=2;7p`>25794?7|@=2;7p`>25494?7|@=2;7p`>25594?7|@=2;7p`>25:94?7|@=2;7p`>25;94?7|@=2;7p`>25c94?7|@=2;7p`>25`94?7|@=2;7p`>25a94?7|@=2;7p`>25f94?7|@=2;7p`>25g94?7|@=2;7p`>25d94?7|@=2;7p`>24294?7|@=2;7p`>24394?7|@=2;7p`>24094?7|@=2;7p`>24194?7|@=2;7p`>24694?7|@=2;7p`>24794?7|@=2;7p`>24494?7|@=2;7p`>24594?7|@=2;7p`>24:94?7|@=2;7p`>24;94?7|@=2;7p`>24c94?7|@=2;7p`>24`94?7|@=2;7p`>24a94?7|@=2;7p`>24f94?7|@=2;7p`>24g94?7|@=2;7p`>24d94?7|@=2;7p`>27294?7|@=2;7p`>27394?7|@=2;7p`>27094?7|@=2;7p`>27194?7|@=2;7p`>27694?7|@=2;7p`>27794?7|@=2;7p`>27494?7|@=2;7p`>27594?7|@=2;7p`>27:94?7|@=2;7p`>27;94?7|@=2;7p`>27c94?7|@=2;7p`>27`94?7|@=2;7p`>27a94?7|@=2;7p`>27f94?7|@=2;7p`>27g94?7|@=2;7p`>27d94?7|@=2;7p`>26294?7|@=2;7p`>26394?7|@=2;7p`>26094?7|@=2;7p`>26194?7|@=2;7p`>26694?7|@=2;7p`>26794?7|@=2;7p`>26494?7|@=2;7p`>26594?7|@=2;7p`>26:94?7|@=2;7p`>26;94?7|@=2;7p`>26c94?7|@=2;7p`>26`94?7|@=2;7p`>26a94?7|@=2;7p`>26f94?7|@=2;7p`>26g94?7|@=2;7p`>26d94?7|@=2;7p`>29294?7|@=2;7p`>29394?7|@=2;7p`>29094?7|@=2;7p`>29194?7|@=2;7p`>29694?7|@=2;7p`>29794?7|@=2;7p`>29494?7|@=2;7p`>29594?7|@=2;7p`>29:94?7|@=2;7p`>29;94?7|@=2;7p`>29c94?7|@=2;7p`>29`94?7|@=2;7p`>29a94?7|@=2;7p`>29f94?7|@=2;7p`>29g94?7|@=2;7p`>29d94?7|@=2;7p`>28294?7|@=2;7p`>28394?7|@=2;7p`>28094?7|@=2;7p`>28194?7|@=2;7p`>28694?7|@=2;7p`>28794?7|@=2;7p`>28494?7|@=2;7p`>28594?7|@=2;7p`>28:94?7|@=2;7p`>28;94?7|@=2;7p`>28c94?7|@=2;7p`>28`94?7|@=2;7p`>28a94?7|@=2;7p`>28f94?7|@=2;7p`>28g94?7|@=2;7p`>28d94?7|@=2;7p`>2`294?7|@=2;7p`>2`394?7|@=2;7p`>2`094?7|@=2;7p`>2`194?7|@=2;7p`>2`694?7|@=2;7p`>2`794?7|@=2;7p`>2`494?7|@=2;7p`>2`594?7|@=2;7p`>2`:94?7|@=2;7p`>2`;94?7|@=2;7p`>2`c94?7|@=2;7p`>2``94?7|@=2;7p`>2`a94?7|@=2;7p`>2`f94?7|@=2;7p`>2`g94?7|@=2;7p`>2`d94?7|@=2;7p`>2c294?7|@=2;7p`>2c394?7|@=2;7p`>2c094?7|@=2;7p`>2c194?7|@=2;7p`>2c694?7|@=2;7p`>2c794?7|@=2;7p`>2c494?7|@=2;7p`>2c594?7|@=2;7p`>2c:94?7|@=2;7p`>2c;94?7|@=2;7p`>2cc94?7|@=2;7p`>2c`94?7|@=2;7p`>2ca94?7|@=2;7p`>2cf94?7|@=2;7p`>2cg94?7|@=2;7p`>2cd94?7|@=2;7p`>2b294?7|@=2;7p`>2b394?7|@=2;7p`>2b094?7|@=2;7p`>2b194?7|@=2;7p`>2b694?7|@=2;7p`>2b794?7|@=2;7p`>2b494?7|@=2;7p`>2b594?7|@=2;7p`>2b:94?7|@=2;7p`>2b;94?7|@=2;7p`>2bc94?7|@=2;7p`>2b`94?7|@=2;7p`>2ba94?7|@=2;7p`>2bf94?7|@=2;7p`>2bg94?7|@=2;7p`>2bd94?7|@=2;7p`>2e294?7|@=2;7p`>2e394?7|@=2;7p`>2e094?7|@=2;7p`>2e194?7|@=2;7p`>2e694?7|@=2;7p`>2e794?7|@=2;7p`>2e494?7|@=2;7p`>2e594?7|@=2;7p`>2e:94?7|@=2;7p`>2e;94?7|@=2;7p`>2ec94?7|@=2;7p`>2e`94?7|@=2;7p`>2ea94?7|@=2;7p`>2ef94?7|@=2;7p`>2eg94?7|@=2;7p`>2ed94?7|@=2;7p`>2d294?7|@=2;7p`>2d394?7|@=2;7p`>2d094?7|@=2;7p`>2d194?7|@=2;7p`>2d694?7|@=2;7p`>2d794?7|@=2;7p`>2d494?7|@=2;7p`>2d594?7|@=2;7p`>2d:94?7|@=2;7p`>2d;94?7|@=2;7p`>2dc94?7|@=2;7p`>2d`94?7|@=2;7p`>2da94?7|@=2;7p`>2df94?7|@=2;7p`>2dg94?7|@=2;7p`>2dd94?7|@=2;7p`>2g294?7|@=2;7p`>2g394?7|@=2;7p`>2g094?7|@=2;7p`>2g194?7|@=2;7p`>2g694?7|@=2;7p`>2g794?7|@=2;7p`>2g494?7|@=2;7p`>2g594?7|@=2;7p`>2g:94?7|@=2;7p`>2g;94?7|@=2;7p`>2gc94?7|@=2;7p`>2g`94?7|@=2;7p`>2ga94?7|@=2;7p`>2gf94?7|@=2;7p`>2gg94?7|@=2;7p`>2gd94?7|@=2;7p`>31294?7|@=2;7p`>31394?7|@=2;7p`>31094?7|@=2;7p`>31194?7|@=2;7p`>31694?7|@=2;7p`>31794?7|@=2;7p`>31494?7|@=2;7p`>31594?7|@=2;7p`>31:94?7|@=2;7p`>31;94?7|@=2;7p`>31c94?7|@=2;7p`>31`94?7|@=2;7p`>31a94?7|@=2;7p`>31f94?7|@=2;7p`>31g94?7|@=2;7p`>31d94?7|@=2;7p`>30294?7|@=2;7p`>30394?7|@=2;7p`>30094?7|@=2;7p`>30194?7|@=2;7p`>30694?7|@=2;7p`>30794?7|@=2;7p`>30494?7|@=2;7p`>30594?7|@=2;7p`>30:94?7|@=2;7p`>30;94?7|@=2;7p`>30c94?7|@=2;7p`>30`94?7|@=2;7p`>30a94?7|@=2;7p`>30f94?7|@=2;7p`>30g94?7|@=2;7p`>30d94?7|@=2;7p`>33294?7|@=2;7p`>33394?7|@=2;7p`>33094?7|@=2;7p`>33194?7|@=2;7p`>33694?7|@=2;7p`>33794?7|@=2;7p`>33494?7|@=2;7p`>33594?7|@=2;7p`>33:94?7|@=2;7p`>33;94?7|@=2;7p`>33c94?7|@=2;7p`>33`94?7|@=2;7p`>33a94?7|@=2;7p`>33f94?7|@=2;7p`>33g94?7|@=2;7p`>33d94?7|@=2;7p`>32294?7|@=2;7p`>32394?7|@=2;7p`>32094?7|@=2;7p`>32194?7|@=2;7p`>32694?7|@=2;7p`>32794?7|@=2;7p`>32494?7|@=2;7p`>32594?7|@=2;7p`>32:94?7|@=2;7p`>32;94?7|@=2;7p`>32c94?7|@=2;7p`>32`94?7|@=2;7p`>32a94?7|@=2;7p`>32f94?7|@=2;7p`>32g94?7|@=2;7p`>32d94?7|@=2;7p`>35294?7|@=2;7p`>35394?7|@=2;7p`>35094?7|@=2;7p`>35194?7|@=2;7p`>35694?7|@=2;7p`>35794?7|@=2;7p`>35494?7|@=2;7p`>35594?7|@=2;7p`>35:94?7|@=2;7p`>35;94?7|@=2;7p`>35c94?7|@=2;7p`>35`94?7|@=2;7p`>35a94?7|@=2;7p`>35f94?7|@=2;7p`>35g94?7|@=2;7p`>35d94?7|@=2;7p`>34294?7|@=2;7p`>34394?7|@=2;7p`>34094?7|@=2;7p`>34194?7|@=2;7p`>34694?7|@=2;7p`>34794?7|@=2;7p`>34494?7|@=2;7p`>34594?7|@=2;7p`>34:94?7|@=2;7p`>34;94?7|@=2;7p`>34c94?7|@=2;7p`>34`94?7|@=2;7p`>34a94?7|@=2;7p`>34f94?7|@=2;7p`>34g94?7|@=2;7p`>34d94?7|@=2;7p`>37294?7|@=2;7p`>37394?7|@=2;7p`>37094?7|@=2;7p`>37194?7|@=2;7p`>37694?7|@=2;7p`>37794?7|@=2;7p`>37494?7|@=2;7p`>37594?7|@=2;7p`>37:94?7|@=2;7p`>37;94?7|@=2;7p`>37c94?7|@=2;7p`>37`94?7|@=2;7p`>37a94?7|@=2;7p`>37f94?7|@=2;7p`>37g94?7|@=2;7p`>37d94?7|@=2;7p`>36294?7|@=2;7p`>36394?7|@=2;7p`>36094?7|@=2;7p`>36194?7|@=2;7p`>36694?7|@=2;7p`>36794?7|@=2;7p`>36494?7|@=2;7p`>36594?7|@=2;7p`>36:94?7|@=2;7p`>36;94?7|@=2;7p`>36c94?7|@=2;7p`>36`94?7|@=2;7p`>36a94?7|@=2;7p`>36f94?7|@=2;7p`>36g94?7|@=2;7p`>36d94?7|@=2;7p`>39294?7|@=2;7p`>39394?7|@=2;7p`>39094?7|@=2;7p`>39194?7|@=2;7p`>39694?7|@=2;7p`>39794?7|@=2;7p`>39494?7|@=2;7p`>39594?7|@=2;7p`>39:94?7|@=2;7p`>39;94?7|@=2;7p`>39c94?7|@=2;7p`>39`94?7|@=2;7p`>39a94?7|@=2;7p`>39f94?7|@=2;7p`>39g94?7|@=2;7p`>39d94?7|@=2;7p`>38294?7|@=2;7p`>38394?7|@=2;7p`>38094?7|@=2;7p`>38194?7|@=2;7p`>38694?7|@=2;7p`>38794?7|@=2;7p`>38494?7|@=2;7p`>38594?7|@=2;7p`>38:94?7|@=2;7p`>38;94?7|@=2;7p`>38c94?7|@=2;7p`>38`94?7|@=2;7p`>38a94?7|@=2;7p`>38f94?7|@=2;7p`>38g94?7|@=2;7p`>38d94?7|@=2;7p`>3`294?7|@=2;7p`>3`394?7|@=2;7p`>3`094?7|@=2;7p`>3`194?7|@=2;7p`>3`694?7|@=2;7p`>3`794?7|@=2;7p`>3`494?7|@=2;7p`>3`594?7|@=2;7p`>3`:94?7|@=2;7p`>3`;94?7|@=2;7p`>3`c94?7|@=2;7p`>3``94?7|@=2;7p`>3`a94?7|@=2;7p`>3`f94?7|@=2;7p`>3`g94?7|@=2;7p`>3`d94?7|@=2;7p`>3c294?7|@=2;7p`>3c394?7|@=2;7p`>3c094?7|@=2;7p`>3c194?7|@=2;7p`>3c694?7|@=2;7p`>3c794?7|@=2;7p`>3c494?7|@=2;7p`>3c594?7|@=2;7p`>3c:94?7|@=2;7p`>3c;94?7|@=2;7p`>3cc94?7|@=2;7p`>3c`94?7|@=2;7p`>3ca94?7|@=2;7p`>3cf94?7|@=2;7p`>3cg94?7|@=2;7p`>3cd94?7|@=2;7p`>3b294?7|@=2;7p`>3b394?7|@=2;7p`>3b094?7|@=2;7p`>3b194?7|@=2;7p`>3b694?7|@=2;7p`>3b794?7|@=2;7p`>3b494?7|@=2;7p`>3b594?7|@=2;7p`>3b:94?7|@=2;7p`>3b;94?7|@=2;7p`>3bc94?7|@=2;7p`>3b`94?7|@=2;7p`>3ba94?7|@=2;7p`>3bf94?7|@=2;7p`>3bg94?7|@=2;7p`>3bd94?7|@=2;7p`>3e294?7|@=2;7psr}AB@40?l3;?hkh977CDG}7uIJ[wpNO
\ No newline at end of file diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v deleted file mode 100644 index e84237689..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.v +++ /dev/null @@ -1,169 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The synthesis directives "translate_off/translate_on" specified below are -// supported by Xilinx, Mentor Graphics and Synplicity synthesis -// tools. Ensure they are correct for your synthesis tool(s). - -// You must compile the wrapper file fifo_xlnx_64x36_2clk.v when simulating -// the core, fifo_xlnx_64x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - -`timescale 1ns/1ps - -module fifo_xlnx_64x36_2clk( - din, - rd_clk, - rd_en, - rst, - wr_clk, - wr_en, - dout, - empty, - full, - rd_data_count, - wr_data_count); - - -input [35 : 0] din; -input rd_clk; -input rd_en; -input rst; -input wr_clk; -input wr_en; -output [35 : 0] dout; -output empty; -output full; -output [6 : 0] rd_data_count; -output [6 : 0] wr_data_count; - -// synthesis translate_off - - FIFO_GENERATOR_V4_3 #( - .C_COMMON_CLOCK(0), - .C_COUNT_TYPE(0), - .C_DATA_COUNT_WIDTH(7), - .C_DEFAULT_VALUE("BlankString"), - .C_DIN_WIDTH(36), - .C_DOUT_RST_VAL("0"), - .C_DOUT_WIDTH(36), - .C_ENABLE_RLOCS(0), - .C_FAMILY("spartan3"), - .C_FULL_FLAGS_RST_VAL(1), - .C_HAS_ALMOST_EMPTY(0), - .C_HAS_ALMOST_FULL(0), - .C_HAS_BACKUP(0), - .C_HAS_DATA_COUNT(0), - .C_HAS_INT_CLK(0), - .C_HAS_MEMINIT_FILE(0), - .C_HAS_OVERFLOW(0), - .C_HAS_RD_DATA_COUNT(1), - .C_HAS_RD_RST(0), - .C_HAS_RST(1), - .C_HAS_SRST(0), - .C_HAS_UNDERFLOW(0), - .C_HAS_VALID(0), - .C_HAS_WR_ACK(0), - .C_HAS_WR_DATA_COUNT(1), - .C_HAS_WR_RST(0), - .C_IMPLEMENTATION_TYPE(2), - .C_INIT_WR_PNTR_VAL(0), - .C_MEMORY_TYPE(2), - .C_MIF_FILE_NAME("BlankString"), - .C_MSGON_VAL(1), - .C_OPTIMIZATION_MODE(0), - .C_OVERFLOW_LOW(0), - .C_PRELOAD_LATENCY(0), - .C_PRELOAD_REGS(1), - .C_PRIM_FIFO_TYPE("512x36"), - .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), - .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), - .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(63), - .C_PROG_FULL_THRESH_NEGATE_VAL(62), - .C_PROG_FULL_TYPE(0), - .C_RD_DATA_COUNT_WIDTH(7), - .C_RD_DEPTH(64), - .C_RD_FREQ(1), - .C_RD_PNTR_WIDTH(6), - .C_UNDERFLOW_LOW(0), - .C_USE_DOUT_RST(1), - .C_USE_ECC(0), - .C_USE_EMBEDDED_REG(0), - .C_USE_FIFO16_FLAGS(0), - .C_USE_FWFT_DATA_COUNT(1), - .C_VALID_LOW(0), - .C_WR_ACK_LOW(0), - .C_WR_DATA_COUNT_WIDTH(7), - .C_WR_DEPTH(64), - .C_WR_FREQ(1), - .C_WR_PNTR_WIDTH(6), - .C_WR_RESPONSE_LATENCY(1)) - inst ( - .DIN(din), - .RD_CLK(rd_clk), - .RD_EN(rd_en), - .RST(rst), - .WR_CLK(wr_clk), - .WR_EN(wr_en), - .DOUT(dout), - .EMPTY(empty), - .FULL(full), - .RD_DATA_COUNT(rd_data_count), - .WR_DATA_COUNT(wr_data_count), - .CLK(), - .INT_CLK(), - .BACKUP(), - .BACKUP_MARKER(), - .PROG_EMPTY_THRESH(), - .PROG_EMPTY_THRESH_ASSERT(), - .PROG_EMPTY_THRESH_NEGATE(), - .PROG_FULL_THRESH(), - .PROG_FULL_THRESH_ASSERT(), - .PROG_FULL_THRESH_NEGATE(), - .RD_RST(), - .SRST(), - .WR_RST(), - .ALMOST_EMPTY(), - .ALMOST_FULL(), - .DATA_COUNT(), - .OVERFLOW(), - .PROG_EMPTY(), - .PROG_FULL(), - .VALID(), - .UNDERFLOW(), - .WR_ACK(), - .SBITERR(), - .DBITERR()); - - -// synthesis translate_on - -endmodule - diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.veo b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.veo deleted file mode 100644 index 9c761370c..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.veo +++ /dev/null @@ -1,53 +0,0 @@ -/******************************************************************************* -* This file is owned and controlled by Xilinx and must be used * -* solely for design, simulation, implementation and creation of * -* design files limited to Xilinx devices or technologies. Use * -* with non-Xilinx devices or technologies is expressly prohibited * -* and immediately terminates your license. * -* * -* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * -* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * -* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * -* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * -* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * -* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * -* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * -* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * -* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * -* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * -* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * -* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * -* FOR A PARTICULAR PURPOSE. * -* * -* Xilinx products are not intended for use in life support * -* appliances, devices, or systems. Use in such applications are * -* expressly prohibited. * -* * -* (c) Copyright 1995-2007 Xilinx, Inc. * -* All rights reserved. * -*******************************************************************************/ -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG -fifo_xlnx_64x36_2clk YourInstanceName ( - .din(din), // Bus [35 : 0] - .rd_clk(rd_clk), - .rd_en(rd_en), - .rst(rst), - .wr_clk(wr_clk), - .wr_en(wr_en), - .dout(dout), // Bus [35 : 0] - .empty(empty), - .full(full), - .rd_data_count(rd_data_count), // Bus [6 : 0] - .wr_data_count(wr_data_count)); // Bus [6 : 0] - -// INST_TAG_END ------ End INSTANTIATION Template --------- - -// You must compile the wrapper file fifo_xlnx_64x36_2clk.v when simulating -// the core, fifo_xlnx_64x36_2clk. When compiling the wrapper file, be sure to -// reference the XilinxCoreLib Verilog simulation library. For detailed -// instructions, please refer to the "CORE Generator Help". - diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.xco b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.xco deleted file mode 100644 index c6e9aae27..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk.xco +++ /dev/null @@ -1,82 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version K.39 -# Date: Thu Sep 3 17:22:56 2009 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# BEGIN Project Options -SET addpads = False -SET asysymbol = False -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = False -SET designentry = Verilog -SET device = xc3s2000 -SET devicefamily = spartan3 -SET flowvendor = Other -SET formalverification = False -SET foundationsym = False -SET implementationfiletype = Ngc -SET package = fg456 -SET removerpms = False -SET simulationfiles = Behavioral -SET speedgrade = -5 -SET verilogsim = True -SET vhdlsim = False -# END Project Options -# BEGIN Select -SELECT Fifo_Generator family Xilinx,_Inc. 4.3 -# END Select -# BEGIN Parameters -CSET almost_empty_flag=false -CSET almost_full_flag=false -CSET component_name=fifo_xlnx_64x36_2clk -CSET data_count=false -CSET data_count_width=7 -CSET disable_timing_violations=false -CSET dout_reset_value=0 -CSET empty_threshold_assert_value=4 -CSET empty_threshold_negate_value=5 -CSET enable_ecc=false -CSET enable_int_clk=false -CSET fifo_implementation=Independent_Clocks_Distributed_RAM -CSET full_flags_reset_value=1 -CSET full_threshold_assert_value=63 -CSET full_threshold_negate_value=62 -CSET input_data_width=36 -CSET input_depth=64 -CSET output_data_width=36 -CSET output_depth=64 -CSET overflow_flag=false -CSET overflow_sense=Active_High -CSET performance_options=First_Word_Fall_Through -CSET programmable_empty_type=No_Programmable_Empty_Threshold -CSET programmable_full_type=No_Programmable_Full_Threshold -CSET read_clock_frequency=1 -CSET read_data_count=true -CSET read_data_count_width=7 -CSET reset_pin=true -CSET reset_type=Asynchronous_Reset -CSET underflow_flag=false -CSET underflow_sense=Active_High -CSET use_dout_reset=true -CSET use_embedded_registers=false -CSET use_extra_logic=true -CSET valid_flag=false -CSET valid_sense=Active_High -CSET write_acknowledge_flag=false -CSET write_acknowledge_sense=Active_High -CSET write_clock_frequency=1 -CSET write_data_count=true -CSET write_data_count_width=7 -# END Parameters -GENERATE -# CRC: 2bb925ae - diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso deleted file mode 100644 index f1a6f7899..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.lso +++ /dev/null @@ -1,3 +0,0 @@ -blkmemdp_v6_2 -blk_mem_gen_v2_6 -fifo_generator_v4_3 diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt deleted file mode 100644 index a23402f56..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt +++ /dev/null @@ -1,104 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> -<document OS="lin64" product="ISE" version="10.1.03"> - - <!--The data in this file is primarily intended for consumption by Xilinx tools. - The structure and the elements are likely to change over the next few releases. - This means code written to parse this file will need to be revisited each subsequent release.--> - - <application stringID="Xst" timeStamp="Thu Sep 3 10:22:37 2009"> - <section stringID="XST_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"></item> - <item dataType="int" stringID="XST_REGISTERS" value="32"> - <item dataType="int" stringID="XST_1BIT_REGISTER" value="15"/> - <item dataType="int" stringID="XST_2BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/> - <item dataType="int" stringID="XST_36BIT_REGISTER" value="2"/> - <item dataType="int" stringID="XST_6BIT_REGISTER" value="11"/> - </item> - <item dataType="int" stringID="XST_XORS" value="44"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="44"/> - </item> - </section> - <section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT"> - <item dataType="int" stringID="XST_FSMS" value="1"/> - <item dataType="int" stringID="XST_RAMS" value="1"></item> - <item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="4"></item> - <item dataType="int" stringID="XST_COUNTERS" value="2"></item> - <item dataType="int" stringID="XST_REGISTERS" value="165"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="165"/> - </item> - <item dataType="int" stringID="XST_XORS" value="44"> - <item dataType="int" stringID="XST_1BIT_XOR2" value="44"/> - </item> - </section> - <section stringID="XST_FINAL_REGISTER_REPORT"> - <item dataType="int" stringID="XST_REGISTERS" value="192"> - <item dataType="int" stringID="XST_FLIPFLOPS" value="192"/> - </item> - </section> - <section stringID="XST_PARTITION_REPORT"> - <section stringID="XST_PARTITION_IMPLEMENTATION_STATUS"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - </section> - <section stringID="XST_FINAL_REPORT"> - <section stringID="XST_FINAL_RESULTS"> - <item stringID="XST_TOP_LEVEL_OUTPUT_FILE_NAME" value="/home/matt/coregen/tmp/_cg/fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc"/> - <item stringID="XST_OUTPUT_FORMAT" value="NGC"/> - <item stringID="XST_OPTIMIZATION_GOAL" value="SPEED"/> - <item stringID="XST_KEEP_HIERARCHY" value="no"/> - </section> - <section stringID="XST_DESIGN_STATISTICS"> - <item stringID="XST_IOS" value="153"/> - </section> - <section stringID="XST_CELL_USAGE"> - <item dataType="int" stringID="XST_BELS" value="227"> - <item dataType="int" stringID="XST_GND" value="1"/> - <item dataType="int" stringID="XST_INV" value="3"/> - <item dataType="int" stringID="XST_LUT2" value="37"/> - <item dataType="int" stringID="XST_LUT2L" value="1"/> - <item dataType="int" stringID="XST_LUT3" value="86"/> - <item dataType="int" stringID="XST_LUT3D" value="1"/> - <item dataType="int" stringID="XST_LUT3L" value="3"/> - <item dataType="int" stringID="XST_LUT4" value="34"/> - <item dataType="int" stringID="XST_LUT4D" value="2"/> - <item dataType="int" stringID="XST_MUXCY" value="10"/> - <item dataType="int" stringID="XST_MUXF5" value="36"/> - <item dataType="int" stringID="XST_VCC" value="1"/> - <item dataType="int" stringID="XST_XORCY" value="12"/> - </item> - <item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="192"> - <item dataType="int" stringID="XST_FD" value="4"/> - <item dataType="int" stringID="XST_FDC" value="58"/> - <item dataType="int" stringID="XST_FDCE" value="108"/> - <item dataType="int" stringID="XST_FDP" value="10"/> - <item dataType="int" stringID="XST_FDPE" value="5"/> - </item> - <item dataType="int" stringID="XST_RAMS" value="144"> - <item dataType="int" stringID="XST_RAM16X1D" value="144"/> - </item> - </section> - </section> - <section stringID="XST_DEVICE_UTILIZATION_SUMMARY"> - <item stringID="XST_SELECTED_DEVICE" value="3s2000fg456-5"/> - <item AVAILABLE="20480" dataType="int" stringID="XST_NUMBER_OF_SLICES" value="188"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="192"/> - <item AVAILABLE="40960" dataType="int" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="455"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_LOGIC" value="167"/> - <item dataType="int" stringID="XST_NUMBER_USED_AS_RAMS" value="288"/> - <item dataType="int" stringID="XST_NUMBER_OF_IOS" value="153"/> - <item AVAILABLE="333" dataType="int" stringID="XST_NUMBER_OF_BONDED_IOBS" value="0"/> - </section> - <section stringID="XST_PARTITION_RESOURCE_SUMMARY"> - <section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/> - </section> - <section stringID="XST_ERRORS_STATISTICS"> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="73"/> - <item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="10"/> - </section> - </application> - -</document> diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_flist.txt b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_flist.txt deleted file mode 100644 index 44e31eb6c..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_flist.txt +++ /dev/null @@ -1,8 +0,0 @@ -# Output products list for <fifo_xlnx_64x36_2clk> -fifo_xlnx_64x36_2clk.ngc -fifo_xlnx_64x36_2clk.v -fifo_xlnx_64x36_2clk.veo -fifo_xlnx_64x36_2clk.xco -fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -fifo_xlnx_64x36_2clk_flist.txt -fifo_xlnx_64x36_2clk_xmdf.tcl diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_readme.txt b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_readme.txt deleted file mode 100644 index 7734c0087..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_readme.txt +++ /dev/null @@ -1,39 +0,0 @@ -The following files were generated for 'fifo_xlnx_64x36_2clk' in directory -/home/matt/gnuradio.git/usrp2/fpga/coregen/: - -fifo_xlnx_64x36_2clk.ngc: - Binary Xilinx implementation netlist file containing the information - required to implement the module in a Xilinx (R) FPGA. - -fifo_xlnx_64x36_2clk.v: - Verilog wrapper file provided to support functional simulation. - This file contains simulation model customization data that is - passed to a parameterized simulation model for the core. - -fifo_xlnx_64x36_2clk.veo: - VEO template file containing code that can be used as a model for - instantiating a CORE Generator module in a Verilog design. - -fifo_xlnx_64x36_2clk.xco: - CORE Generator input file containing the parameters used to - regenerate a core. - -fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt: - Please see the core data sheet. - -fifo_xlnx_64x36_2clk_flist.txt: - Text file listing all of the output files produced when a customized - core was generated in the CORE Generator. - -fifo_xlnx_64x36_2clk_readme.txt: - Text file indicating the files generated and how they are used. - -fifo_xlnx_64x36_2clk_xmdf.tcl: - ISE Project Navigator interface file. ISE uses this file to determine - how the files output by CORE Generator for the core can be integrated - into your ISE project. - - -Please see the Xilinx CORE Generator online help for further details on -generated files and how to use them. - diff --git a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl b/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl deleted file mode 100644 index ff5dfd3c2..000000000 --- a/fpga/usrp2/coregen/fifo_xlnx_64x36_2clk_xmdf.tcl +++ /dev/null @@ -1,68 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide fifo_xlnx_64x36_2clk_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::fifo_xlnx_64x36_2clk_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::fifo_xlnx_64x36_2clk_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_xlnx_64x36_2clk -} -# ::fifo_xlnx_64x36_2clk_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::fifo_xlnx_64x36_2clk_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk.ngc -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk_fifo_generator_v4_3_xst_1.ngc_xst.xrpt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_xlnx_64x36_2clk_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_xlnx_64x36_2clk -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp2/coregen/pll_100_40_75.asy b/fpga/usrp2/coregen/pll_100_40_75.asy deleted file mode 100644 index 9cd1ec359..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.asy +++ /dev/null @@ -1,29 +0,0 @@ -Version 4 -SymbolType BLOCK -TEXT 32 32 LEFT 4 pll_100_40_75 -RECTANGLE Normal 32 32 576 1088 -LINE Normal 0 80 32 80 -PIN 0 80 LEFT 36 -PINATTR PinName clk_in1 -PINATTR Polarity IN -LINE Normal 0 432 32 432 -PIN 0 432 LEFT 36 -PINATTR PinName reset -PINATTR Polarity IN -LINE Normal 608 80 576 80 -PIN 608 80 RIGHT 36 -PINATTR PinName clk_out1 -PINATTR Polarity OUT -LINE Normal 608 176 576 176 -PIN 608 176 RIGHT 36 -PINATTR PinName clk_out2 -PINATTR Polarity OUT -LINE Normal 608 272 576 272 -PIN 608 272 RIGHT 36 -PINATTR PinName clk_out3 -PINATTR Polarity OUT -LINE Normal 608 976 576 976 -PIN 608 976 RIGHT 36 -PINATTR PinName locked -PINATTR Polarity OUT - diff --git a/fpga/usrp2/coregen/pll_100_40_75.gise b/fpga/usrp2/coregen/pll_100_40_75.gise deleted file mode 100644 index c94415619..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.gise +++ /dev/null @@ -1,31 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="pll_100_40_75.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="pll_100_40_75.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="pll_100_40_75.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp2/coregen/pll_100_40_75.ucf b/fpga/usrp2/coregen/pll_100_40_75.ucf deleted file mode 100755 index d8590fabb..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.ucf +++ /dev/null @@ -1,71 +0,0 @@ -# file: pll_100_40_75.ucf -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# Input clock periods. These duplicate the values entered for the -# input clocks. You can use these to time your system -#---------------------------------------------------------------- -NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.000 ns HIGH 50% INPUT_JITTER 250.0ps; - -# Derived clock periods. These are commented out because they are -# automatically propogated by the tools -# However, if you'd like to use them for module level testing, you -# can copy them into your module level timing checks -#----------------------------------------------------------------- -# NET "clk_int[1]" TNM_NET = "CLK_OUT1"; -# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 100.000 MHz; - -# NET "clk_int[2]" TNM_NET = "CLK_OUT2"; -# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 40.000 MHz; -# NET "clk_int[3]" TNM_NET = "CLK_OUT3"; -# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 75.000 MHz; - -# FALSE PATH constraints -PIN "RESET" TIG; - diff --git a/fpga/usrp2/coregen/pll_100_40_75.v b/fpga/usrp2/coregen/pll_100_40_75.v deleted file mode 100755 index b400ece75..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.v +++ /dev/null @@ -1,158 +0,0 @@ -// file: pll_100_40_75.v -// -// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -// -// This file contains confidential and proprietary information -// of Xilinx, Inc. and is protected under U.S. and -// international copyright and other intellectual property -// laws. -// -// DISCLAIMER -// This disclaimer is not a license and does not grant any -// rights to the materials distributed herewith. Except as -// otherwise provided in a valid license issued to you by -// Xilinx, and to the maximum extent permitted by applicable -// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -// (2) Xilinx shall not be liable (whether in contract or tort, -// including negligence, or under any other theory of -// liability) for any loss or damage of any kind or nature -// related to, arising under or in connection with these -// materials, including for any direct, or any indirect, -// special, incidental, or consequential loss or damage -// (including loss of data, profits, goodwill, or any type of -// loss or damage suffered as a result of any action brought -// by a third party) even if such damage or loss was -// reasonably foreseeable or Xilinx had been advised of the -// possibility of the same. -// -// CRITICAL APPLICATIONS -// Xilinx products are not designed or intended to be fail- -// safe, or for use in any application requiring fail-safe -// performance, such as life-support or safety devices or -// systems, Class III medical devices, nuclear facilities, -// applications related to the deployment of airbags, or any -// other applications that could lead to death, personal -// injury, or severe property or environmental damage -// (individually and collectively, "Critical -// Applications"). Customer assumes the sole risk and -// liability of any use of Xilinx products in Critical -// Applications, subject only to applicable laws and -// regulations governing limitations on product liability. -// -// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -// PART OF THIS FILE AT ALL TIMES. -// -//---------------------------------------------------------------------------- -// User entered comments -//---------------------------------------------------------------------------- -// None -// -//---------------------------------------------------------------------------- -// "Output Output Phase Duty Pk-to-Pk Phase" -// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" -//---------------------------------------------------------------------------- -// CLK_OUT1___100.000______0.000______50.0______252.791____220.216 -// CLK_OUT2____40.000______0.000______50.0______309.264____220.216 -// CLK_OUT3____75.000______0.000______50.0______269.846____220.216 -// -//---------------------------------------------------------------------------- -// "Input Clock Freq (MHz) Input Jitter (UI)" -//---------------------------------------------------------------------------- -// __primary__________40.000____________0.010 - -`timescale 1ps/1ps - -(* CORE_GENERATION_INFO = "pll_100_40_75,clk_wiz_v4_1,{component_name=pll_100_40_75,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=3,clkin1_period=25.000,clkin2_period=25.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) -module pll_100_40_75 - (// Clock in ports - input CLK_IN1, - // Clock out ports - output CLK_OUT1, - output CLK_OUT2, - output CLK_OUT3, - // Status and control signals - input RESET, - output LOCKED - ); - - // Input buffering - //------------------------------------ - IBUFG clkin1_buf - (.O (clkin1), - .I (CLK_IN1)); - - - // Clocking primitive - //------------------------------------ - // Instantiation of the PLL primitive - // * Unused inputs are tied off - // * Unused outputs are labeled unused - wire [15:0] do_unused; - wire drdy_unused; - wire clkfbout; - wire clkfbout_buf; - wire clkout3_unused; - wire clkout4_unused; - wire clkout5_unused; - - PLL_BASE - #(.BANDWIDTH ("OPTIMIZED"), - .CLK_FEEDBACK ("CLKFBOUT"), - .COMPENSATION ("SYSTEM_SYNCHRONOUS"), - .DIVCLK_DIVIDE (1), - .CLKFBOUT_MULT (15), - .CLKFBOUT_PHASE (0.000), - .CLKOUT0_DIVIDE (6), - .CLKOUT0_PHASE (0.000), - .CLKOUT0_DUTY_CYCLE (0.500), - .CLKOUT1_DIVIDE (15), - .CLKOUT1_PHASE (0.000), - .CLKOUT1_DUTY_CYCLE (0.500), - .CLKOUT2_DIVIDE (8), - .CLKOUT2_PHASE (0.000), - .CLKOUT2_DUTY_CYCLE (0.500), - .CLKIN_PERIOD (25.000), - .REF_JITTER (0.010)) - pll_base_inst - // Output clocks - (.CLKFBOUT (clkfbout), - .CLKOUT0 (clkout0), - .CLKOUT1 (clkout1), - .CLKOUT2 (clkout2), - .CLKOUT3 (clkout3_unused), - .CLKOUT4 (clkout4_unused), - .CLKOUT5 (clkout5_unused), - // Status and control signals - .LOCKED (LOCKED), - .RST (RESET), - // Input clock control - .CLKFBIN (clkfbout_buf), - .CLKIN (clkin1)); - - - // Output buffering - //----------------------------------- - BUFG clkf_buf - (.O (clkfbout_buf), - .I (clkfbout)); - - BUFG clkout1_buf - (.O (CLK_OUT1), - .I (clkout0)); - - - BUFG clkout2_buf - (.O (CLK_OUT2), - .I (clkout1)); - - BUFG clkout3_buf - (.O (CLK_OUT3), - .I (clkout2)); - - - -endmodule diff --git a/fpga/usrp2/coregen/pll_100_40_75.veo b/fpga/usrp2/coregen/pll_100_40_75.veo deleted file mode 100755 index c6ebc5f5c..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.veo +++ /dev/null @@ -1,82 +0,0 @@ -// -// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -// -// This file contains confidential and proprietary information -// of Xilinx, Inc. and is protected under U.S. and -// international copyright and other intellectual property -// laws. -// -// DISCLAIMER -// This disclaimer is not a license and does not grant any -// rights to the materials distributed herewith. Except as -// otherwise provided in a valid license issued to you by -// Xilinx, and to the maximum extent permitted by applicable -// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -// (2) Xilinx shall not be liable (whether in contract or tort, -// including negligence, or under any other theory of -// liability) for any loss or damage of any kind or nature -// related to, arising under or in connection with these -// materials, including for any direct, or any indirect, -// special, incidental, or consequential loss or damage -// (including loss of data, profits, goodwill, or any type of -// loss or damage suffered as a result of any action brought -// by a third party) even if such damage or loss was -// reasonably foreseeable or Xilinx had been advised of the -// possibility of the same. -// -// CRITICAL APPLICATIONS -// Xilinx products are not designed or intended to be fail- -// safe, or for use in any application requiring fail-safe -// performance, such as life-support or safety devices or -// systems, Class III medical devices, nuclear facilities, -// applications related to the deployment of airbags, or any -// other applications that could lead to death, personal -// injury, or severe property or environmental damage -// (individually and collectively, "Critical -// Applications"). Customer assumes the sole risk and -// liability of any use of Xilinx products in Critical -// Applications, subject only to applicable laws and -// regulations governing limitations on product liability. -// -// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -// PART OF THIS FILE AT ALL TIMES. -// -//---------------------------------------------------------------------------- -// User entered comments -//---------------------------------------------------------------------------- -// None -// -//---------------------------------------------------------------------------- -// "Output Output Phase Duty Pk-to-Pk Phase" -// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" -//---------------------------------------------------------------------------- -// CLK_OUT1___100.000______0.000______50.0______252.791____220.216 -// CLK_OUT2____40.000______0.000______50.0______309.264____220.216 -// CLK_OUT3____75.000______0.000______50.0______269.846____220.216 -// -//---------------------------------------------------------------------------- -// "Input Clock Freq (MHz) Input Jitter (UI)" -//---------------------------------------------------------------------------- -// __primary__________40.000____________0.010 - -// The following must be inserted into your Verilog file for this -// core to be instantiated. Change the instance name and port connections -// (in parentheses) to your own signal names. - -//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG - - pll_100_40_75 instance_name - (// Clock in ports - .CLK_IN1(CLK_IN1), // IN - // Clock out ports - .CLK_OUT1(CLK_OUT1), // OUT - .CLK_OUT2(CLK_OUT2), // OUT - .CLK_OUT3(CLK_OUT3), // OUT - // Status and control signals - .RESET(RESET),// IN - .LOCKED(LOCKED)); // OUT -// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp2/coregen/pll_100_40_75.xco b/fpga/usrp2/coregen/pll_100_40_75.xco deleted file mode 100644 index a3a0eb4fb..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.xco +++ /dev/null @@ -1,266 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 14.1 -# Date: Mon Jun 25 01:21:52 2012 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# Generated from component: xilinx.com:ip:clk_wiz:3.5 -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = Verilog -SET device = xc6slx75 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = csg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = true -SET vhdlsim = false -# END Project Options -# BEGIN Select -SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.5 -# END Select -# BEGIN Parameters -CSET calc_done=DONE -CSET clk_in_sel_port=CLK_IN_SEL -CSET clk_out1_port=CLK_OUT1 -CSET clk_out1_use_fine_ps_gui=false -CSET clk_out2_port=CLK_OUT2 -CSET clk_out2_use_fine_ps_gui=false -CSET clk_out3_port=CLK_OUT3 -CSET clk_out3_use_fine_ps_gui=false -CSET clk_out4_port=CLK_OUT4 -CSET clk_out4_use_fine_ps_gui=false -CSET clk_out5_port=CLK_OUT5 -CSET clk_out5_use_fine_ps_gui=false -CSET clk_out6_port=CLK_OUT6 -CSET clk_out6_use_fine_ps_gui=false -CSET clk_out7_port=CLK_OUT7 -CSET clk_out7_use_fine_ps_gui=false -CSET clk_valid_port=CLK_VALID -CSET clkfb_in_n_port=CLKFB_IN_N -CSET clkfb_in_p_port=CLKFB_IN_P -CSET clkfb_in_port=CLKFB_IN -CSET clkfb_in_signaling=SINGLE -CSET clkfb_out_n_port=CLKFB_OUT_N -CSET clkfb_out_p_port=CLKFB_OUT_P -CSET clkfb_out_port=CLKFB_OUT -CSET clkfb_stopped_port=CLKFB_STOPPED -CSET clkin1_jitter_ps=250.0 -CSET clkin1_ui_jitter=0.010 -CSET clkin2_jitter_ps=100.0 -CSET clkin2_ui_jitter=0.010 -CSET clkout1_drives=BUFG -CSET clkout1_requested_duty_cycle=50.0 -CSET clkout1_requested_out_freq=100.000 -CSET clkout1_requested_phase=0.000 -CSET clkout2_drives=BUFG -CSET clkout2_requested_duty_cycle=50.0 -CSET clkout2_requested_out_freq=40.000 -CSET clkout2_requested_phase=0.000 -CSET clkout2_used=true -CSET clkout3_drives=BUFG -CSET clkout3_requested_duty_cycle=50.0 -CSET clkout3_requested_out_freq=75.000 -CSET clkout3_requested_phase=0.000 -CSET clkout3_used=true -CSET clkout4_drives=BUFG -CSET clkout4_requested_duty_cycle=50.0 -CSET clkout4_requested_out_freq=75.000 -CSET clkout4_requested_phase=0.000 -CSET clkout4_used=false -CSET clkout5_drives=BUFG -CSET clkout5_requested_duty_cycle=50.0 -CSET clkout5_requested_out_freq=100.000 -CSET clkout5_requested_phase=0.000 -CSET clkout5_used=false -CSET clkout6_drives=BUFG -CSET clkout6_requested_duty_cycle=50.0 -CSET clkout6_requested_out_freq=100.000 -CSET clkout6_requested_phase=0.000 -CSET clkout6_used=false -CSET clkout7_drives=BUFG -CSET clkout7_requested_duty_cycle=50.0 -CSET clkout7_requested_out_freq=100.000 -CSET clkout7_requested_phase=0.000 -CSET clkout7_used=false -CSET clock_mgr_type=AUTO -CSET component_name=pll_100_40_75 -CSET daddr_port=DADDR -CSET dclk_port=DCLK -CSET dcm_clk_feedback=1X -CSET dcm_clk_out1_port=CLKFX -CSET dcm_clk_out2_port=CLK0 -CSET dcm_clk_out3_port=CLKFX -CSET dcm_clk_out4_port=CLKFX -CSET dcm_clk_out5_port=CLK0 -CSET dcm_clk_out6_port=CLK0 -CSET dcm_clkdv_divide=2.0 -CSET dcm_clkfx_divide=2 -CSET dcm_clkfx_multiply=5 -CSET dcm_clkgen_clk_out1_port=CLKFX -CSET dcm_clkgen_clk_out2_port=CLKFX -CSET dcm_clkgen_clk_out3_port=CLKFX -CSET dcm_clkgen_clkfx_divide=1 -CSET dcm_clkgen_clkfx_md_max=0.000 -CSET dcm_clkgen_clkfx_multiply=4 -CSET dcm_clkgen_clkfxdv_divide=2 -CSET dcm_clkgen_clkin_period=10.000 -CSET dcm_clkgen_notes=None -CSET dcm_clkgen_spread_spectrum=NONE -CSET dcm_clkgen_startup_wait=false -CSET dcm_clkin_divide_by_2=false -CSET dcm_clkin_period=25.000 -CSET dcm_clkout_phase_shift=NONE -CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS -CSET dcm_notes=None -CSET dcm_phase_shift=0 -CSET dcm_pll_cascade=NONE -CSET dcm_startup_wait=false -CSET den_port=DEN -CSET din_port=DIN -CSET dout_port=DOUT -CSET drdy_port=DRDY -CSET dwe_port=DWE -CSET feedback_source=FDBK_AUTO -CSET in_freq_units=Units_MHz -CSET in_jitter_units=Units_UI -CSET input_clk_stopped_port=INPUT_CLK_STOPPED -CSET jitter_options=UI -CSET jitter_sel=No_Jitter -CSET locked_port=LOCKED -CSET mmcm_bandwidth=OPTIMIZED -CSET mmcm_clkfbout_mult_f=4.000 -CSET mmcm_clkfbout_phase=0.000 -CSET mmcm_clkfbout_use_fine_ps=false -CSET mmcm_clkin1_period=10.000 -CSET mmcm_clkin2_period=10.000 -CSET mmcm_clkout0_divide_f=4.000 -CSET mmcm_clkout0_duty_cycle=0.500 -CSET mmcm_clkout0_phase=0.000 -CSET mmcm_clkout0_use_fine_ps=false -CSET mmcm_clkout1_divide=1 -CSET mmcm_clkout1_duty_cycle=0.500 -CSET mmcm_clkout1_phase=0.000 -CSET mmcm_clkout1_use_fine_ps=false -CSET mmcm_clkout2_divide=1 -CSET mmcm_clkout2_duty_cycle=0.500 -CSET mmcm_clkout2_phase=0.000 -CSET mmcm_clkout2_use_fine_ps=false -CSET mmcm_clkout3_divide=1 -CSET mmcm_clkout3_duty_cycle=0.500 -CSET mmcm_clkout3_phase=0.000 -CSET mmcm_clkout3_use_fine_ps=false -CSET mmcm_clkout4_cascade=false -CSET mmcm_clkout4_divide=1 -CSET mmcm_clkout4_duty_cycle=0.500 -CSET mmcm_clkout4_phase=0.000 -CSET mmcm_clkout4_use_fine_ps=false -CSET mmcm_clkout5_divide=1 -CSET mmcm_clkout5_duty_cycle=0.500 -CSET mmcm_clkout5_phase=0.000 -CSET mmcm_clkout5_use_fine_ps=false -CSET mmcm_clkout6_divide=1 -CSET mmcm_clkout6_duty_cycle=0.500 -CSET mmcm_clkout6_phase=0.000 -CSET mmcm_clkout6_use_fine_ps=false -CSET mmcm_clock_hold=false -CSET mmcm_compensation=ZHOLD -CSET mmcm_divclk_divide=1 -CSET mmcm_notes=None -CSET mmcm_ref_jitter1=0.010 -CSET mmcm_ref_jitter2=0.010 -CSET mmcm_startup_wait=false -CSET num_out_clks=3 -CSET override_dcm=false -CSET override_dcm_clkgen=false -CSET override_mmcm=false -CSET override_pll=false -CSET platform=lin -CSET pll_bandwidth=OPTIMIZED -CSET pll_clk_feedback=CLKFBOUT -CSET pll_clkfbout_mult=15 -CSET pll_clkfbout_phase=0.000 -CSET pll_clkin_period=25.000 -CSET pll_clkout0_divide=6 -CSET pll_clkout0_duty_cycle=0.500 -CSET pll_clkout0_phase=0.000 -CSET pll_clkout1_divide=15 -CSET pll_clkout1_duty_cycle=0.500 -CSET pll_clkout1_phase=0.000 -CSET pll_clkout2_divide=8 -CSET pll_clkout2_duty_cycle=0.500 -CSET pll_clkout2_phase=0.000 -CSET pll_clkout3_divide=8 -CSET pll_clkout3_duty_cycle=0.500 -CSET pll_clkout3_phase=0.000 -CSET pll_clkout4_divide=1 -CSET pll_clkout4_duty_cycle=0.500 -CSET pll_clkout4_phase=0.000 -CSET pll_clkout5_divide=1 -CSET pll_clkout5_duty_cycle=0.500 -CSET pll_clkout5_phase=0.000 -CSET pll_compensation=SYSTEM_SYNCHRONOUS -CSET pll_divclk_divide=1 -CSET pll_notes=None -CSET pll_ref_jitter=0.010 -CSET power_down_port=POWER_DOWN -CSET prim_in_freq=40.000 -CSET prim_in_jitter=0.010 -CSET prim_source=Single_ended_clock_capable_pin -CSET primary_port=CLK_IN1 -CSET primitive=MMCM -CSET primtype_sel=DCM_SP -CSET psclk_port=PSCLK -CSET psdone_port=PSDONE -CSET psen_port=PSEN -CSET psincdec_port=PSINCDEC -CSET relative_inclk=REL_PRIMARY -CSET reset_port=RESET -CSET secondary_in_freq=100.000 -CSET secondary_in_jitter=0.010 -CSET secondary_port=CLK_IN2 -CSET secondary_source=Single_ended_clock_capable_pin -CSET status_port=STATUS -CSET summary_strings=empty -CSET use_clk_valid=false -CSET use_clkfb_stopped=false -CSET use_dyn_phase_shift=false -CSET use_dyn_reconfig=false -CSET use_freeze=false -CSET use_freq_synth=true -CSET use_inclk_stopped=false -CSET use_inclk_switchover=false -CSET use_locked=true -CSET use_max_i_jitter=false -CSET use_min_o_jitter=false -CSET use_min_power=false -CSET use_phase_alignment=true -CSET use_power_down=false -CSET use_reset=true -CSET use_spread_spectrum=false -CSET use_status=false -# END Parameters -# BEGIN Extra information -MISC pkg_timestamp=2011-12-28T09:11:49Z -# END Extra information -GENERATE -# CRC: e73fbe14 diff --git a/fpga/usrp2/coregen/pll_100_40_75.xdc b/fpga/usrp2/coregen/pll_100_40_75.xdc deleted file mode 100755 index 4cf03fee7..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.xdc +++ /dev/null @@ -1,67 +0,0 @@ -# file: pll_100_40_75.xdc -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# Input clock periods. These duplicate the values entered for the -# input clocks. You can use these to time your system -#---------------------------------------------------------------- -create_clock -name CLK_IN1 -period 25.000 [get_ports CLK_IN1] -set_propagated_clock CLK_IN1 -set_input_jitter CLK_IN1 0.25 - -set_false_path -from [get_ports "RESET"] - -# Derived clock periods. These are commented out because they are -# automatically propogated by the tools -# However, if you'd like to use them for module level testing, you -# can copy them into your module level timing checks -#----------------------------------------------------------------- - -#----------------------------------------------------------------- - -#----------------------------------------------------------------- diff --git a/fpga/usrp2/coregen/pll_100_40_75.xise b/fpga/usrp2/coregen/pll_100_40_75.xise deleted file mode 100644 index 55dbd6ddb..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75.xise +++ /dev/null @@ -1,78 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema"> - - <header> - <!-- ISE source project file created by Project Navigator. --> - <!-- --> - <!-- This file contains project source information including a list of --> - <!-- project source files, project and process properties. This file, --> - <!-- along with the project source files, is sufficient to open and --> - <!-- implement in ISE Project Navigator. --> - <!-- --> - <!-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. --> - </header> - - <version xil_pn:ise_version="12.1" xil_pn:schema_version="2"/> - - <files> - <file xil_pn:name="pll_100_40_75/example_design/pll_100_40_75_exdes.ucf" xil_pn:type="FILE_UCF"> - <association xil_pn:name="Implementation"/> - </file> - <file xil_pn:name="pll_100_40_75/example_design/pll_100_40_75_exdes.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - <file xil_pn:name="pll_100_40_75.v" xil_pn:type="FILE_VERILOG"> - <association xil_pn:name="BehavioralSimulation"/> - <association xil_pn:name="Implementation"/> - <association xil_pn:name="PostMapSimulation"/> - <association xil_pn:name="PostRouteSimulation"/> - <association xil_pn:name="PostTranslateSimulation"/> - </file> - </files> - - <properties> - <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top" xil_pn:value="Module|pll_100_40_75_exdes" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top File" xil_pn:value="pll_100_40_75/example_design/pll_100_40_75_exdes.v" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/pll_100_40_75_exdes" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/> - <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> - <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> - <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> - <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/> - <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> - <!-- --> - <!-- The following properties are for internal use only. These should not be modified.--> - <!-- --> - <property xil_pn:name="PROP_DesignName" xil_pn:value="pll_100_40_75" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-06-24T18:22:22" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="C844C83C3B3DDBC76B212B92126CFCA7" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> - </properties> - - <bindings/> - - <libraries/> - - <autoManagedFiles> - <!-- The following files are identified by `include statements in verilog --> - <!-- source files and are automatically managed by Project Navigator. --> - <!-- --> - <!-- Do not hand-edit this section, as it will be overwritten when the --> - <!-- project is analyzed based on files automatically identified as --> - <!-- include files. --> - </autoManagedFiles> - -</project> diff --git a/fpga/usrp2/coregen/pll_100_40_75/clk_wiz_v3_5_readme.txt b/fpga/usrp2/coregen/pll_100_40_75/clk_wiz_v3_5_readme.txt deleted file mode 100644 index 4e06648c2..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/clk_wiz_v3_5_readme.txt +++ /dev/null @@ -1,183 +0,0 @@ - Core name: Xilinx LogiCORE Clocking Wizard - Version: 3.5 - Release: ISE 14.1 - Release Date: April 24, 2012 - - -================================================================================ - -This document contains the following sections: - -1. Introduction -2. New Features - 2.1 ISE -3. Supported Devices - 3.1 ISE -4. Resolved Issues - 4.1 ISE -5. Known Issues - 5.1 ISE -6. Technical Support -7. Core Release History -8. Legal Disclaimer - -================================================================================ - - -1. INTRODUCTION - -For installation instructions for this release, please go to: - - http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm - -For system requirements: - - http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm - -This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.5 -solution. For the latest core updates, see the product page at: - - http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ - -................................................................................ - -2. NEW FEATURES - - - 2.1 ISE - - - ISE 14.1 software support - -................................................................................ - -3. SUPPORTED DEVICES - - - 3.1 ISE - - - The following device families are supported by the core for this release. - - All 7 Series devices - - - Zynq-7000 devices - Zynq-7000 - Defense Grade Zynq-7000Q (XQ) - - - All Virtex-6 devices - - - All Spartan-6 devices - - -................................................................................ - -4. RESOLVED ISSUES - - - 4.1 ISE - - - NA - -................................................................................ - -5. KNOWN ISSUES - - - 5.1 ISE - - - The most recent information, including known issues, workarounds, and - resolutions for this version is provided in the IP Release Notes Guide - located at - - www.xilinx.com/support/documentation/user_guides/xtp025.pdf - - -................................................................................ - -6. TECHNICAL SUPPORT - - -To obtain technical support, create a WebCase at www.xilinx.com/support. -Questions are routed to a team with expertise using this product. - -Xilinx provides technical support for use of this product when used -according to the guidelines described in the core documentation, and -cannot guarantee timing, functionality, or support of this product for -designs that do not follow specified guidelines. - - -................................................................................ - -7. CORE RELEASE HISTORY - - -Date By Version Description -================================================================================ -04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support -01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support -06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support -03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support -12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support -09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support -07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support -04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support -12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support -09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support -06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support -04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support -================================================================================ - -................................................................................ - -8. LEGAL DISCLAIMER - -(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved. - -This file contains confidential and proprietary information -of Xilinx, Inc. and is protected under U.S. and -international copyright and other intellectual property -laws. - -DISCLAIMER -This disclaimer is not a license and does not grant any -rights to the materials distributed herewith. Except as -otherwise provided in a valid license issued to you by -Xilinx, and to the maximum extent permitted by applicable -law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -(2) Xilinx shall not be liable (whether in contract or tort, -including negligence, or under any other theory of -liability) for any loss or damage of any kind or nature -related to, arising under or in connection with these -materials, including for any direct, or any indirect, -special, incidental, or consequential loss or damage -(including loss of data, profits, goodwill, or any type of -loss or damage suffered as a result of any action brought -by a third party) even if such damage or loss was -reasonably foreseeable or Xilinx had been advised of the -possibility of the same. - -CRITICAL APPLICATIONS -Xilinx products are not designed or intended to be fail- -safe, or for use in any application requiring fail-safe -performance, such as life-support or safety devices or -systems, Class III medical devices, nuclear facilities, -applications related to the deployment of airbags, or any -other applications that could lead to death, personal -injury, or severe property or environmental damage -(individually and collectively, "Critical -Applications"). Customer assumes the sole risk and -liability of any use of Xilinx products in Critical -Applications, subject only to applicable laws and -regulations governing limitations on product liability. - -THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -PART OF THIS FILE AT ALL TIMES. - diff --git a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_gsg521.pdf b/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_gsg521.pdf Binary files differdeleted file mode 100644 index 998385638..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_gsg521.pdf +++ /dev/null diff --git a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_readme.txt b/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_readme.txt deleted file mode 100644 index 4e06648c2..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_readme.txt +++ /dev/null @@ -1,183 +0,0 @@ - Core name: Xilinx LogiCORE Clocking Wizard - Version: 3.5 - Release: ISE 14.1 - Release Date: April 24, 2012 - - -================================================================================ - -This document contains the following sections: - -1. Introduction -2. New Features - 2.1 ISE -3. Supported Devices - 3.1 ISE -4. Resolved Issues - 4.1 ISE -5. Known Issues - 5.1 ISE -6. Technical Support -7. Core Release History -8. Legal Disclaimer - -================================================================================ - - -1. INTRODUCTION - -For installation instructions for this release, please go to: - - http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm - -For system requirements: - - http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm - -This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.5 -solution. For the latest core updates, see the product page at: - - http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ - -................................................................................ - -2. NEW FEATURES - - - 2.1 ISE - - - ISE 14.1 software support - -................................................................................ - -3. SUPPORTED DEVICES - - - 3.1 ISE - - - The following device families are supported by the core for this release. - - All 7 Series devices - - - Zynq-7000 devices - Zynq-7000 - Defense Grade Zynq-7000Q (XQ) - - - All Virtex-6 devices - - - All Spartan-6 devices - - -................................................................................ - -4. RESOLVED ISSUES - - - 4.1 ISE - - - NA - -................................................................................ - -5. KNOWN ISSUES - - - 5.1 ISE - - - The most recent information, including known issues, workarounds, and - resolutions for this version is provided in the IP Release Notes Guide - located at - - www.xilinx.com/support/documentation/user_guides/xtp025.pdf - - -................................................................................ - -6. TECHNICAL SUPPORT - - -To obtain technical support, create a WebCase at www.xilinx.com/support. -Questions are routed to a team with expertise using this product. - -Xilinx provides technical support for use of this product when used -according to the guidelines described in the core documentation, and -cannot guarantee timing, functionality, or support of this product for -designs that do not follow specified guidelines. - - -................................................................................ - -7. CORE RELEASE HISTORY - - -Date By Version Description -================================================================================ -04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support -01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support -06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support -03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support -12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support -09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support -07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support -04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support -12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support -09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support -06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support -04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support -================================================================================ - -................................................................................ - -8. LEGAL DISCLAIMER - -(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved. - -This file contains confidential and proprietary information -of Xilinx, Inc. and is protected under U.S. and -international copyright and other intellectual property -laws. - -DISCLAIMER -This disclaimer is not a license and does not grant any -rights to the materials distributed herewith. Except as -otherwise provided in a valid license issued to you by -Xilinx, and to the maximum extent permitted by applicable -law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -(2) Xilinx shall not be liable (whether in contract or tort, -including negligence, or under any other theory of -liability) for any loss or damage of any kind or nature -related to, arising under or in connection with these -materials, including for any direct, or any indirect, -special, incidental, or consequential loss or damage -(including loss of data, profits, goodwill, or any type of -loss or damage suffered as a result of any action brought -by a third party) even if such damage or loss was -reasonably foreseeable or Xilinx had been advised of the -possibility of the same. - -CRITICAL APPLICATIONS -Xilinx products are not designed or intended to be fail- -safe, or for use in any application requiring fail-safe -performance, such as life-support or safety devices or -systems, Class III medical devices, nuclear facilities, -applications related to the deployment of airbags, or any -other applications that could lead to death, personal -injury, or severe property or environmental damage -(individually and collectively, "Critical -Applications"). Customer assumes the sole risk and -liability of any use of Xilinx products in Critical -Applications, subject only to applicable laws and -regulations governing limitations on product liability. - -THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -PART OF THIS FILE AT ALL TIMES. - diff --git a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_vinfo.html b/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_vinfo.html deleted file mode 100644 index 8dc6bb6ba..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/doc/clk_wiz_v3_5_vinfo.html +++ /dev/null @@ -1,194 +0,0 @@ -<HTML> -<HEAD> -<TITLE>clk_wiz_v3_5_vinfo</TITLE> -<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1"> -</HEAD> -<BODY> -<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1"> - Core name: Xilinx LogiCORE Clocking Wizard - Version: 3.5 - Release: ISE 14.1 - Release Date: April 24, 2012 - - -================================================================================ - -This document contains the following sections: - -1. Introduction -2. New Features - 2.1 ISE -3. Supported Devices - 3.1 ISE -4. Resolved Issues - 4.1 ISE -5. Known Issues - 5.1 ISE -6. Technical Support -7. Core Release History -8. Legal Disclaimer - -================================================================================ - - -1. INTRODUCTION - -For installation instructions for this release, please go to: - - <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A> - -For system requirements: - - <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A> - -This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.5 -solution. For the latest core updates, see the product page at: - - <A HREF="http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/">www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/</A> - -................................................................................ - -2. NEW FEATURES - - - 2.1 ISE - - - ISE 14.1 software support - -................................................................................ - -3. SUPPORTED DEVICES - - - 3.1 ISE - - - The following device families are supported by the core for this release. - - All 7 Series devices - - - Zynq-7000 devices - Zynq-7000 - Defense Grade Zynq-7000Q (XQ) - - - All Virtex-6 devices - - - All Spartan-6 devices - - -................................................................................ - -4. RESOLVED ISSUES - - - 4.1 ISE - - - NA - -................................................................................ - -5. KNOWN ISSUES - - - 5.1 ISE - - - The most recent information, including known issues, workarounds, and - resolutions for this version is provided in the IP Release Notes Guide - located at - - <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A> - - -................................................................................ - -6. TECHNICAL SUPPORT - - -To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A> -Questions are routed to a team with expertise using this product. - -Xilinx provides technical support for use of this product when used -according to the guidelines described in the core documentation, and -cannot guarantee timing, functionality, or support of this product for -designs that do not follow specified guidelines. - - -................................................................................ - -7. CORE RELEASE HISTORY - - -Date By Version Description -================================================================================ -04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support -01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support -06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support -03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support -12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support -09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support -07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support -04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support -12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support -09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support -06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support -04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support -================================================================================ - -................................................................................ - -8. LEGAL DISCLAIMER - -(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved. - -This file contains confidential and proprietary information -of Xilinx, Inc. and is protected under U.S. and -international copyright and other intellectual property -laws. - -DISCLAIMER -This disclaimer is not a license and does not grant any -rights to the materials distributed herewith. Except as -otherwise provided in a valid license issued to you by -Xilinx, and to the maximum extent permitted by applicable -law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -(2) Xilinx shall not be liable (whether in contract or tort, -including negligence, or under any other theory of -liability) for any loss or damage of any kind or nature -related to, arising under or in connection with these -materials, including for any direct, or any indirect, -special, incidental, or consequential loss or damage -(including loss of data, profits, goodwill, or any type of -loss or damage suffered as a result of any action brought -by a third party) even if such damage or loss was -reasonably foreseeable or Xilinx had been advised of the -possibility of the same. - -CRITICAL APPLICATIONS -Xilinx products are not designed or intended to be fail- -safe, or for use in any application requiring fail-safe -performance, such as life-support or safety devices or -systems, Class III medical devices, nuclear facilities, -applications related to the deployment of airbags, or any -other applications that could lead to death, personal -injury, or severe property or environmental damage -(individually and collectively, "Critical -Applications"). Customer assumes the sole risk and -liability of any use of Xilinx products in Critical -Applications, subject only to applicable laws and -regulations governing limitations on product liability. - -THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -PART OF THIS FILE AT ALL TIMES. - -</FONT> -</PRE> -</BODY> -</HTML> diff --git a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.ucf b/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.ucf deleted file mode 100755 index 1892548b4..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.ucf +++ /dev/null @@ -1,72 +0,0 @@ -# file: pll_100_40_75_exdes.ucf -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# Input clock periods. These duplicate the values entered for the -# input clocks. You can use these to time your system -#---------------------------------------------------------------- -NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.000 ns HIGH 50% INPUT_JITTER 250.0ps; - -# Derived clock periods. These are commented out because they are -# automatically propogated by the tools -# However, if you'd like to use them for module level testing, you -# can copy them into your module level timing checks -#----------------------------------------------------------------- -# NET "clk_int[1]" TNM_NET = "CLK_OUT1"; -# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 100.000 MHz; - -# NET "clk_int[2]" TNM_NET = "CLK_OUT2"; -# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 40.000 MHz; -# NET "clk_int[3]" TNM_NET = "CLK_OUT3"; -# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 75.000 MHz; - -# FALSE PATH constraints -PIN "COUNTER_RESET" TIG; -PIN "RESET" TIG; - diff --git a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.v b/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.v deleted file mode 100755 index a79d6ab10..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.v +++ /dev/null @@ -1,160 +0,0 @@ -// file: pll_100_40_75_exdes.v -// -// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -// -// This file contains confidential and proprietary information -// of Xilinx, Inc. and is protected under U.S. and -// international copyright and other intellectual property -// laws. -// -// DISCLAIMER -// This disclaimer is not a license and does not grant any -// rights to the materials distributed herewith. Except as -// otherwise provided in a valid license issued to you by -// Xilinx, and to the maximum extent permitted by applicable -// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -// (2) Xilinx shall not be liable (whether in contract or tort, -// including negligence, or under any other theory of -// liability) for any loss or damage of any kind or nature -// related to, arising under or in connection with these -// materials, including for any direct, or any indirect, -// special, incidental, or consequential loss or damage -// (including loss of data, profits, goodwill, or any type of -// loss or damage suffered as a result of any action brought -// by a third party) even if such damage or loss was -// reasonably foreseeable or Xilinx had been advised of the -// possibility of the same. -// -// CRITICAL APPLICATIONS -// Xilinx products are not designed or intended to be fail- -// safe, or for use in any application requiring fail-safe -// performance, such as life-support or safety devices or -// systems, Class III medical devices, nuclear facilities, -// applications related to the deployment of airbags, or any -// other applications that could lead to death, personal -// injury, or severe property or environmental damage -// (individually and collectively, "Critical -// Applications"). Customer assumes the sole risk and -// liability of any use of Xilinx products in Critical -// Applications, subject only to applicable laws and -// regulations governing limitations on product liability. -// -// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -// PART OF THIS FILE AT ALL TIMES. -// - -//---------------------------------------------------------------------------- -// Clocking wizard example design -//---------------------------------------------------------------------------- -// This example design instantiates the created clocking network, where each -// output clock drives a counter. The high bit of each counter is ported. -//---------------------------------------------------------------------------- - -`timescale 1ps/1ps - -module pll_100_40_75_exdes - #( - parameter TCQ = 100 - ) - (// Clock in ports - input CLK_IN1, - // Reset that only drives logic in example design - input COUNTER_RESET, - output [3:1] CLK_OUT, - // High bits of counters driven by clocks - output [3:1] COUNT, - // Status and control signals - input RESET, - output LOCKED - ); - - // Parameters for the counters - //------------------------------- - // Counter width - localparam C_W = 16; - // Number of counters - localparam NUM_C = 3; - genvar count_gen; - // When the clock goes out of lock, reset the counters - wire reset_int = !LOCKED || RESET || COUNTER_RESET; - - reg [NUM_C:1] rst_sync; - reg [NUM_C:1] rst_sync_int; - reg [NUM_C:1] rst_sync_int1; - reg [NUM_C:1] rst_sync_int2; - - - // Declare the clocks and counters - wire [NUM_C:1] clk_int; - wire [NUM_C:1] clk; - reg [C_W-1:0] counter [NUM_C:1]; - - // Instantiation of the clocking network - //-------------------------------------- - pll_100_40_75 clknetwork - (// Clock in ports - .CLK_IN1 (CLK_IN1), - // Clock out ports - .CLK_OUT1 (clk_int[1]), - .CLK_OUT2 (clk_int[2]), - .CLK_OUT3 (clk_int[3]), - // Status and control signals - .RESET (RESET), - .LOCKED (LOCKED)); - - assign CLK_OUT = clk_int; - - // Connect the output clocks to the design - //----------------------------------------- - assign clk[1] = clk_int[1]; - assign clk[2] = clk_int[2]; - assign clk[3] = clk_int[3]; - - - // Reset synchronizer - //----------------------------------- - generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 - always @(posedge reset_int or posedge clk[count_gen]) begin - if (reset_int) begin - rst_sync[count_gen] <= 1'b1; - rst_sync_int[count_gen]<= 1'b1; - rst_sync_int1[count_gen]<= 1'b1; - rst_sync_int2[count_gen]<= 1'b1; - end - else begin - rst_sync[count_gen] <= 1'b0; - rst_sync_int[count_gen] <= rst_sync[count_gen]; - rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; - rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; - end - end - end - endgenerate - - - // Output clock sampling - //----------------------------------- - generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters - - always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin - if (rst_sync_int2[count_gen]) begin - counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; - end else begin - counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; - end - end - // alias the high bit of each counter to the corresponding - // bit in the output bus - assign COUNT[count_gen] = counter[count_gen][C_W-1]; - end - endgenerate - - - - - -endmodule diff --git a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.xdc b/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.xdc deleted file mode 100755 index bd0f53e4e..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/example_design/pll_100_40_75_exdes.xdc +++ /dev/null @@ -1,69 +0,0 @@ -# file: pll_100_40_75_exdes.xdc -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# Input clock periods. These duplicate the values entered for the -# input clocks. You can use these to time your system -#---------------------------------------------------------------- -create_clock -name CLK_IN1 -period 25.000 [get_ports CLK_IN1] -set_propagated_clock CLK_IN1 -set_input_jitter CLK_IN1 0.25 - -# FALSE PATH constraint added on COUNTER_RESET -set_false_path -from [get_ports "COUNTER_RESET"] -set_false_path -from [get_ports "RESET"] - -# Derived clock periods. These are commented out because they are -# automatically propogated by the tools -# However, if you'd like to use them for module level testing, you -# can copy them into your module level timing checks -#----------------------------------------------------------------- - -#----------------------------------------------------------------- - -#----------------------------------------------------------------- diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/implement.bat b/fpga/usrp2/coregen/pll_100_40_75/implement/implement.bat deleted file mode 100755 index a362117a4..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/implement.bat +++ /dev/null @@ -1,90 +0,0 @@ -REM file: implement.bat -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM - -REM ----------------------------------------------------------------------------- -REM Script to synthesize and implement the RTL provided for the clocking wizard -REM ----------------------------------------------------------------------------- - -REM Clean up the results directory -rmdir /S /Q results -mkdir results - -REM Copy unisim_comp.v file to results directory -copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ - -REM Synthesize the Verilog Wrapper Files -echo 'Synthesizing Clocking Wizard design with XST' -xst -ifn xst.scr -move pll_100_40_75_exdes.ngc results\ - -REM Copy the constraints files generated by Coregen -echo 'Copying files from constraints directory to results directory' -copy ..\example_design\pll_100_40_75_exdes.ucf results\ - -cd results - -echo 'Running ngdbuild' -ngdbuild -uc pll_100_40_75_exdes.ucf pll_100_40_75_exdes - -echo 'Running map' -map -timing -pr b pll_100_40_75_exdes -o mapped.ncd - -echo 'Running par' -par -w mapped.ncd routed mapped.pcf - -echo 'Running trce' -trce -e 10 routed -o routed mapped.pcf - -echo 'Running design through bitgen' -bitgen -w routed - -echo 'Running netgen to create gate level model for the clocking wizard example design' -netgen -ofmt verilog -sim -sdf_anno false -tm pll_100_40_75_exdes -w routed.ncd routed.v -cd .. - diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/implement.sh b/fpga/usrp2/coregen/pll_100_40_75/implement/implement.sh deleted file mode 100755 index e3ff2ce97..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/implement.sh +++ /dev/null @@ -1,91 +0,0 @@ -#!/bin/sh -# file: implement.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -#----------------------------------------------------------------------------- -# Script to synthesize and implement the RTL provided for the clocking wizard -#----------------------------------------------------------------------------- - -# Clean up the results directory -rm -rf results -mkdir results - -# Copy unisim_comp.v file to results directory -cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ - -# Synthesize the Verilog Wrapper Files -echo 'Synthesizing Clocking Wizard design with XST' -xst -ifn xst.scr -mv pll_100_40_75_exdes.ngc results/ - -# Copy the constraints files generated by Coregen -echo 'Copying files from constraints directory to results directory' -cp ../example_design/pll_100_40_75_exdes.ucf results/ - -cd results - -echo 'Running ngdbuild' -ngdbuild -uc pll_100_40_75_exdes.ucf pll_100_40_75_exdes - -echo 'Running map' -map -timing pll_100_40_75_exdes -o mapped.ncd - -echo 'Running par' -par -w mapped.ncd routed mapped.pcf - -echo 'Running trce' -trce -e 10 routed -o routed mapped.pcf - -echo 'Running design through bitgen' -bitgen -w routed - -echo 'Running netgen to create gate level model for the clocking wizard example design' -netgen -ofmt verilog -sim -sdf_anno false -tm pll_100_40_75_exdes -w routed.ncd routed.v - -cd .. diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.bat b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.bat deleted file mode 100755 index 8ac771810..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.bat +++ /dev/null @@ -1,58 +0,0 @@ -REM file: planAhead_ise.bat -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM - -REM----------------------------------------------------------------------------- -REM Script to synthesize and implement the RTL provided for the clocking wizard -REM----------------------------------------------------------------------------- - -del \f results -mkdir results -cd results - -planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.sh b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.sh deleted file mode 100755 index 6c8c837d3..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.sh +++ /dev/null @@ -1,59 +0,0 @@ -#!/bin/sh -# file: planAhead_ise.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -#----------------------------------------------------------------------------- -# Script to synthesize and implement the RTL provided for the clocking wizard -#----------------------------------------------------------------------------- - -rm -rf results -mkdir results -cd results - -planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.tcl b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.tcl deleted file mode 100755 index f4e6c57ae..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_ise.tcl +++ /dev/null @@ -1,78 +0,0 @@ -# file: planAhead_ise.tcl -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -set projDir [file dirname [info script]] -set projName pll_100_40_75 -set topName pll_100_40_75_exdes -set device xc6slx75csg484-3 - -create_project $projName $projDir/results/$projName -part $device - -set_property design_mode RTL [get_filesets sources_1] - -## Source files -#set verilogSources [glob $srcDir/*.v] -import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/pll_100_40_75_exdes.v -import_files -fileset [get_filesets sources_1] -force -norecurse ../../../pll_100_40_75.v - - -#UCF file -import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/pll_100_40_75_exdes.ucf - -set_property top $topName [get_property srcset [current_run]] - -launch_runs -runs synth_1 -wait_on_run synth_1 - -set_property add_step Bitgen [get_runs impl_1] -launch_runs -runs impl_1 -wait_on_run impl_1 - - - diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.bat b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.bat deleted file mode 100755 index 42273f5d4..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.bat +++ /dev/null @@ -1,58 +0,0 @@ -REM file: planAhead_rdn.sh -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM - -REM----------------------------------------------------------------------------- -REM Script to synthesize and implement the RTL provided for the XADC wizard -REM----------------------------------------------------------------------------- - -del \f results -mkdir results -cd results - -planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.sh b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.sh deleted file mode 100755 index f4c14729e..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.sh +++ /dev/null @@ -1,57 +0,0 @@ -#!/bin/sh -# file: planAhead_rdn.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -#----------------------------------------------------------------------------- -# Script to synthesize and implement the RTL provided for the XADC wizard -#----------------------------------------------------------------------------- -rm -rf results -mkdir results -cd results -planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.tcl b/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.tcl deleted file mode 100755 index 56f9c65af..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/planAhead_rdn.tcl +++ /dev/null @@ -1,69 +0,0 @@ -# file : planAhead_rdn.tcl -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -set device xc6slx75csg484-3 -set projName pll_100_40_75 -set design pll_100_40_75 -set projDir [file dirname [info script]] -create_project $projName $projDir/results/$projName -part $device -force -set_property design_mode RTL [current_fileset -srcset] -set top_module pll_100_40_75_exdes -set_property top pll_100_40_75_exdes [get_property srcset [current_run]] -add_files -norecurse {../../../pll_100_40_75.v} -add_files -norecurse {../../example_design/pll_100_40_75_exdes.v} -import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/pll_100_40_75_exdes.xdc} -synth_design -opt_design -place_design -route_design -write_sdf -rename_top_module pll_100_40_75_exdes -file routed.sdf -write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module pll_100_40_75_exdes -file routed.v -report_timing -nworst 30 -path_type full -file routed.twr -report_drc -file report.drc -write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/xst.prj b/fpga/usrp2/coregen/pll_100_40_75/implement/xst.prj deleted file mode 100755 index 8409c83dd..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/xst.prj +++ /dev/null @@ -1,2 +0,0 @@ -verilog work ../../pll_100_40_75.v -verilog work ../example_design/pll_100_40_75_exdes.v diff --git a/fpga/usrp2/coregen/pll_100_40_75/implement/xst.scr b/fpga/usrp2/coregen/pll_100_40_75/implement/xst.scr deleted file mode 100755 index af176e2e4..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/implement/xst.scr +++ /dev/null @@ -1,9 +0,0 @@ -run --ifmt MIXED --top pll_100_40_75_exdes --p xc6slx75-csg484-3 --ifn xst.prj --ofn pll_100_40_75_exdes --keep_hierarchy soft --equivalent_register_removal no --max_fanout 65535 diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simcmds.tcl b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simcmds.tcl deleted file mode 100755 index 6692a790e..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simcmds.tcl +++ /dev/null @@ -1,8 +0,0 @@ -# file: simcmds.tcl - -# create the simulation script -vcd dumpfile isim.vcd -vcd dumpvars -m /pll_100_40_75_tb -l 0 -wave add / -run 50000ns -quit diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.bat b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.bat deleted file mode 100755 index 783ddc0e3..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.bat +++ /dev/null @@ -1,59 +0,0 @@ -REM file: simulate_isim.bat -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM - -vlogcomp -work work %XILINX%\verilog\src\glbl.v -vlogcomp -work work ..\..\..\pll_100_40_75.v -vlogcomp -work work ..\..\example_design\pll_100_40_75_exdes.v -vlogcomp -work work ..\pll_100_40_75_tb.v - -REM compile the project -fuse work.pll_100_40_75_tb work.glbl -L unisims_ver -o pll_100_40_75_isim.exe - -REM run the simulation script -.\pll_100_40_75_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.sh deleted file mode 100755 index cb197ed97..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_isim.sh +++ /dev/null @@ -1,61 +0,0 @@ -# file: simulate_isim.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# lin -# create the project -vlogcomp -work work ${XILINX}/verilog/src/glbl.v -vlogcomp -work work ../../../pll_100_40_75.v -vlogcomp -work work ../../example_design/pll_100_40_75_exdes.v -vlogcomp -work work ../pll_100_40_75_tb.v - -# compile the project -fuse work.pll_100_40_75_tb work.glbl -L unisims_ver -o pll_100_40_75_isim.exe - -# run the simulation script -./pll_100_40_75_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.bat b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.bat deleted file mode 100755 index 756d94e7a..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.bat +++ /dev/null @@ -1,61 +0,0 @@ -REM file: simulate_mti.bat -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM - -REM set up the working directory -vlib work - -REM compile all of the files -vlog -work work %XILINX%\verilog\src\glbl.v -vlog -work work ..\..\..\pll_100_40_75.v -vlog -work work ..\..\example_design\pll_100_40_75_exdes.v -vlog -work work ..\pll_100_40_75_tb.v - -REM run the simulation -vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.pll_100_40_75_tb work.glbl - diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.do b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.do deleted file mode 100755 index c74e73aa5..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.do +++ /dev/null @@ -1,65 +0,0 @@ -# file: simulate_mti.do -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# set up the working directory -set work work -vlib work - -# compile all of the files -vlog -work work $env(XILINX)/verilog/src/glbl.v -vlog -work work ../../../pll_100_40_75.v -vlog -work work ../../example_design/pll_100_40_75_exdes.v -vlog -work work ../pll_100_40_75_tb.v - -# run the simulation -vsim -t ps -voptargs="+acc" -L unisims_ver work.pll_100_40_75_tb work.glbl -do wave.do -log pll_100_40_75_tb/dut/counter -log -r /* -run 50000ns diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.sh deleted file mode 100755 index a49ca05c6..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_mti.sh +++ /dev/null @@ -1,61 +0,0 @@ -#/bin/sh -# file: simulate_mti.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# -# set up the working directory -set work work -vlib work - -# compile all of the files -vlog -work work $XILINX/verilog/src/glbl.v -vlog -work work ../../../pll_100_40_75.v -vlog -work work ../../example_design/pll_100_40_75_exdes.v -vlog -work work ../pll_100_40_75_tb.v - -# run the simulation -vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.pll_100_40_75_tb work.glbl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_ncsim.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_ncsim.sh deleted file mode 100755 index 5978c8eb7..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_ncsim.sh +++ /dev/null @@ -1,62 +0,0 @@ -#/bin/sh -# file: simulate_ncsim.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# set up the working directory -mkdir work - -# compile all of the files -ncvlog -work work ${XILINX}/verilog/src/glbl.v -ncvlog -work work ../../../pll_100_40_75.v -ncvlog -work work ../../example_design/pll_100_40_75_exdes.v -ncvlog -work work ../pll_100_40_75_tb.v - -# elaborate and run the simulation -ncelab -work work -access +wc work.pll_100_40_75_tb work.glbl -ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.pll_100_40_75_tb diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_vcs.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_vcs.sh deleted file mode 100755 index bebd99d7d..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/simulate_vcs.sh +++ /dev/null @@ -1,72 +0,0 @@ -#!/bin/sh -# file: simulate_vcs.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# remove old files -rm -rf simv* csrc DVEfiles AN.DB - -# compile all of the files -# Note that -sverilog is not strictly required- You can -# remove the -sverilog if you change the type of the -# localparam for the periods in the testbench file to -# [63:0] from time -vlogan -sverilog \ - ${XILINX}/verilog/src/glbl.v \ - ../../../pll_100_40_75.v \ - ../../example_design/pll_100_40_75_exdes.v \ - ../pll_100_40_75_tb.v - -# prepare the simulation -vcs +vcs+lic+wait -debug pll_100_40_75_tb glbl - -# run the simulation -./simv -ucli -i ucli_commands.key - -# launch the viewer -dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/ucli_commands.key b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/ucli_commands.key deleted file mode 100755 index b56d68a2d..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/ucli_commands.key +++ /dev/null @@ -1,5 +0,0 @@ -call {$vcdpluson} -call {$vcdplusmemon(pll_100_40_75_tb.dut.counter)} -run -call {$vcdplusclose} -quit diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/vcs_session.tcl b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/vcs_session.tcl deleted file mode 100755 index 19b1ea0f5..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/vcs_session.tcl +++ /dev/null @@ -1,18 +0,0 @@ -gui_open_window Wave -gui_sg_create pll_100_40_75_group -gui_list_add_group -id Wave.1 {pll_100_40_75_group} -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.test_phase} -gui_set_radix -radix {ascii} -signals {pll_100_40_75_tb.test_phase} -gui_sg_addsignal -group pll_100_40_75_group {{Input_clocks}} -divider -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.CLK_IN1} -gui_sg_addsignal -group pll_100_40_75_group {{Output_clocks}} -divider -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.dut.clk} -gui_list_expand -id Wave.1 pll_100_40_75_tb.dut.clk -gui_sg_addsignal -group pll_100_40_75_group {{Status_control}} -divider -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.RESET} -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.LOCKED} -gui_sg_addsignal -group pll_100_40_75_group {{Counters}} -divider -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.COUNT} -gui_sg_addsignal -group pll_100_40_75_group {pll_100_40_75_tb.dut.counter} -gui_list_expand -id Wave.1 pll_100_40_75_tb.dut.counter -gui_zoom -window Wave.1 -full diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.do b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.do deleted file mode 100755 index 4178de1c7..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.do +++ /dev/null @@ -1,60 +0,0 @@ -# file: wave.do -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -add wave -noupdate -format Literal -radix ascii /pll_100_40_75_tb/test_phase -add wave -noupdate -divider {Input clocks} -add wave -noupdate -format Logic /pll_100_40_75_tb/CLK_IN1 -add wave -noupdate -divider {Output clocks} -add wave -noupdate -format Literal -expand /pll_100_40_75_tb/dut/clk -add wave -noupdate -divider Status/control -add wave -noupdate -format Logic /pll_100_40_75_tb/RESET -add wave -noupdate -format Logic /pll_100_40_75_tb/LOCKED -add wave -noupdate -divider Counters -add wave -noupdate -format Literal -radix hexadecimal /pll_100_40_75_tb/COUNT -add wave -noupdate -format Literal -radix hexadecimal -expand /pll_100_40_75_tb/dut/counter diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.sv b/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.sv deleted file mode 100755 index 57e72bdec..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/functional/wave.sv +++ /dev/null @@ -1,119 +0,0 @@ -# file: wave.sv -# -# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# -# Get the windows set up -# -if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { - window geometry "Design Browser 1" 1054x819+536+322 -} -window target "Design Browser 1" on -browser using {Design Browser 1} -browser set \ - -scope nc::pll_100_40_75_tb -browser yview see nc::pll_100_40_75_tb -browser timecontrol set -lock 0 - -if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { - window geometry "Waveform 1" 1010x600+0+541 -} -window target "Waveform 1" on -waveform using {Waveform 1} -waveform sidebar visibility partial -waveform set \ - -primarycursor TimeA \ - -signalnames name \ - -signalwidth 175 \ - -units ns \ - -valuewidth 75 -cursor set -using TimeA -time 0 -waveform baseline set -time 0 -waveform xview limits 0 20000n - -# -# Define signal groups -# -catch {group new -name {Output clocks} -overlay 0} -catch {group new -name {Status/control} -overlay 0} -catch {group new -name {Counters} -overlay 0} - -set id [waveform add -signals [list {nc::pll_100_40_75_tb.CLK_IN1}]] - -group using {Output clocks} -group set -overlay 0 -group set -comment {} -group clear 0 end - -group insert \ - {pll_100_40_75_tb.dut.clk[1]} \ - {pll_100_40_75_tb.dut.clk[2]} \ {pll_100_40_75_tb.dut.clk[3]} -group using {Counters} -group set -overlay 0 -group set -comment {} -group clear 0 end - -group insert \ - {pll_100_40_75_tb.dut.counter[1]} \ - {pll_100_40_75_tb.dut.counter[2]} \ {pll_100_40_75_tb.dut.counter[3]} -group using {Status/control} -group set -overlay 0 -group set -comment {} -group clear 0 end - -group insert \ - {nc::pll_100_40_75_tb.RESET} {nc::pll_100_40_75_tb.LOCKED} - - -set id [waveform add -signals [list {nc::pll_100_40_75_tb.COUNT} ]] - -set id [waveform add -signals [list {nc::pll_100_40_75_tb.test_phase} ]] -waveform format $id -radix %a - -set groupId [waveform add -groups {{Input clocks}}] -set groupId [waveform add -groups {{Output clocks}}] -set groupId [waveform add -groups {{Status/control}}] -set groupId [waveform add -groups {{Counters}}] diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/pll_100_40_75_tb.v b/fpga/usrp2/coregen/pll_100_40_75/simulation/pll_100_40_75_tb.v deleted file mode 100755 index fe800f0cc..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/pll_100_40_75_tb.v +++ /dev/null @@ -1,143 +0,0 @@ -// file: pll_100_40_75_tb.v -// -// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -// -// This file contains confidential and proprietary information -// of Xilinx, Inc. and is protected under U.S. and -// international copyright and other intellectual property -// laws. -// -// DISCLAIMER -// This disclaimer is not a license and does not grant any -// rights to the materials distributed herewith. Except as -// otherwise provided in a valid license issued to you by -// Xilinx, and to the maximum extent permitted by applicable -// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -// (2) Xilinx shall not be liable (whether in contract or tort, -// including negligence, or under any other theory of -// liability) for any loss or damage of any kind or nature -// related to, arising under or in connection with these -// materials, including for any direct, or any indirect, -// special, incidental, or consequential loss or damage -// (including loss of data, profits, goodwill, or any type of -// loss or damage suffered as a result of any action brought -// by a third party) even if such damage or loss was -// reasonably foreseeable or Xilinx had been advised of the -// possibility of the same. -// -// CRITICAL APPLICATIONS -// Xilinx products are not designed or intended to be fail- -// safe, or for use in any application requiring fail-safe -// performance, such as life-support or safety devices or -// systems, Class III medical devices, nuclear facilities, -// applications related to the deployment of airbags, or any -// other applications that could lead to death, personal -// injury, or severe property or environmental damage -// (individually and collectively, "Critical -// Applications"). Customer assumes the sole risk and -// liability of any use of Xilinx products in Critical -// Applications, subject only to applicable laws and -// regulations governing limitations on product liability. -// -// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -// PART OF THIS FILE AT ALL TIMES. -// - -//---------------------------------------------------------------------------- -// Clocking wizard demonstration testbench -//---------------------------------------------------------------------------- -// This demonstration testbench instantiates the example design for the -// clocking wizard. Input clocks are toggled, which cause the clocking -// network to lock and the counters to increment. -//---------------------------------------------------------------------------- - -`timescale 1ps/1ps - -`define wait_lock @(posedge LOCKED) - -module pll_100_40_75_tb (); - - // Clock to Q delay of 100ps - localparam TCQ = 100; - - - // timescale is 1ps/1ps - localparam ONE_NS = 1000; - localparam PHASE_ERR_MARGIN = 100; // 100ps - // how many cycles to run - localparam COUNT_PHASE = 1024; - // we'll be using the period in many locations - localparam time PER1 = 25.000*ONE_NS; - localparam time PER1_1 = PER1/2; - localparam time PER1_2 = PER1 - PER1/2; - - // Declare the input clock signals - reg CLK_IN1 = 1; - - // The high bits of the sampling counters - wire [3:1] COUNT; - // Status and control signals - reg RESET = 0; - wire LOCKED; - reg COUNTER_RESET = 0; -wire [3:1] CLK_OUT; -//Freq Check using the M & D values setting and actual Frequency generated - - - // Input clock generation - //------------------------------------ - always begin - CLK_IN1 = #PER1_1 ~CLK_IN1; - CLK_IN1 = #PER1_2 ~CLK_IN1; - end - - // Test sequence - reg [15*8-1:0] test_phase = ""; - initial begin - // Set up any display statements using time to be readable - $timeformat(-12, 2, "ps", 10); - COUNTER_RESET = 0; - test_phase = "reset"; - RESET = 1; - #(PER1*6); - RESET = 0; - test_phase = "wait lock"; - `wait_lock; - #(PER1*6); - COUNTER_RESET = 1; - #(PER1*20) - COUNTER_RESET = 0; - - test_phase = "counting"; - #(PER1*COUNT_PHASE); - - $display("SIMULATION PASSED"); - $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); - $finish; - end - - // Instantiation of the example design containing the clock - // network and sampling counters - //--------------------------------------------------------- - pll_100_40_75_exdes - #( - .TCQ (TCQ) - ) dut - (// Clock in ports - .CLK_IN1 (CLK_IN1), - // Reset for logic in example design - .COUNTER_RESET (COUNTER_RESET), - .CLK_OUT (CLK_OUT), - // High bits of the counters - .COUNT (COUNT), - // Status and control signals - .RESET (RESET), - .LOCKED (LOCKED)); - -// Freq Check - -endmodule diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/pll_100_40_75_tb.v b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/pll_100_40_75_tb.v deleted file mode 100755 index 0e6be6e9d..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/pll_100_40_75_tb.v +++ /dev/null @@ -1,157 +0,0 @@ -// file: pll_100_40_75_tb.v -// -// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -// -// This file contains confidential and proprietary information -// of Xilinx, Inc. and is protected under U.S. and -// international copyright and other intellectual property -// laws. -// -// DISCLAIMER -// This disclaimer is not a license and does not grant any -// rights to the materials distributed herewith. Except as -// otherwise provided in a valid license issued to you by -// Xilinx, and to the maximum extent permitted by applicable -// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -// (2) Xilinx shall not be liable (whether in contract or tort, -// including negligence, or under any other theory of -// liability) for any loss or damage of any kind or nature -// related to, arising under or in connection with these -// materials, including for any direct, or any indirect, -// special, incidental, or consequential loss or damage -// (including loss of data, profits, goodwill, or any type of -// loss or damage suffered as a result of any action brought -// by a third party) even if such damage or loss was -// reasonably foreseeable or Xilinx had been advised of the -// possibility of the same. -// -// CRITICAL APPLICATIONS -// Xilinx products are not designed or intended to be fail- -// safe, or for use in any application requiring fail-safe -// performance, such as life-support or safety devices or -// systems, Class III medical devices, nuclear facilities, -// applications related to the deployment of airbags, or any -// other applications that could lead to death, personal -// injury, or severe property or environmental damage -// (individually and collectively, "Critical -// Applications"). Customer assumes the sole risk and -// liability of any use of Xilinx products in Critical -// Applications, subject only to applicable laws and -// regulations governing limitations on product liability. -// -// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -// PART OF THIS FILE AT ALL TIMES. -// - -//---------------------------------------------------------------------------- -// Clocking wizard demonstration testbench -//---------------------------------------------------------------------------- -// This demonstration testbench instantiates the example design for the -// clocking wizard. Input clocks are toggled, which cause the clocking -// network to lock and the counters to increment. -//---------------------------------------------------------------------------- - -`timescale 1ps/1ps - -`define wait_lock @(posedge LOCKED) - -module pll_100_40_75_tb (); - - // Clock to Q delay of 100ps - localparam TCQ = 100; - - - // timescale is 1ps/1ps - localparam ONE_NS = 1000; - localparam PHASE_ERR_MARGIN = 100; // 100ps - // how many cycles to run - localparam COUNT_PHASE = 1024; - // we'll be using the period in many locations - localparam time PER1 = 25.000*ONE_NS; - localparam time PER1_1 = PER1/2; - localparam time PER1_2 = PER1 - PER1/2; - - // Declare the input clock signals - reg CLK_IN1 = 1; - - // The high bits of the sampling counters - wire [3:1] COUNT; - // Status and control signals - reg RESET = 0; - wire LOCKED; - reg COUNTER_RESET = 0; -wire [3:1] CLK_OUT; -//Freq Check using the M & D values setting and actual Frequency generated - - reg [13:0] timeout_counter = 14'b00000000000000; - - // Input clock generation - //------------------------------------ - always begin - CLK_IN1 = #PER1_1 ~CLK_IN1; - CLK_IN1 = #PER1_2 ~CLK_IN1; - end - - // Test sequence - reg [15*8-1:0] test_phase = ""; - initial begin - // Set up any display statements using time to be readable - $timeformat(-12, 2, "ps", 10); - $display ("Timing checks are not valid"); - COUNTER_RESET = 0; - test_phase = "reset"; - RESET = 1; - #(PER1*6); - RESET = 0; - test_phase = "wait lock"; - `wait_lock; - #(PER1*6); - COUNTER_RESET = 1; - #(PER1*19.5) - COUNTER_RESET = 0; - #(PER1*1) - $display ("Timing checks are valid"); - test_phase = "counting"; - #(PER1*COUNT_PHASE); - - $display("SIMULATION PASSED"); - $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); - $finish; - end - - - always@(posedge CLK_IN1) begin - timeout_counter <= timeout_counter + 1'b1; - if (timeout_counter == 14'b10000000000000) begin - if (LOCKED != 1'b1) begin - $display("ERROR : NO LOCK signal"); - $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); - $finish; - end - end - end - - // Instantiation of the example design containing the clock - // network and sampling counters - //--------------------------------------------------------- - pll_100_40_75_exdes - dut - (// Clock in ports - .CLK_IN1 (CLK_IN1), - // Reset for logic in example design - .COUNTER_RESET (COUNTER_RESET), - .CLK_OUT (CLK_OUT), - // High bits of the counters - .COUNT (COUNT), - // Status and control signals - .RESET (RESET), - .LOCKED (LOCKED)); - - -// Freq Check - -endmodule diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/sdf_cmd_file b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/sdf_cmd_file deleted file mode 100755 index 61dacfed8..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/sdf_cmd_file +++ /dev/null @@ -1,2 +0,0 @@ -COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", -SCOPE = pll_100_40_75_tb.dut; diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simcmds.tcl b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simcmds.tcl deleted file mode 100755 index 857329884..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simcmds.tcl +++ /dev/null @@ -1,9 +0,0 @@ -# file: simcmds.tcl - -# create the simulation script -vcd dumpfile isim.vcd -vcd dumpvars -m /pll_100_40_75_tb -l 0 -wave add / -run 50000ns -quit - diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_isim.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_isim.sh deleted file mode 100755 index e3b06d7c5..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_isim.sh +++ /dev/null @@ -1,62 +0,0 @@ -# file: simulate_isim.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# create the project -vlogcomp -work work ${XILINX}/verilog/src/glbl.v -vlogcomp -work work ../../implement/results/routed.v -vlogcomp -work work pll_100_40_75_tb.v - -# compile the project -fuse work.pll_100_40_75_tb work.glbl -L secureip -L simprims_ver -o pll_100_40_75_isim.exe - -# run the simulation script -./pll_100_40_75_isim.exe -tclbatch simcmds.tcl -sdfmax /pll_100_40_75_tb/dut=../../implement/results/routed.sdf - -# run the simulation script -#./pll_100_40_75_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.bat b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.bat deleted file mode 100755 index 7e5890086..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.bat +++ /dev/null @@ -1,59 +0,0 @@ -REM file: simulate_mti.bat -REM -REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -REM -REM This file contains confidential and proprietary information -REM of Xilinx, Inc. and is protected under U.S. and -REM international copyright and other intellectual property -REM laws. -REM -REM DISCLAIMER -REM This disclaimer is not a license and does not grant any -REM rights to the materials distributed herewith. Except as -REM otherwise provided in a valid license issued to you by -REM Xilinx, and to the maximum extent permitted by applicable -REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -REM (2) Xilinx shall not be liable (whether in contract or tort, -REM including negligence, or under any other theory of -REM liability) for any loss or damage of any kind or nature -REM related to, arising under or in connection with these -REM materials, including for any direct, or any indirect, -REM special, incidental, or consequential loss or damage -REM (including loss of data, profits, goodwill, or any type of -REM loss or damage suffered as a result of any action brought -REM by a third party) even if such damage or loss was -REM reasonably foreseeable or Xilinx had been advised of the -REM possibility of the same. -REM -REM CRITICAL APPLICATIONS -REM Xilinx products are not designed or intended to be fail- -REM safe, or for use in any application requiring fail-safe -REM performance, such as life-support or safety devices or -REM systems, Class III medical devices, nuclear facilities, -REM applications related to the deployment of airbags, or any -REM other applications that could lead to death, personal -REM injury, or severe property or environmental damage -REM (individually and collectively, "Critical -REM Applications"). Customer assumes the sole risk and -REM liability of any use of Xilinx products in Critical -REM Applications, subject only to applicable laws and -REM regulations governing limitations on product liability. -REM -REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -REM PART OF THIS FILE AT ALL TIMES. -REM -# set up the working directory -set work work -vlib work - -REM compile all of the files -vlog -work work %XILINX%\verilog\src\glbl.v -vlog -work work ..\..\implement\results\routed.v -vlog -work work pll_100_40_75_tb.v - -REM run the simulation -vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax pll_100_40_75_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.pll_100_40_75_tb work.glbl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.do b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.do deleted file mode 100755 index 03f8a3965..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.do +++ /dev/null @@ -1,65 +0,0 @@ -# file: simulate_mti.do -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# set up the working directory -set work work -vlib work - -# compile all of the files -vlog -work work $env(XILINX)/verilog/src/glbl.v -vlog -work work ../../implement/results/routed.v -vlog -work work pll_100_40_75_tb.v - -# run the simulation -vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax pll_100_40_75_tb/dut=../../implement/results/routed.sdf +no_notifier work.pll_100_40_75_tb work.glbl -#do wave.do -#log -r /* -run 50000ns - - diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.sh deleted file mode 100755 index 055768aa8..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_mti.sh +++ /dev/null @@ -1,61 +0,0 @@ -#/bin/sh -# file: simulate_mti.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# set up the working directory -set work work -vlib work - -# compile all of the files -vlog -work work $XILINX/verilog/src/glbl.v -vlog -work work ../../implement/results/routed.v -vlog -work work pll_100_40_75_tb.v - -# run the simulation -vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax pll_100_40_75_tb/dut=../../implement/results/routed.sdf +no_notifier work.pll_100_40_75_tb work.glbl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_ncsim.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_ncsim.sh deleted file mode 100755 index aa3a2b441..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_ncsim.sh +++ /dev/null @@ -1,64 +0,0 @@ -#!/bin/sh -# file: simulate_ncsim.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# set up the working directory -mkdir work - -# compile all of the files -ncvlog -work work ${XILINX}/verilog/src/glbl.v -ncvlog -work work ../../implement/results/routed.v -ncvlog -work work pll_100_40_75_tb.v - -# elaborate and run the simulation -ncsdfc ../../implement/results/routed.sdf - -ncelab -work work -access +wc -pulse_r 10 -nonotifier work.pll_100_40_75_tb work.glbl -sdf_cmd_file sdf_cmd_file -ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.pll_100_40_75_tb - diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_vcs.sh b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_vcs.sh deleted file mode 100755 index 3cc21dd69..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/simulate_vcs.sh +++ /dev/null @@ -1,72 +0,0 @@ -#!/bin/sh -# file: simulate_vcs.sh -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# remove old files -rm -rf simv* csrc DVEfiles AN.DB - -# compile all of the files -# Note that -sverilog is not strictly required- You can -# remove the -sverilog if you change the type of the -# localparam for the periods in the testbench file to -# [63:0] from time - vlogan -sverilog \ - pll_100_40_75_tb.v \ - ../../implement/results/routed.v - - -# prepare the simulation -vcs -sdf max:pll_100_40_75_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ - +libext+.v -debug pll_100_40_75_tb.v ../../implement/results/routed.v - -# run the simulation -./simv -ucli -i ucli_commands.key - -# launch the viewer -#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/ucli_commands.key b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/ucli_commands.key deleted file mode 100755 index 0548d1733..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/ucli_commands.key +++ /dev/null @@ -1,5 +0,0 @@ - -call {$vcdpluson} -run 50000ns -call {$vcdplusclose} -quit diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/vcs_session.tcl b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/vcs_session.tcl deleted file mode 100755 index 1438f6bed..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/vcs_session.tcl +++ /dev/null @@ -1 +0,0 @@ -gui_open_window Wave diff --git a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/wave.do b/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/wave.do deleted file mode 100755 index fe9b59354..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75/simulation/timing/wave.do +++ /dev/null @@ -1,72 +0,0 @@ -# file: wave.do -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate /pll_100_40_75_tb/CLK_IN1 -add wave -noupdate /pll_100_40_75_tb/COUNT -add wave -noupdate /pll_100_40_75_tb/LOCKED -add wave -noupdate /pll_100_40_75_tb/RESET -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} -configure wave -namecolwidth 238 -configure wave -valuecolwidth 107 -configure wave -justifyvalue left -configure wave -signalnamewidth 0 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ps -update -WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/fpga/usrp2/coregen/pll_100_40_75_exdes.ncf b/fpga/usrp2/coregen/pll_100_40_75_exdes.ncf deleted file mode 100644 index ddff6a6e9..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75_exdes.ncf +++ /dev/null @@ -1,73 +0,0 @@ -# file: pll_100_40_75_exdes.ucf -# -# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -# -# This file contains confidential and proprietary information -# of Xilinx, Inc. and is protected under U.S. and -# international copyright and other intellectual property -# laws. -# -# DISCLAIMER -# This disclaimer is not a license and does not grant any -# rights to the materials distributed herewith. Except as -# otherwise provided in a valid license issued to you by -# Xilinx, and to the maximum extent permitted by applicable -# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -# (2) Xilinx shall not be liable (whether in contract or tort, -# including negligence, or under any other theory of -# liability) for any loss or damage of any kind or nature -# related to, arising under or in connection with these -# materials, including for any direct, or any indirect, -# special, incidental, or consequential loss or damage -# (including loss of data, profits, goodwill, or any type of -# loss or damage suffered as a result of any action brought -# by a third party) even if such damage or loss was -# reasonably foreseeable or Xilinx had been advised of the -# possibility of the same. -# -# CRITICAL APPLICATIONS -# Xilinx products are not designed or intended to be fail- -# safe, or for use in any application requiring fail-safe -# performance, such as life-support or safety devices or -# systems, Class III medical devices, nuclear facilities, -# applications related to the deployment of airbags, or any -# other applications that could lead to death, personal -# injury, or severe property or environmental damage -# (individually and collectively, "Critical -# Applications"). Customer assumes the sole risk and -# liability of any use of Xilinx products in Critical -# Applications, subject only to applicable laws and -# regulations governing limitations on product liability. -# -# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -# PART OF THIS FILE AT ALL TIMES. -# - -# Input clock periods. These duplicate the values entered for the -# input clocks. You can use these to time your system -#---------------------------------------------------------------- -NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.000 ns HIGH 50% INPUT_JITTER 250.0ps; - -# Derived clock periods. These are commented out because they are -# automatically propogated by the tools -# However, if you'd like to use them for module level testing, you -# can copy them into your module level timing checks -#----------------------------------------------------------------- -# NET "clk_int[1]" TNM_NET = "CLK_OUT1"; -# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 100.000 MHz; - -# NET "clk_int[2]" TNM_NET = "CLK_OUT2"; -# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 40.000 MHz; -# NET "clk_int[3]" TNM_NET = "CLK_OUT3"; -# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 75.000 MHz; - -# FALSE PATH constraints -PIN "COUNTER_RESET" TIG; -PIN "RESET" TIG; - - diff --git a/fpga/usrp2/coregen/pll_100_40_75_flist.txt b/fpga/usrp2/coregen/pll_100_40_75_flist.txt deleted file mode 100644 index 04c7f882d..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75_flist.txt +++ /dev/null @@ -1,54 +0,0 @@ -# Output products list for <pll_100_40_75> -_xmsgs/pn_parser.xmsgs -pll_100_40_75/clk_wiz_v3_5_readme.txt -pll_100_40_75/doc/clk_wiz_gsg521.pdf -pll_100_40_75/doc/clk_wiz_v3_5_readme.txt -pll_100_40_75/doc/clk_wiz_v3_5_vinfo.html -pll_100_40_75/example_design/pll_100_40_75_exdes.ucf -pll_100_40_75/example_design/pll_100_40_75_exdes.v -pll_100_40_75/example_design/pll_100_40_75_exdes.xdc -pll_100_40_75/implement/implement.bat -pll_100_40_75/implement/implement.sh -pll_100_40_75/implement/planAhead_ise.bat -pll_100_40_75/implement/planAhead_ise.sh -pll_100_40_75/implement/planAhead_ise.tcl -pll_100_40_75/implement/planAhead_rdn.bat -pll_100_40_75/implement/planAhead_rdn.sh -pll_100_40_75/implement/planAhead_rdn.tcl -pll_100_40_75/implement/xst.prj -pll_100_40_75/implement/xst.scr -pll_100_40_75/simulation/functional/simcmds.tcl -pll_100_40_75/simulation/functional/simulate_isim.bat -pll_100_40_75/simulation/functional/simulate_isim.sh -pll_100_40_75/simulation/functional/simulate_mti.bat -pll_100_40_75/simulation/functional/simulate_mti.do -pll_100_40_75/simulation/functional/simulate_mti.sh -pll_100_40_75/simulation/functional/simulate_ncsim.sh -pll_100_40_75/simulation/functional/simulate_vcs.sh -pll_100_40_75/simulation/functional/ucli_commands.key -pll_100_40_75/simulation/functional/vcs_session.tcl -pll_100_40_75/simulation/functional/wave.do -pll_100_40_75/simulation/functional/wave.sv -pll_100_40_75/simulation/pll_100_40_75_tb.v -pll_100_40_75/simulation/timing/pll_100_40_75_tb.v -pll_100_40_75/simulation/timing/sdf_cmd_file -pll_100_40_75/simulation/timing/simcmds.tcl -pll_100_40_75/simulation/timing/simulate_isim.sh -pll_100_40_75/simulation/timing/simulate_mti.bat -pll_100_40_75/simulation/timing/simulate_mti.do -pll_100_40_75/simulation/timing/simulate_mti.sh -pll_100_40_75/simulation/timing/simulate_ncsim.sh -pll_100_40_75/simulation/timing/simulate_vcs.sh -pll_100_40_75/simulation/timing/ucli_commands.key -pll_100_40_75/simulation/timing/vcs_session.tcl -pll_100_40_75/simulation/timing/wave.do -pll_100_40_75.asy -pll_100_40_75.gise -pll_100_40_75.ucf -pll_100_40_75.v -pll_100_40_75.veo -pll_100_40_75.xco -pll_100_40_75.xdc -pll_100_40_75.xise -pll_100_40_75_flist.txt -pll_100_40_75_xmdf.tcl diff --git a/fpga/usrp2/coregen/pll_100_40_75_xmdf.tcl b/fpga/usrp2/coregen/pll_100_40_75_xmdf.tcl deleted file mode 100755 index 18eee6e1a..000000000 --- a/fpga/usrp2/coregen/pll_100_40_75_xmdf.tcl +++ /dev/null @@ -1,144 +0,0 @@ -# The package naming convention is <core_name>_xmdf -package provide pll_100_40_75_xmdf 1.0 - -# This includes some utilities that support common XMDF operations -package require utilities_xmdf - -# Define a namespace for this package. The name of the name space -# is <core_name>_xmdf -namespace eval ::pll_100_40_75_xmdf { -# Use this to define any statics -} - -# Function called by client to rebuild the params and port arrays -# Optional when the use context does not require the param or ports -# arrays to be available. -proc ::pll_100_40_75_xmdf::xmdfInit { instance } { -# Variable containg name of library into which module is compiled -# Recommendation: <module_name> -# Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name pll_100_40_75 -} -# ::pll_100_40_75_xmdf::xmdfInit - -# Function called by client to fill in all the xmdf* data variables -# based on the current settings of the parameters -proc ::pll_100_40_75_xmdf::xmdfApplyParams { instance } { - -set fcount 0 -# Array containing libraries that are assumed to exist -# Examples include unisim and xilinxcorelib -# Optional -# In this example, we assume that the unisim library will -# be magically -# available to the simulation and synthesis tool -utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library -utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/clk_wiz_readme.txt -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/example_design/pll_100_40_75_exdes.ucf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/doc/clk_wiz_ds709.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/doc/clk_wiz_gsg521.pdf -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/example_design/pll_100_40_75_exdes.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/implement/implement.bat -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/implement/implement.sh -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/implement/xst.prj -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/implement/xst.scr -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/pll_100_40_75_tb.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/simcmds.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/simulate_isim.sh -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/simulate_mti.do -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/simulate_ncsim.sh -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/simulate_vcs.sh -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/ucli_commands.key -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/vcs_session.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/wave.do -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75/simulation/functional/wave.sv -utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75.asy -utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75.ejp -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75.v -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75.veo -utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75.xco -utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path pll_100_40_75_xmdf.tcl -utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView -incr fcount - -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module pll_100_40_75 -incr fcount - -} - -# ::gen_comp_name_xmdf::xmdfApplyParams |