aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/x400/cpld/ip/cmi/PcieCmi.vhd
blob: 8bd0fbb1b5dee8f773a8ab8d7c3d83c0b4b32dbf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
--
-- Copyright 2021 Ettus Research, a National Instruments Brand
--
-- SPDX-License-Identifier: LGPL-3.0-or-later
--
-- Module: PcieCmi
--
-- Description:
--
--   This is an automatically generated file.
--   Do not modify this file directly!
--

library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

entity PcieCmi is
  generic (
    kSimulation :  natural  := 0
  );
  port (
    Clk : in std_logic ;
    acReset : in std_logic ;
    cSerialNumber : in std_logic_vector (39 downto 0);
    cBoardIsReady : in std_logic ;
    cCmiReset : out std_logic ;
    cOtherSideDetected : out std_logic ;
    aCblPrsnt_n : in std_logic ;
    aSdaIn : in std_logic ;
    aSdaOut : out std_logic ;
    aSclIn : in std_logic ;
    aSclOut : out std_logic 
  );
end entity PcieCmi;
architecture rtl of PcieCmi is
begin
end architecture rtl;