aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/x300/bus_int_tb.v
blob: 70103c3bf13e5d0ee3f2c90d55a749665483d77f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
`timescale 1ns/1ps

module bus_int_tb();

   wire GSR, GTS;
   xlnx_glbl glbl( );

   reg clk    = 0;
   reg reset  = 1;
   
   always #10 clk = ~clk;
   
   initial $dumpfile("bus_int_tb.vcd");
   initial $dumpvars(0,bus_int_tb);

   initial
     begin
	#1000 reset = 0;
	#2000000;
	$finish;
     end
   
   wire sen, sclk, mosi, miso;
   wire scl, sda;
   
   bus_int bus_int
     (.clk(clk), .reset(reset), 
      .sen(sen), .sclk(sclk), .mosi(mosi), .miso(miso),
      .scl(scl), .sda(sda)
      );
   
endmodule // bus_int_tb