blob: 9a346a059e35135c349209368fb920fd4a4c1a0a (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
|
//
// Copyright 2017 Ettus Research, a National Instruments Company
//
// SPDX-License-Identifier: LGPL-3.0-or-later
//
`default_nettype none
module cvita_to_axis
(
input wire clk,
input wire [63:0] i_tdata,
input wire i_tlast,
input wire i_tvalid,
output wire i_tready,
output wire [63:0] m_axis_tdata,
output wire m_axis_tlast,
output wire m_axis_tvalid,
input wire m_axis_tready
);
assign i_tready = m_axis_tready;
assign m_axis_tdata = {i_tdata[31:0], i_tdata[63:32]};
assign m_axis_tlast = i_tlast;
assign m_axis_tvalid = i_tvalid;
endmodule // cvita_to_axis
`default_nettype wire
|