aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/lib/control/gpio_atr_io.v
blob: 4c427177b9f2e7b63077a7cda9e7deaa8e30a1bb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
//
// Copyright 2015 Ettus Research LLC
// Copyright 2018 Ettus Research, a National Instruments Company
//
// SPDX-License-Identifier: LGPL-3.0-or-later
//

module gpio_atr_io #(
  parameter WIDTH = 32
) (
  input               clk,
  input  [WIDTH-1:0]  gpio_ddr,
  input  [WIDTH-1:0]  gpio_out,
  output [WIDTH-1:0]  gpio_in,
  inout  [WIDTH-1:0]  gpio_pins
);

  //Instantiate registers in the IOB
  (* IOB = "true" *) reg [WIDTH-1:0] gpio_in_iob, gpio_out_iob;
  always @(posedge clk) begin
    gpio_in_iob   <= gpio_pins;
    gpio_out_iob  <= gpio_out;
  end
  assign gpio_in = gpio_in_iob;

  //Pipeline the data direction bus
  reg [WIDTH-1:0] gpio_ddr_reg;
  always @(posedge clk)
    gpio_ddr_reg <= gpio_ddr;

  //Tristate buffers
  genvar i;
  generate for (i=0; i<WIDTH; i=i+1) begin: io_tristate_gen
    assign gpio_pins[i] = gpio_ddr_reg[i] ? gpio_out_iob[i] : 1'bz;
  end endgenerate

endmodule // gpio_atr_io