aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp2/sdr_lib/hb/hbd_tb/test_hbd.v
blob: 01ab5e7e0d356b8cdabe209ca3bbfc3b06c4d7cf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
module test_hbd();

   reg clock;
   initial clock = 1'b0;
   always #5 clock <= ~clock;

   reg reset;
   initial reset = 1'b1;
   initial #1000 reset = 1'b0;
   
   initial $dumpfile("test_hbd.vcd");
   initial $dumpvars(0,test_hbd);

   reg [15:0] i_in, q_in;
   wire [15:0] i_out, q_out;

   reg 	       strobe_in;
   wire        strobe_out;
   reg 	       coeff_write;
   reg [15:0]  coeff_data;
   reg [4:0]   coeff_addr;
   
   halfband_decim halfband_decim 
     ( .clock(clock),.reset(reset),.enable(),.strobe_in(strobe_in),.strobe_out(strobe_out),
       .data_in(i_in),.data_out(i_out) );
   
   always @(posedge strobe_out)
     if(i_out[15])
       $display("-%d",65536-i_out);
     else
       $display("%d",i_out);

   initial
     begin
	strobe_in = 1'b0;
	@(negedge reset);
	@(posedge clock);
	while(1)
	  begin
	     strobe_in <= #1 1'b1;
	     @(posedge clock);
	     strobe_in <= #1 1'b0;
	     repeat (`RATE)
	       @(posedge clock);
	  end
     end

   initial #10000000 $finish;    // Just in case...

   initial
     begin
	i_in <= #1 16'd0;
	repeat (40) @(posedge strobe_in);
	i_in <= #1 16'd16384;
	@(posedge strobe_in);
	i_in <= #1 16'd0;
	repeat (40) @(posedge strobe_in);
	i_in <= #1 16'd16384;
	@(posedge strobe_in);
	i_in <= #1 16'd0;
	repeat (40) @(posedge strobe_in);
	i_in <= #1 16'd16384;
	repeat (40) @(posedge strobe_in);
	i_in <= #1 16'd0;
	repeat (41) @(posedge strobe_in);
	i_in <= #1 16'd16384;
	repeat (40) @(posedge strobe_in);
	i_in <= #1 16'd0;
	repeat (40) @(posedge strobe_in);
	repeat (7) @(posedge clock);
	$finish;
     end // initial begin
endmodule // test_hb