diff options
Diffstat (limited to 'usrp2/opencores/zpu/wishbone')
-rw-r--r-- | usrp2/opencores/zpu/wishbone/zpu_system.vhd | 3 |
1 files changed, 2 insertions, 1 deletions
diff --git a/usrp2/opencores/zpu/wishbone/zpu_system.vhd b/usrp2/opencores/zpu/wishbone/zpu_system.vhd index 294651fe2..8af678b6a 100644 --- a/usrp2/opencores/zpu/wishbone/zpu_system.vhd +++ b/usrp2/opencores/zpu/wishbone/zpu_system.vhd @@ -51,7 +51,7 @@ entity zpu_system is -- ZPU Control signals
enable : in std_logic;
interrupt : in std_logic;
-
+ stack_start : in std_logic_vector(maxAddrBitIncIO downto 0);
zpu_status : out std_logic_vector(63 downto 0);
-- wishbone interfaces
@@ -84,6 +84,7 @@ begin mem_write => mem_write,
out_mem_addr => out_mem_addr,
mem_writeMask => mem_writeMask,
+ stack_start => stack_start,
interrupt => interrupt,
zpu_status => zpu_status,
break => open);
|