aboutsummaryrefslogtreecommitdiffstats
path: root/usrp2/opencores/zpu/wishbone
diff options
context:
space:
mode:
authorJosh Blum <josh@joshknows.com>2010-12-17 19:02:14 -0800
committerJosh Blum <josh@joshknows.com>2010-12-17 19:02:14 -0800
commit110070fe371e521c0f20cbbb1b1da66312cb4d74 (patch)
tree73d68784058b23846dd001c53b2598f066ada31e /usrp2/opencores/zpu/wishbone
parenta96f39ef767ffcecac4a1cb7d20584fb7bd1e11a (diff)
downloaduhd-110070fe371e521c0f20cbbb1b1da66312cb4d74.tar.gz
uhd-110070fe371e521c0f20cbbb1b1da66312cb4d74.tar.bz2
uhd-110070fe371e521c0f20cbbb1b1da66312cb4d74.zip
usrp-n210: almost working w/ packet router + zpu
added stack start signal to zpu removed wb perifs in n210 out of 0-16k added reset controller for main app rewire cpu addr line after booted use 0-16k
Diffstat (limited to 'usrp2/opencores/zpu/wishbone')
-rw-r--r--usrp2/opencores/zpu/wishbone/zpu_system.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/usrp2/opencores/zpu/wishbone/zpu_system.vhd b/usrp2/opencores/zpu/wishbone/zpu_system.vhd
index 294651fe2..8af678b6a 100644
--- a/usrp2/opencores/zpu/wishbone/zpu_system.vhd
+++ b/usrp2/opencores/zpu/wishbone/zpu_system.vhd
@@ -51,7 +51,7 @@ entity zpu_system is
-- ZPU Control signals
enable : in std_logic;
interrupt : in std_logic;
-
+ stack_start : in std_logic_vector(maxAddrBitIncIO downto 0);
zpu_status : out std_logic_vector(63 downto 0);
-- wishbone interfaces
@@ -84,6 +84,7 @@ begin
mem_write => mem_write,
out_mem_addr => out_mem_addr,
mem_writeMask => mem_writeMask,
+ stack_start => stack_start,
interrupt => interrupt,
zpu_status => zpu_status,
break => open);