aboutsummaryrefslogtreecommitdiffstats
path: root/usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd')
-rw-r--r--usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd b/usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd
index 97240def6..375c9ac7e 100644
--- a/usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd
+++ b/usrp2/opencores/zpu/wishbone/wishbone_pkg.vhd
@@ -39,7 +39,7 @@ use IEEE.STD_LOGIC_UNSIGNED.ALL;
package wishbone_pkg is
type wishbone_bus_in is record
- adr : std_logic_vector(31 downto 0);
+ adr : std_logic_vector(15 downto 0);
sel : std_logic_vector(3 downto 0);
we : std_logic;
dat : std_logic_vector(31 downto 0); -- Note! Data written with 'we'