diff options
Diffstat (limited to 'usrp2/control_lib/setting_reg.v')
-rw-r--r-- | usrp2/control_lib/setting_reg.v | 23 |
1 files changed, 23 insertions, 0 deletions
diff --git a/usrp2/control_lib/setting_reg.v b/usrp2/control_lib/setting_reg.v new file mode 100644 index 000000000..ccbaa3d2e --- /dev/null +++ b/usrp2/control_lib/setting_reg.v @@ -0,0 +1,23 @@ + + +module setting_reg + #(parameter my_addr = 0) + (input clk, input rst, input strobe, input wire [7:0] addr, + input wire [31:0] in, output reg [31:0] out, output reg changed); + + always @(posedge clk) + if(rst) + begin + out <= 32'd0; + changed <= 1'b0; + end + else + if(strobe & (my_addr==addr)) + begin + out <= in; + changed <= 1'b1; + end + else + changed <= 1'b0; + +endmodule // setting_reg |