aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/x300/coregen_chipscope
diff options
context:
space:
mode:
Diffstat (limited to 'fpga/usrp3/top/x300/coregen_chipscope')
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/pn_parser.xmsgs15
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/xst.xmsgs666
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.asy9
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.gise31
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ngc3
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.v27
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.veo28
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xco56
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xise72
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_flist.txt15
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_readme.txt45
-rwxr-xr-xfpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_xmdf.tcl88
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.asy21
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.cdc275
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.ucf17
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.xdc6
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.gise31
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ngc3
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ucf17
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.v33
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.veo31
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xco141
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xdc6
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xise72
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_flist.txt17
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_readme.txt47
-rwxr-xr-xfpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_xmdf.tcl87
-rw-r--r--fpga/usrp3/top/x300/coregen_chipscope/coregen.cgp9
34 files changed, 0 insertions, 1900 deletions
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/pn_parser.xmsgs b/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/pn_parser.xmsgs
deleted file mode 100644
index f905ffcc4..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/pn_parser.xmsgs
+++ /dev/null
@@ -1,15 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- IMPORTANT: This is an internal file that has been generated -->
-<!-- by the Xilinx ISE software. Any direct editing or -->
-<!-- changes made to this file may result in unpredictable -->
-<!-- behavior or data corruption. It is strongly advised that -->
-<!-- users do not edit the contents of this file. -->
-<!-- -->
-<!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
-
-<messages>
-<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;/home/ashish/git/fpgadev/usrp3/top/b250/coregen_chipscope/chipscope_ila.v&quot; into library work</arg>
-</msg>
-
-</messages>
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/xst.xmsgs b/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/xst.xmsgs
deleted file mode 100644
index cfed5e533..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/_xmsgs/xst.xmsgs
+++ /dev/null
@@ -1,666 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- IMPORTANT: This is an internal file that has been generated
- by the Xilinx ISE software. Any direct editing or
- changes made to this file may result in unpredictable
- behavior or data corruption. It is strongly advised that
- users do not edit the contents of this file. -->
-<messages>
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/chipscope_ila.vhd" Line 292: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_reset_ctrl.vhd" Line 88: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match.vhd" Line 93: Assignment to <arg fmt="%s" index="1">logic_0</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match_gandx.vhd" Line 104: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match_gandx.vhd" Line 105: Assignment to <arg fmt="%s" index="1">logic_0</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="871" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_gandx_srl_k7.vhd" Line 102: Using initial value <arg fmt="%s" index="1">&apos;1&apos;</arg> for <arg fmt="%s" index="2">logic_1</arg> since it is never assigned
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="871" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_gand_srl_k7.vhd" Line 64: Using initial value <arg fmt="%s" index="1">&apos;1&apos;</arg> for <arg fmt="%s" index="2">logic_1</arg> since it is never assigned
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="871" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_gand_srl_k7_slice_rpm_unset.vhd" Line 76: Using initial value <arg fmt="%s" index="1">&apos;1&apos;</arg> for <arg fmt="%s" index="2">logic_1</arg> since it is never assigned
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="871" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_gand_srl_k7_slice_rpm_unset.vhd" Line 77: Using initial value <arg fmt="%s" index="1">&apos;0&apos;</arg> for <arg fmt="%s" index="2">logic_0</arg> since it is never assigned
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_trigcond.vhd" Line 120: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_trigcond.vhd" Line 110: Net &lt;<arg fmt="%s" index="1">iCFG_EN_VEC[15]</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match_gand.vhd" Line 83: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match_gand.vhd" Line 84: Assignment to <arg fmt="%s" index="1">logic_0</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="871" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_gand_srl_k7_rpm_unset.vhd" Line 156: Using initial value <arg fmt="%s" index="1">&quot;111111&quot;</arg> for <arg fmt="%s" index="2">srl_remainder</arg> since it is never assigned
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_cap_storage.vhd" Line 183: Assignment to <arg fmt="%s" index="1">logic_1</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="1127" delta="old" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_cap_storage.vhd" Line 184: Assignment to <arg fmt="%s" index="1">logic_0</arg> ignored, since the identifier is never used
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="89" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_bram_simple_k7_ramb18.vhd" Line 68: &lt;<arg fmt="%s" index="1">ramb18e1</arg>&gt; remains a black-box since it has no binding entity.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="746" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_trace_buffer.vhd" Line 201: Range is empty (null range)
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd" Line 383: Net &lt;<arg fmt="%s" index="1">iCFG_EN_VEC[15]</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd" Line 384: Net &lt;<arg fmt="%s" index="1">iCFG_EN_16_0</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd" Line 385: Net &lt;<arg fmt="%s" index="1">iCFG_EN_16_1</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/chipscope_ila.vhd" Line 279: Net &lt;<arg fmt="%s" index="1">iCONTROL_IN[17]</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="warning" file="HDLCompiler" num="634" delta="new" >"/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/chipscope_ila.vhd" Line 283: Net &lt;<arg fmt="%s" index="1">iATC_CLKIN</arg>&gt; does not have a driver.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila.vhd</arg>&quot; line <arg fmt="%s" index="2">44</arg>: Output port &lt;<arg fmt="%s" index="3">TRIG_OUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U0</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG1</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG2</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG3</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG4</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG5</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG6</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG7</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG8</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG9</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG10</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG11</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG12</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG13</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG14</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG15</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/chipscope_ila.vhd</arg>&quot; line <arg fmt="%s" index="2">1028</arg>: Output port &lt;<arg fmt="%s" index="3">TRIG_OUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">I_YES_D.U_ILA</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iCONTROL_IN&lt;17:15&gt;</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">TRIG_OUT</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iATC_CLKIN</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CONTROL_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CONTROL_IN&lt;2:2&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CONTROL_IN&lt;10:9&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CONTROL_IN&lt;17:14&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CONTROL_IN&lt;34:20&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">ATC_CLKIN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd</arg>&quot; line <arg fmt="%s" index="2">601</arg>: Output port &lt;<arg fmt="%s" index="3">HALT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_RST</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd</arg>&quot; line <arg fmt="%s" index="2">627</arg>: Output port &lt;<arg fmt="%s" index="3">CFG_TSEQ_DOUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_TRIG</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd</arg>&quot; line <arg fmt="%s" index="2">790</arg>: Output port &lt;<arg fmt="%s" index="3">CAP_EXT_TRIGOUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_G2_SQ.U_CAPCTRL</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_core.vhd</arg>&quot; line <arg fmt="%s" index="2">874</arg>: Output port &lt;<arg fmt="%s" index="3">WR_TSTAMP_OVERFLOW</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_CAPSTOR</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iCFG_EN_VEC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iCFG_EN_16_0</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iCFG_EN_16_1</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_trigger.vhd</arg>&quot; line <arg fmt="%s" index="2">254</arg>: Output port &lt;<arg fmt="%s" index="3">CFG_DOUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_TC</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIG_RESET</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_16_0</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_16_1</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_match_combo.vhd</arg>&quot; line <arg fmt="%s" index="2">160</arg>: Output port &lt;<arg fmt="%s" index="3">CFG_DOUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_MU</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_TSEQ_EN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="653" delta="new" >Signal &lt;<arg fmt="%s" index="1">iCFG_EN_VEC</arg>&gt; is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CAP_ENDSTATE</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TSTAMP_IN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_16_0</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_16_1</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_ila_v1_05_a/ila_cap_ctrl_g2_sq.vhd</arg>&quot; line <arg fmt="%s" index="2">468</arg>: Output port &lt;<arg fmt="%s" index="3">CFG_DOUT</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">I_SRLT_NE_1.U_WHCMPCE</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">TRIGGER</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">REWIND</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CLK_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RESET_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CLK</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RESET</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CFG_EN_VEC</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">CLK</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RESET</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WR_TSTAMP</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WR_GAP</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WR_REWIND</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">RD_TSTAMP_EN</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="warning" file="Xst" num="647" delta="new" >Input &lt;<arg fmt="%s" index="1">WR_RESET_I</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_bram_simple_k7_ramb18.vhd</arg>&quot; line <arg fmt="%s" index="2">418</arg>: Output port &lt;<arg fmt="%s" index="3">DOBDO</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_RAMB18E1</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_bram_simple_k7_ramb18.vhd</arg>&quot; line <arg fmt="%s" index="2">418</arg>: Output port &lt;<arg fmt="%s" index="3">DOPADOP</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_RAMB18E1</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="3210" delta="new" >&quot;<arg fmt="%s" index="1">/home/jblum/coregen_chipscope/tmp/_cg/_bbx/chipscope_lib_v1_03_a/cs_bram_simple_k7_ramb18.vhd</arg>&quot; line <arg fmt="%s" index="2">418</arg>: Output port &lt;<arg fmt="%s" index="3">DOPBDOP</arg>&gt; of the instance &lt;<arg fmt="%s" index="4">U_RAMB18E1</arg>&gt; is unconnected or connected to loadless signal.
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[127].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[127].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[126].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[126].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[125].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[125].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[124].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[124].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[123].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[123].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[122].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[122].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[121].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[121].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[120].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[120].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[119].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[119].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[118].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[118].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[117].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[117].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[116].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[116].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[115].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[115].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[114].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[114].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[113].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[113].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[112].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[112].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[111].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[111].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[110].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[110].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[109].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[109].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[108].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[108].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[107].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[107].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[106].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[106].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[105].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[105].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[104].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[104].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[103].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[103].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[102].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[102].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[101].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[101].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[100].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[100].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[99].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[99].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[98].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[98].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[97].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[97].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[96].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[96].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[95].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[95].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[94].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[94].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[93].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[93].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[92].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[92].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[91].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[91].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[90].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[90].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[89].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[89].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[88].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[88].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[87].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[87].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[86].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[86].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[85].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[85].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[84].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[84].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[83].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[83].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[82].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[82].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[81].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[81].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[80].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[80].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[79].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[79].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[78].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[78].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[77].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[77].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[76].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[76].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[75].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[75].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[74].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[74].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[73].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[73].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[72].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[72].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[71].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[71].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[70].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[70].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[69].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[69].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[68].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[68].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[67].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[67].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[66].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[66].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[65].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[65].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[64].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[64].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[63].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[63].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[62].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[62].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[61].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[61].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[60].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[60].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[59].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[59].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[58].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[58].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[57].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[57].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[56].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[56].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[55].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[55].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[54].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[54].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[53].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[53].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[52].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[52].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[51].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[51].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[50].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[50].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[49].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[49].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[48].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[48].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[47].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[47].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[46].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[46].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[45].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[45].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[44].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[44].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[43].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[43].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[42].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[42].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[41].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[41].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[40].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[40].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[39].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[39].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[38].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[38].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[37].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[37].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[36].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[36].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[35].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[35].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[34].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[34].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[33].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[33].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[32].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[32].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[31].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[31].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[30].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[30].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[29].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[29].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[28].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[28].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[27].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[27].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[26].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[26].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[25].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[25].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[24].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[24].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[23].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[23].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[22].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[22].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[21].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[21].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[20].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[20].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[19].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[19].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[18].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[18].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[17].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[17].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[16].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[16].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[15].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[15].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[14].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[14].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[13].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[13].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[12].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[12].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[11].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[11].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[10].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[10].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[9].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[9].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[8].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[8].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[7].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[7].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[6].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[6].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[5].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[5].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[4].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[4].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[3].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[3].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[2].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[2].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[1].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[1].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="1901" delta="new" >Instance <arg fmt="%s" index="1">I_B36KGT0.G_RAMB36[0].u_ramb36/U_RAMB36</arg> in unit <arg fmt="%s" index="2">I_B36KGT0.G_RAMB36[0].u_ramb36/U_RAMB36</arg> of type <arg fmt="%s" index="3">RAMB36</arg> has been replaced by <arg fmt="%s" index="4">RAMB36E1</arg>
-</msg>
-
-<msg type="info" file="Xst" num="2169" delta="old" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
-</msg>
-
-</messages>
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.asy b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.asy
deleted file mode 100644
index a0153d32c..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.asy
+++ /dev/null
@@ -1,9 +0,0 @@
-Version 4
-SymbolType BLOCK
-TEXT 32 32 LEFT 4 chipscope_icon
-RECTANGLE Normal 32 32 544 864
-LINE Wide 576 112 544 112
-PIN 576 112 RIGHT 36
-PINATTR PinName control0[35:0]
-PINATTR Polarity BOTH
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.ucf
deleted file mode 100644
index b83296f8e..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.ucf
+++ /dev/null
@@ -1,9 +0,0 @@
-NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
-TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
-#Update Constraints
-NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
-NET "U0/iSHIFT_OUT" TIG ;
-TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
-TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
-TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
-TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.xdc
deleted file mode 100644
index 903799425..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.constraints/chipscope_icon.xdc
+++ /dev/null
@@ -1,7 +0,0 @@
-# icon XDC
-create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
-create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
-set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
-set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
-set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
-set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.gise b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.gise
deleted file mode 100644
index a4f878755..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.gise
+++ /dev/null
@@ -1,31 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_icon.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_icon.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_icon.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ncf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ncf
deleted file mode 100644
index e69de29bb..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ncf
+++ /dev/null
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ngc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ngc
deleted file mode 100644
index 6fd3f1b63..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ngc
+++ /dev/null
@@ -1,3 +0,0 @@
-XILINX-XDB 0.1 STUB 0.1 ASCII
-XILINX-XDM V1.6e
-$0`;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0127?406881986<?016864679>18:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?013345603KYHMCK=4:@VBB><J\YTECH@1:A4?FGW\\XN>6MJ139@L@ELWECHIC]J_U[SA7=DA=1H@F>9;BNH5=613JF@>:>:;BNH6]><KEA9T<6?5:AOO<623JF@M<94CMIB5A403JF@M<J;7:AOOD7C0<1H@FL>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG6?FJLNQ20OAEIX0:37>EKZ01HC@CFTUGGf>EHF]XD@=2?>b9@KKRUGE:7==0l;BMMPWIK85;:2n5LOOVQKI6;9;4h7NAATSMO49746j1HCCZ]OM2?518d3JEEX_AC0=36:f=DGG^YCA>317<`?FII\[EG<1?8>b9@KKRUGE:7=50l;BMMPWIK85;22o5LOOVQKI6;97i0OB@[RNN38769k2IDBY\@L1>15;e<KFD_^BB?<30=g>EHF]XD@=2=3?a8GJHSZFF;0?:1c:ALJQTHD96993m4CNLWVJJ74;<5o6M@NUPLH5:5?7i0OB@[RNN387>9k2IDBY\@L1>1=;d<KFD_^BB?<3<`?FII\[EG<1=?>b9@KKRUGE:7?<0l;BMMPWIK85992n5LOOVQKI6;;:4h7NAATSMO49536l1HCCZ]OM2?70<76j1HCCZ]OM2?708e3JEEX_AC0=1=f>EHF]XD@=2;>c9@KKRUGE:793l4CNLWVJJ74?4i7NAATSMO4919j2IDBY\@L1>;:g=DGG^YCA>39?37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CAj1OE^OMLD]IPDA33MXHG95J4136?CGK[L90JIM;;GF@A6=ALL90JI\;;GFQA4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O212CEEY][AUG0?LHQi2@_MJQLH@KM6>JN:2FD:6B@CJGG3>JHO@IJ@95CUU37?ISS:=1GYY:;;MWW22=J]QIRSA;4MTZE10=J]QL=>6@?7:LFPRIUC?1ECNBFP69MKHCUOL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y=0\#:6d^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA;5_SEMMA4=V92X>7_K\EU58VPHSMZ^:7^=4SDN6?VNNN];:7^F]EF]F\QTFK]UEKNk4SIPFCZKNFVYBVH84SNWQG@0<[]K_Y^=4TBI0?QBN;2^OC>5[MR68P\VB;m1^<"[PIBMM+LY^MZUI_NOA.T]AW*OXC>$B_T@!I^WPAZUHN]HXOL@PEP2-QZDT>=1^<"[PIBMM+LY^MZUI_NOA.T]AW*OX]ZOT_BH[BRABJZCV8'CTY^KPXRV\PFMX_FX@M_AZNE]FU4(RW@IDBRL\C@L\FP@@'\UIYKI=6:W3+PYNKFD$ERWJS^@PGDH)]VHX#dJ]CJ]OKFGK:k1^<"[PIBMM+PYDEM%LSYO]GDVX55[)AVDN<#[PT@PDAQ4e3\:$YRGLOO-V[FKC'NU_M_IJTZ32Y+OXFL:%YRZNRFGW6g=R8&_TENAA/T]@IA)@W]KYKHZT13_-MZHB8'_TXL\HEU0a?P6(]VCHCC!Z_BOG+BYSI[MNXV?<]/K\J@6)]V^J^JK[2c9V4*SXAJEE#XQLME-D[QGUOL^P=9S!I^LF4+SX\HXLIY<m;T2,QZODGG%^SNCK/F]WEWAB\R;>Q#GPEP2-QZRFZNO_>l5Z0.W\MFII'\UHAI!H_UCQC@R\>T$BSCK?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_2[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ:^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU6]/K\J@6)]V^J^JK[2b9V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]7U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^77U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^76U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^75U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^74U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^73U'_T@XZ=d:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^72U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^7Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_7[)]VF^X?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX7X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY7Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ7^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[7_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT7\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU7]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV7R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC_KPIE]PAI)N<'LBW=S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP==S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=<S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=?S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=>S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=9S!U^NVP7b<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=8S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP=P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q9Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR9V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS=W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\=T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]1U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^1Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_=[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX=X(RWE__=:5Z0.W\MFII'\UHAI!Z_RGO[k7e3\:$YRGLOO-V[FKC'\U_M_IJT^AF53=R8&_TENAA/T]@IA)n[LFTb<l4U1-V[LEHF&_TO@J iUCQC@R;994:n6[?/T]JGJH(]VIFH"g[ASEFP97668k0Y=!Z_HALJ*SXKDN$eYO]GDV?<;7f3\:$YRGLOO-V[FKC'`^J^JK[<8<2e>S7'\UBOB@ U^AN@*oSI[MNXRMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]7U'_TDNK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^6Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_46Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_46Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_47Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_47Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_44Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_44Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_45Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_45Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_42Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_42Z&\UGOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_43Z&\UCOH<k;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_43Z&\UGOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_4[)]VBHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX5X(RWEIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY1Y+SX@JO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ0^*PYKKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[2_-QZNDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT3\,V[IEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU;]/W\LFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV:R.T]OG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW8S!U^J@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP9P Z_MAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ=Q#[PHBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR<V"XQCCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS>W%YRFLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\?T$^SAMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]?U'_TDNK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^>Z&\UGOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_<[)]VBHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX=X(RWEIN=h5Z0.W\MFII'\UHX_BPOTV,QZEJLNX[<<k4U1-V[LEHF&_TOY\C_NWW+PYDEMMY\<<>;T2,QZODGG%^SNZ]L^MVP*SXLH^JS[OCIE0;?P6(]VCHCC!Z_BVQHZIR\&cHC@CNNE]DVUYTME6;2?64U1-V[LEHF&_TOY\C_NWW+lEHEDKEHRI]P^QFH979:91^<"[PIBMM+PYD\[FTCXZ iECWEZPFD@N9=6[?/T]JGJH(]VY_MY!I_RVBP^6Z&\UXXLZ=1:W3+PYNKFD$YR][AU-E[VRF\R;V"XQ\T@V15>S7'\UBOB@ U^QWEQ)AWZ^JXV<R.T]PPDR592_;#XQFCNL,QZUSI]%MS^ZNTZ1^*PYT\H^:m6[?/T]JGJH(]VY_MY!Z_BOGCWV79m1^<"[PIBMM+PYT\H^$YRJNT@]UEIOC9h1^<"[PIBMM+PYT\H^$YR][AUAN@4e<]9%^SDM@N.W\WQGS'\UXXLZLME]m64=R8&_TENAA/T]PPDR(]VY_MYQLNU-@M979:81^<"[PIBMM+PYT\H^$YR][AU]@JQ)DA585><5Z0.W\MFII'\UXXLZ U^QWEQYDF]%HE1=1209V4*SXAJEE#XQ\T@V,QZUSI]UHBY!LI=6=64=R8&_TENAA/T]PPDR(]VY_MYQLNU-@M939:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4949<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7=3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2=>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=1=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G818582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*B;=78h7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ;Q#IaH/W\IP^DQ;=0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQIDSG12>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX4X(RWE__>55Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW=S!U^ZLVF_5k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\9T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[0_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR;V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_7[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV<R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]5U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ1^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ8Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX7X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU;]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\<T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[5_-QZ^HZJS9;6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP9P Z_GFQA70<]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^3Z&\UGYY<7;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU:]/W\\JTDQ;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([5:5>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0<0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]32?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>0:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W929:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)T4<4:o6[?/T]JGJH(]VY_MY!Z_RVBPZNNOA;i7X> U^K@KK)RWZ^JX"[PSUCW[IIP9?1^<"[PIBMM+PYT\H^$YRZKO0`8Q5)RW@IDB"[PSUCW+PYSLFUeiuz>e:W3+PYNKFD$YR][AU-jGHBXO[Z;S^KC1b9V4*SXAJEE#XQ\T@V,mAGSIV\J@DJ>9:W3+PYNKFD$YR][AU-jWQGS494:56[?/T]JGJH(]VY_MY!fSUCW848612_;#XQFCNL,QZUSI]%b_YO[<3<2=>S7'\UBOB@ U^QWEQ)n[]K_0>0>c:W3+PYNKFD$YR][AU-jWQGSKDNTOH?j;T2,QZODGG%^S^ZNT.kPPDRDEMUHIR`>d:W3+PYNKFD$YR][AU-jWQGSWJD_0=0>d:W3+PYNKFD$YR][AU-jWQGSWJD_0<0>d:W3+PYNKFD$YR][AU-jWQGSWJD_0?0>d:W3+PYNKFD$YR][AU-jWQGSWJD_0>0>d:W3+PYNKFD$YR][AU-jWQGSWJD_090>d:W3+PYNKFD$YR][AU-jWQGSWJD_080>b:W3+PYNKFD$YR][AU-jWQGSWACLD<o4U1-V[LEHF&_T_YO[/hQWEQYKG^;j7X> U^K@KK)RWZ^JX"g[DN]ma}r5j2_;#XQFCNL,QZU^FJ%LS^WAC^ULVA]7U'CTBH>!U^DGV7d<]9%^SDM@N.W\W\HD'NUXUCMPWNPG_4[)AVDN<#[PFEP1f>S7'\UBOB@ U^QZJF)@WZSEORY@REY1Y+OXFL:%YRHKR3`8Q5)RW@IDB"[PSXL@+BYTQGIT[B\K[2_-MZHB8'_TJI\=b:W3+PYNKFD$YR]VNB-D[V_IKV]D^IU;]/K\J@6)]VLO^?l4U1-V[LEHF&_T_T@L/F]P]KEX_FXOW8S!I^LF4+SXNMX9n6[?/T]JGJH(]VYRBN!H_R[MGZQHZMQ=Q#GPEP2-QZ@CZ8h0Y=!Z_HALJ*SX[PDH#XQHOU]P]KE6l2_;#XQFCNL,QZU^FJ%^SJA[_R[MGZN6l2_;#XQFCNL,QZU^FJ%^SJA[_R[MGZJ6?2_;#XQFCNL,QZU^FJ%^S^WAC0g8Q5)RW@IDB"[PSXL@+PYnLH^JSNCK_o3`?P6(]VCHCC!Z_R[MG*oCI]KTO@JPn0c8Q5)RW@IDB"[PSXL@+lAH\VYRBN?i;T2,QZODGG%^S^WAC.kDKQYTQGITDDIG1d9V4*SXAJEE#XQ\YOA,mBISWZSEORB@W0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J30?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<0<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=0=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>0:4c<]9%^SDM@N.W\W\HD'`YRBNQXOSF?0;7b3\:$YRGLOO-V[V_IK&cXUCMPWNPG8086m2_;#XQFCNL,QZU^FJ%b_T@L_VMQ@9099:1^<"[PIBMM+PYSL@Uyij=?;T2,QZODGG%^SYJ@_LW[+PYD[VG^T"G;.T]NQ]71'Dg~tRA>1018Q5)RW@IDB"[PTEM\v`a6=2_;#XQFCNL,QZoCI]KTO@J>7:W3+PYNKFD$YRgKAUC\GHBXf880Y=!Z_HALJ*SXaZOGSc?6;T2,QZODGG%bOBCBAOF\CWV;87;27X> U^K@KK)nKFGFMCJPGSR?5;7>3\:$YRGLOO-jGJKJIGNT_HB30?3b?P6(]VCHCC!fCNONEKBX[LF7==0>a:W3+PYNKFD$eNABM@LG[VCK48;5=l5Z0.W\MFII'`IDA@OAD^QFH97568k0Y=!Z_HALJ*oDGDGJBIQ\EM>27;7f3\:$YRGLOO-jGJKJIGNT_HB315<2e>S7'\UBOB@ iBMNIDHCWZOG0<;1189V4*SXAJEE#dM@MLCM@ZUBD5;5=45Z0.W\MFII'`IDA@OAD^QFH949901^<"[PIBMM+lEHEDKEHR]JL=1=5<=R8&_TENAA/hALIHGILVYN@1:1189V4*SXAJEE#dM@MLCM@ZUBD5?5=45Z0.W\MFII'`IDA@OAD^QFH909901^<"[PIBMM+lEHEDKEHR]JL=5=5<=R8&_TENAA/hALIHGILVYN@161189V4*SXAJEE#dM@MLCM@ZUBD535=85Z0.W\MFII'`ID^HQFD=2=50=R8&_TENAA/hALV@YNL5;5=85Z0.W\MFII'`ID^HQFD=0=50=R8&_TENAA/hALV@YNL595=45Z0.W\MFII'`ID^HQFD^QFH9699h1^<"[PIBMM+lEHZLUBHR]JL=36:45<]9%^SDM@N.kGEQGXKDN:96[?/T]JGJH(aMK_MRMBD^le?P6(]VCHCC!fDSAHa>S7'\UBOB@ iRGO55=R8&_TENAA/hQFHZha3\:$YRGLOO-jW\HDm2_;#XQFCNL,mQBNm2_;#XQFCNL,mQBH9?1^<"[PIBMM+lRCGV\NO1?:>018Q5)RW@IDB"g[DN]ma}rc3\:$e^FFFU]LQQc<]9%bY]JNTD]LQQ0<]YNJXHm4URGQ[SOTAKFN?6XLC89UM@QX\PZN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF<0TilPIe33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5Ver1a?ZYXPEDFSRQ?_^]V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]6<T$^SA[[3b9\[Z^KFDUTS<>P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^75U'_T@NK<c:]\[]JIEVUT=<QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_45Z&\UGOH=l;^]\\IHJWVU:>RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX51[)]VFHI>m4_^][HKKXWV;8SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY21X(RWEIN?o5P_^ZOJHYXW8>TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYKKL9i7RQPXMLN[ZY6=VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[IEB;k1TSRVCNL]\[40XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]OG@5e3VUTTA@B_^]23ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_MAF7g=XWVRGB@QP_0:\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQCCD1a?ZYXPEDFSRQ>9^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SAMJ3c9\[Z^KFDUTS<QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_44Z&\UGYY=m;^]\\IHJWVU9<RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX3X(RWEIN?o5P_^ZOJHYXW;;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ:^*PYKKL9i7RQPXMLN[ZY5:VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT9\,V[IEB:m1TSRVCNL]\[75XWV_;#XQFCNL,QZESZEUDYY!Z_BOGCWV7;91TSRVCNL]\[72XWV_;#XQFCNL,QZESZEUDYY!Z_ECWEZPFD@N9m6QP_YNMIZYX:<UTSX> U^K@KK)RWZSEO"[PGNV\W\HD;k1TSRVCNL]\[7YXW\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<>R.T]OQQ5f3VUTTA@B_^]0[ZYR8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q3Q#[PLTV0e>YXWQFEARQP4^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\>T$^SA[[3`9\[Z^KFDUTS8QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_1[)]VF^X>o4_^][HKKXWV<TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]9j7RQPXMLN[ZY0WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU?]/W\HFC4j2UTSUBAM^]\<ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP==S!U^N@A6d<WVUS@CCP_^;\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;:Q#[PLBGg?du~fjUoinakes;8evikVg~th5lhhrpgjvbW`idb?h4cikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)HHFL&ECCK<689`llvtkfznSdm`n-akmuudgyoTenaa_w3\43Yf$riTy~kPndu\tdttm{7; nQwcn]omvr:F|giiQLoovqkiYWg{~x1<5Zsd]F|qYE{jke1kocsd9Vw`tX[jkeSNfnio?VW@T63LdjnakPJucd[Gsao4~yyh5Zsd]VjpublVHxol`2f`npa>StmVYdjyl|c`l>bdjtm%iTtikyibgeehokq4abbykw7-a\gjtbW}s{i0?#c^obnjtX~lxxeb`215.`[hoig{U}i}foo?7(fYe}`foSkyirklj86+kVid~hQbakmq[scu58&hSna}e^ojjjtX~lx6>!mPcnpf[hoig{Uj`}fn_wgq9<1+kVgmkRgk=0.`[pubWkm~0>#c^wpaZune4:'oR{|e^qlbqdtkhd6<!mPp`pw[lbdgmoT~hifsugq95*dW|ynSgzng^`vbb;6$jUey`QloovqkiYwg{~x1<"l_tqf[fii|{eg<0?#c^wpaZehf}xd`<3?,b]vw`Ydgg~yca<20-a\qvcXkfd~bb<=1.`[pubWjeexac4<2/gZstmVidby|`l4?3(fYr{lUhccz}om4>4)eX}zoTob`{rnn495*dW|ynSnaatsmo<86+kVxiRm`nuplh<;7$jU~hQloovqki7759&hSx}j_bmmpwik987; nQzsd]`kkruge;91="l_tqf[fii|{eg=>3?,b]vw`Ydgg~yca?;=1.`[pubWlrSo}lao?3(fYr{lU~bx}jd^`pgdh:8%iTy~kPxrv\pfmXfx`mazne?2(fYbphg{`hQkerkdj8`fdzo'oRm`nrvqelhsW}s{i0kwtdpmei*dW|yn~R}lao]`ldoi58&v??5lhhrpgjvbW`idbRx>_14\e)&_aecet-M@RD"Dakcui}ey,<:!469`kphsm{<0o~Qbuy:8gvYj}q;=m6gloo]awfgin2chccQmsbcm[gsaoo1bob`Pclf\``ehll30enaa_bmqaa=nkfdToy|c_nwwf>odggUxxlzzs89jgjhX{pdh>6``109{g2m399~&joi601/1yEFw<930LMv>a98E>7<6sZ?h6<6n:94956551<81>n>m7zl2<=<63g;35784$0:4>4>63tY897?7a;:5>454:0?96?m?b89g5d>=83;1=v]:c;3;e?>12898>4;=:3a3f2=q\0?1<7?51;5eV3d282j65851211=04=:j:i;6*>81843>"??3;i;6l>a983>72=010<jvF>7d9'=g<6i11Q=i4>{079y!7?>3;jn6*=1;3b=>"2j3;jm6*64;28 <5=91h0'8:50;&;=?353g236?>4;*70>5<#000>>6`78;28?.36290/444:2:l;<?7<3"?;6=4+88866>h?03807&:i:18'<<<2:2d347=4;*6f>5<#000>>6`78;68?.2c290/444:2:l;<?3<3">i6=4+88866>h?03<07&:n:18'<<<2:2d34794;*6:>5<#000>>6`78;:8?.2?290/444:2:l;<??<3"><6=4+88866>h?03k07&:9:18'<<<2:2d347l4;*66>5<#000>>6`78;a8?.23290/444:2:l;<?b<3">86=4+88866>h?03o07&:=:18'<<<2:2d347h4;*63>5<#000>>6`78;33?>-4n3:1(575539m<=<6921 ?h4?:%::>04<f121=?54+2f94?"?13?97c67:018?.5d290/444:2:l;<?7332!8n7>5$9;917=i010:965$3`83>!>>2<80b5651798/6?=83.357;=;o:;>41<3"936=4+88866>h?03;376%<7;29 =?==;1e454>9:9(1d<72-2268<4n9:95d=<#<31<7*79;71?k>?28h07&;7:18'<<<2:2d347?l;:)63?6=,1319?5a8982`>=,=?0;6)66:408j=>=9l10'8;50;&;=?353g236<h4;*6`>5<#000>>6`78;02?>-393:1(575539m<=<5:21 ?;4?:%::>04<f121>>54b0:6>5<6290;w)7m:05e?M7?;2B:;h5`8483>>{e91>1<7?50;2x <d=>01C=5=4H05f?j0?2900qo7k:181>5<7s-3i6<m4H0:0?M70m2.387?m6:m;7?6=3`<j6=44}c;`>5<e290;w)7m:0`8L4>43A;<i6`83;38 0`=>;1d5?4?::m:<?6=3f3<6=44o9d94?=h9>n1<75`8d83>>i>93:17d76:188k4`=831d5l4?::m54?6=3th:9:4?:383>5}#1k0<>6F>829K52c<,1>1=o84i7c94?=h0:0;66sm14:94?4=83:p(4l5739K5=5<@8=n7)6;:0`5?l0f2900c5=50;9~f432290?6=4?{%;a>33<@8287E?8e:&;0?7e>2.<=7?4i0g94?=n:90;66g:d;29?j>d2900qo?:3;290?6=8r.2n78:;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66a7c;29?xd6=?0;694?:1y'=g<1;2B:4>5G16g8 =2=9k<0(:?51:k2a?6=3`9?6=44i4f94?=h0j0;66smc883>7<729q/5o482:J2<6=O9>o0(5:51c48m3g=831d4>4?::agd<72:0;6=u+9c84=>N60:1C=:k4$9695g0<,>;1=;5+8`82=a=n>k0;66g9c;29?j>42900qoo::186>5<7s-3i6;:4H0:0?M70m2.387?m6:&45?7<a8o1<75f2183>>o4<3:17d;k:188k=e=831vnl850;794?6|,0h1:95G1918L41b3-2?6<l9;%52>4=n9l0;66g=0;29?l532900e8j50;9l<f<722wim:4?:483>5}#1k0=86F>829K52c<,1>1=o84$6395>o6m3:17d<?:188m62=831b9i4?::m;g?6=3thj47>55;294~">j3<?7E?73:J23`=#0=0:n;5+7082?l7b2900e?>50;9j71<722c>h7>5;n:`>5<<uk3m6=4::183!?e2?>0D<6<;I34a>"?<3;i:6*81;38m4c=831b>=4?::k00?6=3`?o6=44o9a94?=zjh:1<7;50;2x <d=>=1C=5=4H05f?!>328h=7)9>:09j5`<722c9<7>5;h17>5<<a<n1<75`8b83>>{ei80;684?:1y'=g<1<2B:4>5G16g8 =2=9k<0(:?51:k2a?6=3`8;6=44i2694?=n=m0;66a7c;29?xdf:3:197>50z&:f?033A;3?6F>7d9'<1<6j?1/;<4>;h3f>5<<a;:1<75f3583>>o2l3:17b6l:188ygg4290>6=4?{%;a>32<@8287E?8e:&;0?7e>2.<=7?4i0g94?=n:90;66g<4;29?l3c2900c5m50;9~fd2=83?1<7>t$8`921=O9190D<9j;%:7>4d13-=:6<5f1d83>>o583:17d=;:188m0b=831d4n4?::aec<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82<f=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wimh4?:483>5}#1k0<m6F>829K52c<,1>1=o84$63952=#0h0:4n5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aea<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=>5+8`82=2=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wimn4?:483>5}#1k0<m6F>829K52c<,1>1=o84$63955=#0h0:5:5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aeg<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1i6*7a;3:a>o1j3:17d8l:188m3b=831b:h4?::m;7?6=3thjm7>55;294~">j3=j7E?73:J23`=#0=0:n;5+708g?!>f283n7d8m:188m3e=831b:i4?::k5a?6=3f286=44}c`;>5<2290;w)7m:6c8L4>43A;<i6*74;3a2>"093i0(5o518d8m3d=831b:n4?::k5`?6=3`<n6=44o9194?=zjk=1<7;50;2x <d=?h1C=5=4H05f?!>328h=7)9>:`9'<d<61o1b:o4?::k5g?6=3`<o6=44i7g94?=h0:0;66smb783>0<729q/5o48a:J2<6=O9>o0(5:51c48 27=12.3m7?n0:k5f?6=3`<h6=44i7f94?=n>l0;66a73;29?xde=3:197>50z&:f?1f3A;3?6F>7d9'<1<6j?1/;<47;%:b>4g73`<i6=44i7a94?=n>m0;66g9e;29?j>42900qol;:186>5<7s-3i6:o4H0:0?M70m2.387?m6:&45?1<,1k1=l?4i7`94?=n>j0;66g9d;29?l0b2900c5=50;9~fg5=83?1<7>t$8`93d=O9190D<9j;%:7>4d13-=:6;5+8`82e4=n>k0;66g9c;29?l0c2900e;k50;9l<6<722win?4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6391>"?i3;j>6g9b;29?l0d2900e;j50;9j2`<722e3?7>5;|`a5?6==3:1<v*6b;5b?M7?;2B:;h5+8582f3=#?80?7)6n:0c1?l0e2900e;m50;9j2a<722c=i7>5;n:0>5<<ukh;6=4::183!?e2>k0D<6<;I34a>"?<3;i:6*81;18m3d=831b:n4?::k5`?6=3`<n6=44o9194?=zjh31<7;50;2x <d=?h1C=5=4H05f?!>328h=7)9>:39j2g<722c=o7>5;h4g>5<<a?o1<75`8283>>{ejo0;684?:1y'=g<0i2B:4>5G16g8 =2=9k<0(:?5189j2g<722c=o7>5;h4g>5<<a?o1<75`8283>>{ejl0;684?:1y'=g<0i2B:4>5G16g8 =2=9k<0(:?5169j2g<722c=o7>5;h4g>5<<a?o1<75`8283>>{ejm0;684?:1y'=g<0i2B:4>5G16g8 =2=9k<0(:?5129j2g<722c=o7>5;h4g>5<<a?o1<75`8283>>{ejj0;684?:1y'=g<0i2B:4>5G16g8 =2=9k<0(:?5119j2g<722c=o7>5;h4g>5<<a?o1<75`8283>>{ejk0;684?:1y'=g<0i2B:4>5G16g8 =2=9k<0(:?5e:k5f?6=3`<h6=44i7f94?=n>l0;66a73;29?xdei3:197>50z&:f?1f3A;3?6F>7d9'<1<6j?1/;<4k;h4a>5<<a?i1<75f6e83>>o1m3:17b6<:188yge?290>6=4?{%;a>2g<@8287E?8e:&;0?7e>2.<=7m4i7`94?=n>j0;66g9d;29?l0b2900c5=50;9~ff1=83?1<7>t$8`93d=O9190D<9j;%:7>4d13-=:6l5f6c83>>o1k3:17d8k:188m3c=831d4>4?::ag3<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;156g9b;29?l0d2900e;j50;9j2`<722e3?7>5;|``1?6==3:1<v*6b;5b?M7?;2B:;h5+8582f3=#?8037d8m:188m3e=831b:i4?::k5a?6=3f286=44}ca7>5<2290;w)7m:6c8L4>43A;<i6*74;3a2>"093=0e;l50;9j2f<722c=h7>5;h4f>5<<g191<75rbb194?3=83:p(4l57`9K5=5<@8=n7)6;:0`5?!162?1b:o4?::k5g?6=3`<o6=44i7g94?=h0:0;66smc383>0<729q/5o48a:J2<6=O9>o0(5:51c48 27==2c=n7>5;h4`>5<<a?n1<75f6d83>>i?;3:17pll1;291?6=8r.2n79n;I3;7>N6?l1/494>b79'34<33`<i6=44i7a94?=n>m0;66g9e;29?j>42900qom?:186>5<7s-3i6:o4H0:0?M70m2.387?m6:&45?5<a?h1<75f6b83>>o1l3:17d8j:188k=5=831vno750;794?6|,0h1;l5G1918L41b3-2?6<l9;%52>7=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wih<4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:m>5f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`7<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82e6=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wihk4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5<5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa5<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=4=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wii<4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5?5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa7<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=7=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wii>4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5>5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa1<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=6=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wii84?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:595f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa3<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=1=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wii:4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:585f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa=<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=0=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wii44?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5;5f6c83>>o1k3:17d8k:188m3c=831d4>4?::aad<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=3=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wiio4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:555f6c83>>o1k3:17d8k:188m3c=831d4>4?::aaf<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82===n>k0;66g9c;29?l0c2900e;k50;9l<6<722wiii4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:545f6c83>>o1k3:17d8k:188m3c=831d4>4?::aa`<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=<=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wiik4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5l5f6c83>>o1k3:17d8k:188m3c=831d4>4?::ab5<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=d=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wih>4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:m95f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`1<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82e1=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wih84?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:m85f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`3<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82e0=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wih:4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:4i5f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`=<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82<a=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wih44?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:4h5f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`d<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82<`=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wiho4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:4k5f6c83>>o1k3:17d8k:188m3c=831d4>4?::a`f<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82<c=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wihi4?:483>5}#1k0<m6F>829K52c<,1>1=o84$6395<=#0h0:5=5f6c83>>o1k3:17d8k:188m3c=831d4>4?::a``<72<0;6=u+9c84e>N60:1C=:k4$9695g0<,>;1=45+8`82=5=n>k0;66g9c;29?l0c2900e;k50;9l<6<722wij?4?:283>5}#1k0<56F>829K52c<,1>1=o84$63957=#0h0:5o5f6c83>>o1k3:17b6<:188yg`629086=4?{%;a>2?<@8287E?8e:&;0?7e>2.<=7h4$9c95<d<a?h1<75f6b83>>i?;3:17pli3;297?6=8r.2n796;I3;7>N6?l1/494>b79'34<602.3m7?6c:k5f?6=3`<h6=44o9194?=zj88j6=4;:183!?e2>o0D<6<;I34a>"?<3;i:6g9b;29?l0d2900e4>50;9l<6<722wi=?650;694?6|,0h1;i5G1918L41b3-2?6<l9;h4a>5<<a?i1<75f9183>>i?;3:17pl>2683>1<729q/5o48d:J2<6=O9>o0(5:51c48m3d=831b:n4?::k:4?6=3f286=44}cd4>5<2290;w)7m:6c8L4>43A;<i6*74;3a2>"093h0e;l50;9j2f<722c=h7>5;h4f>5<<g191<75rbg:94?3=83:p(4l57`9K5=5<@8=n7)6;:0`5?!162?;0e;l50;9j2f<722c=h7>5;h4f>5<<g191<75rbg;94?3=83:p(4l57`9K5=5<@8=n7)6;:0`5?!1628>0e;l50;9j2f<722c=h7>5;h4f>5<<g191<75rbgc94?3=83:p(4l57`9K5=5<@8=n7)6;:0`5?!1628;0e;l50;9j2f<722c=h7>5;h4f>5<<g191<75rbgg94?4=83:p(4l5739K5=5<@8=n7)6;:0`5?l0f2900c5=50;9~fcd=8391<7>t$8`93<=O9190D<9j;%:7>4d13-=:6k5f6c83>>o1k3:17b6<:188yg`c290>6=4?{%;a>2g<@8287E?8e:&;0?7e>2.<=7?6;h4a>5<<a?i1<75f6e83>>o1m3:17b6<:188yg`d29086=4?{%;a>2?<@8287E?8e:&;0?7e>2.<=7?7;%:b>4?d3`<i6=44i7a94?=h0:0;66sm13;94?2=83:p(4l5649K5=5<@8=n7)6;:0`5?!16281b=h4?::k14?6=3`?o6=44o9a94?=zj8:o6=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg77i3:187>50z&:f?1d3A;3?6F>7d9'<1<6j?1b>?4?::k6a?6=3`3;6=44o6794?=zj8;:6=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg77m3:187>50z&:f?1d3A;3?6F>7d9'<1<6j?1b>?4?::k6a?6=3`3;6=44o6794?=zj8;>6=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg76:3:187>50z&:f?1d3A;3?6F>7d9'<1<6j?1b>?4?::k6a?6=3`3;6=44o6794?=zj8;26=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg76>3:187>50z&:f?1d3A;3?6F>7d9'<1<6j?1b>?4?::k6a?6=3`3;6=44o6794?=zj8;o6=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg76i3:187>50z&:f?1d3A;3?6F>7d9'<1<6j?1b>?4?::k6a?6=3`3;6=44o6794?=zj88;6=4<:183!?e28297E?73:J23`=#0=0:n;5f2383>>o0<3:17b6<:188yg77k3:1>7>50z&:f?1?3A;3?6F>7d9'<1<6j?1/;<4>2:k5f?6=3f286=44}c324?6=:3:1<v*6b;5;?M7?;2B:;h5+8582f3=#?80:>6g9b;29?j>42900qo?>4;296?6=8r.2n797;I3;7>N6?l1/494>b79'34<6:2c=n7>5;n:0>5<<uk;:47>52;294~">j3=37E?73:J23`=#0=0:n;5+70826>o1j3:17b6<:188yg76k3:1>7>50z&:f?1?3A;3?6F>7d9'<1<6j?1/;<4>2:k5f?6=3f286=44}c32b?6=:3:1<v*6b;5;?M7?;2B:;h5+8582f3=#?80:>6g9b;29?j>42900qo??b;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo??f;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?>3;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?>7;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?>b;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?>e;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?;5;291?6=8r.2n79n;I3;7>N6?l1/494>b79'34<d3`<i6=44i7a94?=n>m0;66g9e;29?j>42900qo?;3;297?6=8r.2n796;I3;7>N6?l1/494>b79'34<602.3m7?6d:k5f?6=3`<h6=44o9194?=zj8><6=4=:183!?e2>80D<6<;I34a>"?<3;i:6g9a;29?j>42900qo?;4;291?6=8r.2n79n;I3;7>N6?l1/494>b79'34<c3`<i6=44i7a94?=n>m0;66g9e;29?j>42900qo?;6;291?6=8r.2n788;I3;7>N6?l1/494>b79'34<63`;n6=44i3294?=n=m0;66g7d;29?j>d2900qo?<c;290?6=8r.2n789;I3;7>N6?l1/494>b79'34<63`;n6=44i4f94?=n0m0;66a7c;29?xd6;m0;694?:1y'=g<1>2B:4>5G16g8 =2=9k<0(:?51:k2a?6=3`?o6=44i9f94?=h0j0;66sm12g94?2=83:p(4l5679K5=5<@8=n7)6;:0`5?!16281b=h4?::k6`?6=3`2o6=44o9a94?=zj89m6=4;:183!?e2?<0D<6<;I34a>"?<3;i:6*81;38m4c=831b9i4?::k;`?6=3f2h6=44}c374?6=<3:1<v*6b;45?M7?;2B:;h5+8582f3=#?80:7d?j:188m0b=831b4i4?::m;g?6=3th:8<4?:583>5}#1k0=:6F>829K52c<,1>1=o84$6395>o6m3:17d;k:188m=b=831d4n4?::a514=83>1<7>t$8`923=O9190D<9j;%:7>4d13-=:6<5f1d83>>o2l3:17d6k:188k=e=831vn<;;:184>5<7s-3i6:l4H0:0?M70m2.<=7?n;h4a>5<<a?i1<75f6e83>>o1m3:17d8i:188m26=831d4>4?::p11<72;qU995214692c=z{<91<7<t^4189ab=0:1v8?50;0xZ07<5mh14>5rs4294?4|V<:01i75829~w1`=838pR9h4=e59<6=z{=o1<7<t^5g89a3=0:1v9j50;0xZ1b<5m914>5rs5`94?4|V=h01hh5829~w1g=838pR9o4=df9<6=z{=31<7<t^5;89`d=0:1v9650;0xZ1><5l314>5rs5594?4|V==01h95829~w10=838pR984=d79<6=z{=?1<7<t^5789`5=0:1v9:50;0xZ12<5l;14>5rs5194?4|V=901ih5829~w14=838pR9<4=e39<6=z{=:1<7<t^5289ac=0:1v>h50;0xZ6`<5mi14>5rs2g94?4|V:o01io5829~w6b=838pR>j4=e:9<6=z{:i1<7<t^2a89a0=0:1v>l50;0xZ6d<5m>14>5rs2c94?4|V:k01k>5829~w6?=838pR>74=dg9<6=z{:21<7<t^2:89`e=0:1v>950;0xZ61<5lk14>5rs4c94?4|V<k01h65829~w0?=838pR874=d49<6=z{<21<7<t^4:89`2=0:1v8950;0xZ01<5l814>5rs4494?4|V<<01h>5829~w03=838pR8;4=e09<6=z{=;1<7;t^538943421i01l:55e9>514==m16=9:56d9~w414290:hvP<6:?:`?>434;>?7?j;<361?7b34k?6<k4=`195`=:i;0:i63n1;3f?8g728o014h51d9>e=<6m27j;7?j;<c5>4c<5h?1=h5213;95`=:98o1=h5210`95`=:98=1=h5210195`=:99l1=h5211`95`=:9=81=h5215395`=:9=:1=h5212d95`=:9:o1=h5212f95`=:9:i1=h5215495`=z{>l1<7jt=0:6>=3<58?86?>4=076>76<58826?>4=03f>76<58;i6?>4=034>76<58;86?>4=02e>76<58:i6?>4=02b>74<58:o6?<4=065>0b<uz>h6=48{<3;0?0?3W>h70?>a;7f?876>3?n70?>2;7f?877m3?n70??a;7f?xu6?j0;6?u214495`=:1j0:;i5rs05a>5<3s4ij6;m4=g192f=:nj0=o636c;;1?xu>m3:1>v36c;43?8?c2?k0q~?82;296~;6=?0>h63>568;7>{t9>?1<7<t=075>62<58?365=4}r342?6=;r7m?78m;<d`>3d<58>=65m4}r34<?6=:r7:9847c:?:g??>3ty:;44?:3y>57?=0j16=8:5719~w43e290?w0o6:9189a4=>j16h<49c:?e`?0d3ty::?4?:2y>f5<?;27n<78l;<fe>3e<uz;=?7>53z?a5?>434o96;m4=d392f=z{8<?6=4<{<`1>=5<5l>1:n52e285g>{t9??1<7=t=c19<6=:m?0=o63j5;4`?xu6>?0;6>u2b58;7>;b03<h70k8:7a8yv71?3:1?v3m5;:0?8cf2?i01h756b9~w40?2908w0l9:9189`e=>j16io49c:p53?=839p1o95829>a`<1k27nh78l;|q22d<72:q6n5473:?e4?0d34om6;m4}r36g?6=;r7jm76<;<f7>3e<5m91:n5rs07g>5<4s4ki65=4=e492f=:l<0=o6s|14g94?5|5hi14>52d985g>;c?3<h7p}>5g83>6}:im03?63ka;4`?8b>2?i0q~?90;297~;fm32870jl:7a89ad=>j1v<8>:1808ga21901ik56b9>`a<1k2wx=;h50;028d>21901ik56e9>`a<1l27oo78k;<fa>3b<5mk1:i52d885`>;c03<o70j8:7f89a0=>m16h849d:?g0?0c34n86;j4=g292a=:mo0=h63je;4g?8cc2?n01hm56e9>ag<1l27nm78k;<g:>3b<5l21:i52e685`>;b>3<o70k::7f89`2=>m16i>49d:?f6?0c34o:6;j4=d292a=:lo0=h63k2;4g?8b62?n0q~?80;296~;en32870hk:7f8yv71j3:1=>u2a08;g>;f83?o70l6:7`89f6=>k16o<49b:?`6?0e34i86;l4=b692g=:k<0=n63l6;4a?8e02?h01n656c9>fd<1j27in78m;<``>3d<5kn1:o52bd85f>;en3<i70?:4;4f?xu6>j0;6<=t=`09<f=:i80>h63m9;4`?8e72?i01n?56b9>g7<1k27h?78l;<a7>3e<5j?1:n52c785g>;d?3<h70m7:7a89gg=>j16no49c:?ag?0d34ho6;m4=cg92f=:jo0=o63>5585`>{t9?n1<7?<{<c0>=e<5h819i52b885`>;d83<o70m>:7f89f4=>m16o>49d:?`0?0c34i>6;j4=b492a=:k>0=h63l8;4g?8df2?n01ol56e9>ff<1l27ih78k;<`f>3b<5kl1:i5214692f=z{8<n6=4>3z?b0?>d34k868j4=c;92`=:k90=i63l1;4f?8e52?o01n=56d9>g1<1m27h978j;<a5>3c<5j=1:h52c985a>;ei3<n70lm:7g89ge=>l16ni49e:?aa?0b34hm6;k4=077>3d<uz;>57>54z?b1?>d34l:6;l4=g092g=:nk0=n6s|14c94?3|5h<14n52a486`>;a93<h70h=:7a89cd=>j1v<9>:187872>32h70?:7;4b?8ef2?h01<:8:7c8yv70i3:1>v3>5486`>;6==03?6s|16694?5|58?36;o4=8a9=4=:k00=m6s|16594?4|58?868j4=8a9===z{jh1<7lt=`6971=:i:08863n2;17?8g62:>01l>5359>=c<4<27j47=;;<c4>62<5h<1?952a4800>;d13287p}k0;29f~;f<38;70o<:3289d4=:916m<4=0:?b4?47343m6?>4=`:965=:i>09<63n6;03?8g22;:01no5829~wfc=83;9w0o8:9a89d0==m16m449b:?a4?0e34h:6;l4=c092g=:j:0=n63m4;4a?8d22?h01o856c9>f2<1j27i478m;<cb>3d<5hh1:o52ab85f>;fl3<i70oj:7`89d`=>k1vnh50;318g?21i01l955e9>e<<1k27i<78l;<`2>3e<5k81:n52b285g>;e<3<h70l::7a89g0=>j16n:49c:?a<?0d34kj6;m4=``92f=:ij0=o63nd;4`?8gb2?i01lh56b9~wfe=83;9w07i:9a89d>==m16m449d:?a4?0c34h:6;j4=c092a=:j:0=h63m4;4g?8d22?n01o856e9>f2<1l27i478k;<cb>3b<5hh1:i52ab85`>;fl3<o70oj:7f89d`=>m1vnj50;318g721i014h55e9>e<<1m27i<78j;<`2>3c<5k81:h52b285a>;e<3<n70l::7g89g0=>l16n:49e:?a<?0b34kj6;k4=``92`=:ij0=i63nd;4f?8gb2?o01lh56d9~wc3=83;:w0h=:9189ab=>l16ho49e:?g=?0b34n<6;k4=e792`=:l:0=i63jf;4f?8cc2?o01hl56d9>a<<1m27n;78j;<g6>3c<5l91:h52e085a>;cn3<n70j>:7g8yv`3290:=v3i1;:0?8bb2?o01im56d9>`d<1m27o478j;<f5>3c<5m>1:h52f185a>;bm3<n70kl:7g89`g=>l16i549e:?f2?0b34o?6;k4=d092`=:m90=i63k2;4f?xua>3:1><u2f28;7>;cm3<i70jk:7`89ae=>k16ho49b:?ge?0e34n26;l4=e:92g=:l>0=n63k6;4a?8b22?h01i:56c9>`6<1j27m<78m;<ge>3d<5lo1:o52ee85f>;bk3<i70km:7`89`g=>k16i449b:?f<?0e34o<6;l4=d492g=:m<0=n63j4;4a?8c42?h01h<56c9>a4<1j27n<78m;<fe>3d<5m81:o52d085f>{t9:h1<7<t=00:>0b<588j65=4}r30e?6=:r7:>5473:?26d<1j2wx=>750;0x944021901<<n:7a8yv75l3:1>v3i7;:0?87503<i7p}>2d83>7}:n103?63>2985g>{t9;l1<7<t=g;9<6=:9;=1:o5rs013>5<5s4lj65=4=004>3e<uz;8>7>57z?ea?>434;:i76k;<32f?>c34;:;76k;<327?>c34;;j76k;<33f?>c3ty:>o4?:3y>ba<1m27mn76<;|q274<72;q6ji473:?ea?0f3ty:>n4?:3y>bf<?;27mh78m;|q27=<72:q6=?o5919>54c=0j16=<h56c9~w450290?w0?=7;;3?875033;70?>b;:`?876k3<i7p}>3783>3}:nh0=i63i9;4f?8`?2?o01k956d9>541=0j16=<656c9~w452290=w0hn:7f89c?=>m16j549d:?e3?0c34;:?76l;<320?0e3ty:?94?:7y>bd<1k27m578l;<d;>3e<5o=1:n5211d9<f=:98:1:o5rs010>5<1s4lj6;l4=g;92g=:n10=n63i7;4a?877j32h70??c;4a?xu68=0;6?u211`91a=:99n14>5rsgd94?5|58:n6?<4=032>74<58:j6:;4}r331?6=:r7:<k4:d:?254<?;2wx==>50;1x94752;801<?::308946b2>?0q~??6;296~;69:0>h63>148;7>{t99;1<7=t=035>74<58;26?<4=031>23<uz;;;7>52z?252<2l27:=4473:p554=839p1<?n:308947c2;801<?9:678yv7703:1>v3>1c86`>;69m03?6s|11194?4|588;6?<4=03b>23<uz;;57>52z?25`<2l27:>=473:p577=839p1<>l:918946f20:01<>k:668yv75:3:1?v3>118;7>;68l02<63>10840>{t9;91<7=t=037>=5<58;964>4=036>22<uz;987>53z?25=<?;27:=;460:?25<<0<2wx=?;50;1x947d21901<?n:828947c2>>0q~?=6;296~;69o03?63>21840>{t9=h1<7<t=060>3d<58>>65=4}r37=?6=:r7:8;4=0:?206<?;2wx=9650;;x942521n01<:>:9f8942721n01<=i:9f8945b21n01<=k:9f8945d21n01<:9:9f894202190q~?;c;296~;6;j03o63>4485f>{t9=n1<7=t=01g>=e<589h68j4=066>3e<uz;?i7>53z?27`<?k27:?i4:d:?200<1l2wx=9h50;1x945a21i01<=j:4f894222?o0q~?:0;297~;6<903o63>3g86`>;6<=0=n6s|14394?5|58>:65m4=063>0b<58>?6;m4}r37e?6=:r7:89473:?206<1k2wx=8<50;1x942521i01<:>:4f894232?n0qp`<0c83>4}O9>o0qc=?c;295~N6?l1vb>>k:182M70m2we?=k50;3xL41b3td8<k4?:0yK52c<ug9:<7>51zJ23`=zf:;:6=4>{I34a>{i;881<7?tH05f?xh49:0;6<uG16g8yk56<3:1=vF>7d9~j672290:wE?8e:m740=83;pD<9j;|l052<728qC=:k4}o12<?6=9rB:;h5rn23:>5<6sA;<i6sa30c94?7|@8=n7p`<1c83>4}O9>o0qc=>c;295~N6?l1vb>?k:182M70m2we?<k50;3xL41b3td8=k4?:0yK52c<ug99<7>51zJ23`=zf:8:6=4>{I34a>{i;;81<7?tH05f?xh4::0;6<uG16g8yk55<3:1=vF>7d9~j642290:wE?8e:m770=83;pD<9j;|l062<728qC=:k4}o11<?6=9rB:;h5rn20:>5<6sA;<i6sa33c94?7|@8=n7p`<2c83>4}O9>o0qc==c;295~N6?l1vb><k:182M>53A2:7E?8e:m77c=83;pD<9j;|l06c<728qC=:k4}o104?6=9rB3>6F71:J23`=zf:9:6=4>{I:1?M>63A;<i6sa32094?7|@8=n7p`<3283>4}O9>o0qc=<4;295~N6?l1vb>=::182M70m2we?>850;3xL41b3td8?:4?:0yK52c<ug9847>51zJ23`=zf:926=4>{I34a>{i;:k1<7?tH05f?xh4;k0;6<uG16g8yk54k3:1=vF>7d9~j65c290:wE?8e:m76c=83;pD<9j;|l07c<728qC=:k4}o174?6=9rB:;h5rn262>5<6sA;<i6sa35094?7|@8=n7p`<4283>4}O9>o0qc=;4;295~N6?l1vb>:::182M70m2we?9850;3xL41b3td88:4?:0yK52c<ug9?47>51zJ23`=zf:>26=4>{I34a>{i;=k1<7?tH05f?xh4<k0;6<uG16g8yk53k3:1=vF>7d9~j62c290:wE?8e:m71c=83;pD<9j;|l00c<728qC=:k4}o164?6=9rB:;h5rn272>5<6sA;<i6sa34094?7|@8=n7p`<5283>4}O9>o0qc=:4;295~N6?l1vb>;::182M70m2we?8850;3xL41b3td89:4?:0yK52c<ug9>47>51zJ23`=zf:?26=4>{I34a>{i;<k1<7?tH05f?xh4=k0;6<uG16g8yk52k3:1=vF>7d9~j63c290:wE?8e:m70c=83;pD<9j;|l01c<728qC=:k4}o154?6=9rB:;h5rn242>5<6sA;<i6sa37094?7|@8=n7p`<6283>4}O9>o0qc=94;295~N6?l1vb>8::182M70m2we?;850;3xL41b3td8::4?:0yK52c<ug9=47>51zJ23`=zf:<26=4>{I34a>{i;?k1<7?tH05f?xh4>k0;6<uG16g8yk51k3:1=vF>7d9~j60c290:wE?8e:m73c=83;pD<9j;|l02c<728qC=:k4}o144?6=9rB:;h5rn252>5<6sA;<i6sa36094?7|@8=n7p`<7283>4}O9>o0qc=84;295~N6?l1vb>9::182M70m2we?:850;3xL41b3td8;:4?:0yK52c<ug9<47>51zJ23`=zf:=26=4>{I34a>{i;>k1<7?tH05f?xh4?k0;6<uG16g8yk50k3:1=vF>7d9~j61c290:wE?8e:m72c=83;pD<9j;|l03c<728qC=:k4}o1;4?6=9rB:;h5rn2:2>5<6sA;<i6sa39094?7|@8=n7p`<8283>4}O9>o0qc=74;295~N6?l1vb>6::182M70m2we?5850;3xL41b3td84:4?:0yK52c<ug9347>51zJ23`=zf:226=4>{I34a>{zutJKOv:?9;0g0ag1::wKLOu?}ABSxFG \ No newline at end of file
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ucf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ucf
deleted file mode 100644
index b83296f8e..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.ucf
+++ /dev/null
@@ -1,9 +0,0 @@
-NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
-TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
-#Update Constraints
-NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
-NET "U0/iSHIFT_OUT" TIG ;
-TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
-TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
-TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
-TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.v b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.v
deleted file mode 100644
index aa739062c..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.v
+++ /dev/null
@@ -1,27 +0,0 @@
-///////////////////////////////////////////////////////////////////////////////
-// Copyright (c) 2013 Xilinx, Inc.
-// All Rights Reserved
-///////////////////////////////////////////////////////////////////////////////
-// ____ ____
-// / /\/ /
-// /___/ \ / Vendor : Xilinx
-// \ \ \/ Version : 14.4
-// \ \ Application: Xilinx CORE Generator
-// / / Filename : chipscope_icon.v
-// /___/ /\ Timestamp : Wed Jan 30 10:04:14 PST 2013
-// \ \ / \
-// \___\/\___\
-//
-// Design Name: Verilog Synthesis Wrapper
-///////////////////////////////////////////////////////////////////////////////
-// This wrapper is used to integrate with Project Navigator and PlanAhead
-
-`timescale 1ns/1ps
-
-module chipscope_icon(
- CONTROL0) /* synthesis syn_black_box syn_noprune=1 */;
-
-
-inout [35 : 0] CONTROL0;
-
-endmodule
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.veo b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.veo
deleted file mode 100644
index b46c0decd..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.veo
+++ /dev/null
@@ -1,28 +0,0 @@
-///////////////////////////////////////////////////////////////////////////////
-// Copyright (c) 2013 Xilinx, Inc.
-// All Rights Reserved
-///////////////////////////////////////////////////////////////////////////////
-// ____ ____
-// / /\/ /
-// /___/ \ / Vendor : Xilinx
-// \ \ \/ Version : 14.4
-// \ \ Application: Xilinx CORE Generator
-// / / Filename : chipscope_icon.veo
-// /___/ /\ Timestamp : Wed Jan 30 10:04:14 PST 2013
-// \ \ / \
-// \___\/\___\
-//
-// Design Name: ISE Instantiation template
-///////////////////////////////////////////////////////////////////////////////
-
-// The following must be inserted into your Verilog file for this
-// core to be instantiated. Change the instance name and port connections
-// (in parentheses) to your own signal names.
-
-//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
-chipscope_icon YourInstanceName (
- .CONTROL0(CONTROL0) // INOUT BUS [35:0]
-);
-
-// INST_TAG_END ------ End INSTANTIATION Template ---------
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xco b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xco
deleted file mode 100644
index 1c998edb1..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xco
+++ /dev/null
@@ -1,56 +0,0 @@
-##############################################################
-#
-# Xilinx Core Generator version 14.4
-# Date: Wed Jan 30 18:03:44 2013
-#
-##############################################################
-#
-# This file contains the customisation parameters for a
-# Xilinx CORE Generator IP GUI. It is strongly recommended
-# that you do not manually alter this file as it may cause
-# unexpected and unsupported behavior.
-#
-##############################################################
-#
-# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
-#
-##############################################################
-#
-# BEGIN Project Options
-SET addpads = false
-SET asysymbol = true
-SET busformat = BusFormatAngleBracketNotRipped
-SET createndf = false
-SET designentry = Verilog
-SET device = xc7k410t
-SET devicefamily = kintex7
-SET flowvendor = Other
-SET formalverification = false
-SET foundationsym = false
-SET implementationfiletype = Ngc
-SET package = fbg900
-SET removerpms = false
-SET simulationfiles = Behavioral
-SET speedgrade = -2
-SET verilogsim = true
-SET vhdlsim = false
-# END Project Options
-# BEGIN Select
-SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
-# END Select
-# BEGIN Parameters
-CSET component_name=chipscope_icon
-CSET constraint_type=external
-CSET enable_jtag_bufg=true
-CSET example_design=false
-CSET number_control_ports=1
-CSET use_ext_bscan=false
-CSET use_softbscan=false
-CSET use_unused_bscan=false
-CSET user_scan_chain=USER1
-# END Parameters
-# BEGIN Extra information
-MISC pkg_timestamp=2012-12-18T02:47:25Z
-# END Extra information
-GENERATE
-# CRC: a8aec264
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xdc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xdc
deleted file mode 100644
index 903799425..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xdc
+++ /dev/null
@@ -1,7 +0,0 @@
-# icon XDC
-create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
-create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
-set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
-set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
-set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
-set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xise b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xise
deleted file mode 100644
index f3a2e1b32..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon.xise
+++ /dev/null
@@ -1,72 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <header>
- <!-- ISE source project file created by Project Navigator. -->
- <!-- -->
- <!-- This file contains project source information including a list of -->
- <!-- project source files, project and process properties. This file, -->
- <!-- along with the project source files, is sufficient to open and -->
- <!-- implement in ISE Project Navigator. -->
- <!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
- </header>
-
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
-
- <files>
- <file xil_pn:name="chipscope_icon.ngc" xil_pn:type="FILE_NGC">
- <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
- </file>
- <file xil_pn:name="chipscope_icon.v" xil_pn:type="FILE_VERILOG">
- <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
- <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
- </file>
- </files>
-
- <properties>
- <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device" xil_pn:value="xc7k410t" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device Family" xil_pn:value="Kintex7" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_icon" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_icon.ngc" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_icon" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Package" xil_pn:value="fbg900" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
- <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
- <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
- <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
- <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
- <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
- <!-- -->
- <!-- The following properties are for internal use only. These should not be modified.-->
- <!-- -->
- <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_icon" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="kintex7" xil_pn:valueState="default"/>
- <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-01-30T10:04:16" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="D24924FA7EC9C09E9A5A6576055B8A9C" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
- </properties>
-
- <bindings/>
-
- <libraries/>
-
- <autoManagedFiles>
- <!-- The following files are identified by `include statements in verilog -->
- <!-- source files and are automatically managed by Project Navigator. -->
- <!-- -->
- <!-- Do not hand-edit this section, as it will be overwritten when the -->
- <!-- project is analyzed based on files automatically identified as -->
- <!-- include files. -->
- </autoManagedFiles>
-
-</project>
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_flist.txt b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_flist.txt
deleted file mode 100644
index bf55dc492..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_flist.txt
+++ /dev/null
@@ -1,15 +0,0 @@
-# Output products list for <chipscope_icon>
-chipscope_icon.asy
-chipscope_icon.constraints/chipscope_icon.ucf
-chipscope_icon.constraints/chipscope_icon.xdc
-chipscope_icon.gise
-chipscope_icon.ngc
-chipscope_icon.ucf
-chipscope_icon.v
-chipscope_icon.veo
-chipscope_icon.xco
-chipscope_icon.xdc
-chipscope_icon.xise
-chipscope_icon_flist.txt
-chipscope_icon_readme.txt
-chipscope_icon_xmdf.tcl
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_readme.txt b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_readme.txt
deleted file mode 100644
index ff0b1f8c8..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_readme.txt
+++ /dev/null
@@ -1,45 +0,0 @@
-The following files were generated for 'chipscope_icon' in directory
-/home/jblum/coregen_chipscope/
-
-XCO file generator:
- Generate an XCO file for compatibility with legacy flows.
-
- * chipscope_icon.xco
-
-Creates an implementation netlist:
- Creates an implementation netlist for the IP.
-
- * chipscope_icon.constraints/chipscope_icon.ucf
- * chipscope_icon.constraints/chipscope_icon.xdc
- * chipscope_icon.ngc
- * chipscope_icon.ucf
- * chipscope_icon.v
- * chipscope_icon.veo
- * chipscope_icon.xdc
- * chipscope_icon_xmdf.tcl
-
-IP Symbol Generator:
- Generate an IP symbol based on the current project options'.
-
- * chipscope_icon.asy
-
-Generate ISE subproject:
- Create an ISE subproject for use when including this core in ISE designs
-
- * chipscope_icon.gise
- * chipscope_icon.xise
-
-Deliver Readme:
- Readme file for the IP.
-
- * chipscope_icon_readme.txt
-
-Generate FLIST file:
- Text file listing all of the output files produced when a customized core was
- generated in the CORE Generator.
-
- * chipscope_icon_flist.txt
-
-Please see the Xilinx CORE Generator online help for further details on
-generated files and how to use them.
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_xmdf.tcl
deleted file mode 100755
index 241f4d5ae..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_icon_xmdf.tcl
+++ /dev/null
@@ -1,88 +0,0 @@
-# The package naming convention is <core_name>_xmdf
-package provide chipscope_icon_xmdf 1.0
-
-# This includes some utilities that support common XMDF operations
-package require utilities_xmdf
-
-# Define a namespace for this package. The name of the name space
-# is <core_name>_xmdf
-namespace eval ::chipscope_icon_xmdf {
-# Use this to define any statics
-}
-
-# Function called by client to rebuild the params and port arrays
-# Optional when the use context does not require the param or ports
-# arrays to be available.
-proc ::chipscope_icon_xmdf::xmdfInit { instance } {
-# Variable containing name of library into which module is compiled
-# Recommendation: <module_name>
-# Required
-utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon
-}
-# ::chipscope_icon_xmdf::xmdfInit
-
-# Function called by client to fill in all the xmdf* data variables
-# based on the current settings of the parameters
-proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } {
-
-set fcount 0
-# Array containing libraries that are assumed to exist
-# Examples include unisim and xilinxcorelib
-# Optional
-# In this example, we assume that the unisim library will
-# be available to the simulation and synthesis tool
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
-utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
-incr fcount
-
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
-incr fcount
-
-
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon
-incr fcount
-
-}
-
-# ::gen_comp_name_xmdf::xmdfApplyParams
-
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.asy b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.asy
deleted file mode 100644
index 1ec169765..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.asy
+++ /dev/null
@@ -1,21 +0,0 @@
-Version 4
-SymbolType BLOCK
-TEXT 32 32 LEFT 4 chipscope_ila
-RECTANGLE Normal 32 32 288 704
-LINE Wide 0 80 32 80
-PIN 0 80 LEFT 36
-PINATTR PinName control[35:0]
-PINATTR Polarity IN
-LINE Normal 0 112 32 112
-PIN 0 112 LEFT 36
-PINATTR PinName clk
-PINATTR Polarity IN
-LINE Wide 0 144 32 144
-PIN 0 144 LEFT 36
-PINATTR PinName data[255:0]
-PINATTR Polarity IN
-LINE Wide 0 176 32 176
-PIN 0 176 LEFT 36
-PINATTR PinName trig0[7:0]
-PINATTR Polarity IN
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.cdc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.cdc
deleted file mode 100644
index 183cac389..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.cdc
+++ /dev/null
@@ -1,275 +0,0 @@
-#ChipScope Core Generator Project File Version 3.0
-#Wed Jan 30 10:07:41 PST 2013
-SignalExport.clockChannel=CLK
-SignalExport.dataChannel<0000>=DATA[0]
-SignalExport.dataChannel<0001>=DATA[1]
-SignalExport.dataChannel<0002>=DATA[2]
-SignalExport.dataChannel<0003>=DATA[3]
-SignalExport.dataChannel<0004>=DATA[4]
-SignalExport.dataChannel<0005>=DATA[5]
-SignalExport.dataChannel<0006>=DATA[6]
-SignalExport.dataChannel<0007>=DATA[7]
-SignalExport.dataChannel<0008>=DATA[8]
-SignalExport.dataChannel<0009>=DATA[9]
-SignalExport.dataChannel<0010>=DATA[10]
-SignalExport.dataChannel<0011>=DATA[11]
-SignalExport.dataChannel<0012>=DATA[12]
-SignalExport.dataChannel<0013>=DATA[13]
-SignalExport.dataChannel<0014>=DATA[14]
-SignalExport.dataChannel<0015>=DATA[15]
-SignalExport.dataChannel<0016>=DATA[16]
-SignalExport.dataChannel<0017>=DATA[17]
-SignalExport.dataChannel<0018>=DATA[18]
-SignalExport.dataChannel<0019>=DATA[19]
-SignalExport.dataChannel<0020>=DATA[20]
-SignalExport.dataChannel<0021>=DATA[21]
-SignalExport.dataChannel<0022>=DATA[22]
-SignalExport.dataChannel<0023>=DATA[23]
-SignalExport.dataChannel<0024>=DATA[24]
-SignalExport.dataChannel<0025>=DATA[25]
-SignalExport.dataChannel<0026>=DATA[26]
-SignalExport.dataChannel<0027>=DATA[27]
-SignalExport.dataChannel<0028>=DATA[28]
-SignalExport.dataChannel<0029>=DATA[29]
-SignalExport.dataChannel<0030>=DATA[30]
-SignalExport.dataChannel<0031>=DATA[31]
-SignalExport.dataChannel<0032>=DATA[32]
-SignalExport.dataChannel<0033>=DATA[33]
-SignalExport.dataChannel<0034>=DATA[34]
-SignalExport.dataChannel<0035>=DATA[35]
-SignalExport.dataChannel<0036>=DATA[36]
-SignalExport.dataChannel<0037>=DATA[37]
-SignalExport.dataChannel<0038>=DATA[38]
-SignalExport.dataChannel<0039>=DATA[39]
-SignalExport.dataChannel<0040>=DATA[40]
-SignalExport.dataChannel<0041>=DATA[41]
-SignalExport.dataChannel<0042>=DATA[42]
-SignalExport.dataChannel<0043>=DATA[43]
-SignalExport.dataChannel<0044>=DATA[44]
-SignalExport.dataChannel<0045>=DATA[45]
-SignalExport.dataChannel<0046>=DATA[46]
-SignalExport.dataChannel<0047>=DATA[47]
-SignalExport.dataChannel<0048>=DATA[48]
-SignalExport.dataChannel<0049>=DATA[49]
-SignalExport.dataChannel<0050>=DATA[50]
-SignalExport.dataChannel<0051>=DATA[51]
-SignalExport.dataChannel<0052>=DATA[52]
-SignalExport.dataChannel<0053>=DATA[53]
-SignalExport.dataChannel<0054>=DATA[54]
-SignalExport.dataChannel<0055>=DATA[55]
-SignalExport.dataChannel<0056>=DATA[56]
-SignalExport.dataChannel<0057>=DATA[57]
-SignalExport.dataChannel<0058>=DATA[58]
-SignalExport.dataChannel<0059>=DATA[59]
-SignalExport.dataChannel<0060>=DATA[60]
-SignalExport.dataChannel<0061>=DATA[61]
-SignalExport.dataChannel<0062>=DATA[62]
-SignalExport.dataChannel<0063>=DATA[63]
-SignalExport.dataChannel<0064>=DATA[64]
-SignalExport.dataChannel<0065>=DATA[65]
-SignalExport.dataChannel<0066>=DATA[66]
-SignalExport.dataChannel<0067>=DATA[67]
-SignalExport.dataChannel<0068>=DATA[68]
-SignalExport.dataChannel<0069>=DATA[69]
-SignalExport.dataChannel<0070>=DATA[70]
-SignalExport.dataChannel<0071>=DATA[71]
-SignalExport.dataChannel<0072>=DATA[72]
-SignalExport.dataChannel<0073>=DATA[73]
-SignalExport.dataChannel<0074>=DATA[74]
-SignalExport.dataChannel<0075>=DATA[75]
-SignalExport.dataChannel<0076>=DATA[76]
-SignalExport.dataChannel<0077>=DATA[77]
-SignalExport.dataChannel<0078>=DATA[78]
-SignalExport.dataChannel<0079>=DATA[79]
-SignalExport.dataChannel<0080>=DATA[80]
-SignalExport.dataChannel<0081>=DATA[81]
-SignalExport.dataChannel<0082>=DATA[82]
-SignalExport.dataChannel<0083>=DATA[83]
-SignalExport.dataChannel<0084>=DATA[84]
-SignalExport.dataChannel<0085>=DATA[85]
-SignalExport.dataChannel<0086>=DATA[86]
-SignalExport.dataChannel<0087>=DATA[87]
-SignalExport.dataChannel<0088>=DATA[88]
-SignalExport.dataChannel<0089>=DATA[89]
-SignalExport.dataChannel<0090>=DATA[90]
-SignalExport.dataChannel<0091>=DATA[91]
-SignalExport.dataChannel<0092>=DATA[92]
-SignalExport.dataChannel<0093>=DATA[93]
-SignalExport.dataChannel<0094>=DATA[94]
-SignalExport.dataChannel<0095>=DATA[95]
-SignalExport.dataChannel<0096>=DATA[96]
-SignalExport.dataChannel<0097>=DATA[97]
-SignalExport.dataChannel<0098>=DATA[98]
-SignalExport.dataChannel<0099>=DATA[99]
-SignalExport.dataChannel<0100>=DATA[100]
-SignalExport.dataChannel<0101>=DATA[101]
-SignalExport.dataChannel<0102>=DATA[102]
-SignalExport.dataChannel<0103>=DATA[103]
-SignalExport.dataChannel<0104>=DATA[104]
-SignalExport.dataChannel<0105>=DATA[105]
-SignalExport.dataChannel<0106>=DATA[106]
-SignalExport.dataChannel<0107>=DATA[107]
-SignalExport.dataChannel<0108>=DATA[108]
-SignalExport.dataChannel<0109>=DATA[109]
-SignalExport.dataChannel<0110>=DATA[110]
-SignalExport.dataChannel<0111>=DATA[111]
-SignalExport.dataChannel<0112>=DATA[112]
-SignalExport.dataChannel<0113>=DATA[113]
-SignalExport.dataChannel<0114>=DATA[114]
-SignalExport.dataChannel<0115>=DATA[115]
-SignalExport.dataChannel<0116>=DATA[116]
-SignalExport.dataChannel<0117>=DATA[117]
-SignalExport.dataChannel<0118>=DATA[118]
-SignalExport.dataChannel<0119>=DATA[119]
-SignalExport.dataChannel<0120>=DATA[120]
-SignalExport.dataChannel<0121>=DATA[121]
-SignalExport.dataChannel<0122>=DATA[122]
-SignalExport.dataChannel<0123>=DATA[123]
-SignalExport.dataChannel<0124>=DATA[124]
-SignalExport.dataChannel<0125>=DATA[125]
-SignalExport.dataChannel<0126>=DATA[126]
-SignalExport.dataChannel<0127>=DATA[127]
-SignalExport.dataChannel<0128>=DATA[128]
-SignalExport.dataChannel<0129>=DATA[129]
-SignalExport.dataChannel<0130>=DATA[130]
-SignalExport.dataChannel<0131>=DATA[131]
-SignalExport.dataChannel<0132>=DATA[132]
-SignalExport.dataChannel<0133>=DATA[133]
-SignalExport.dataChannel<0134>=DATA[134]
-SignalExport.dataChannel<0135>=DATA[135]
-SignalExport.dataChannel<0136>=DATA[136]
-SignalExport.dataChannel<0137>=DATA[137]
-SignalExport.dataChannel<0138>=DATA[138]
-SignalExport.dataChannel<0139>=DATA[139]
-SignalExport.dataChannel<0140>=DATA[140]
-SignalExport.dataChannel<0141>=DATA[141]
-SignalExport.dataChannel<0142>=DATA[142]
-SignalExport.dataChannel<0143>=DATA[143]
-SignalExport.dataChannel<0144>=DATA[144]
-SignalExport.dataChannel<0145>=DATA[145]
-SignalExport.dataChannel<0146>=DATA[146]
-SignalExport.dataChannel<0147>=DATA[147]
-SignalExport.dataChannel<0148>=DATA[148]
-SignalExport.dataChannel<0149>=DATA[149]
-SignalExport.dataChannel<0150>=DATA[150]
-SignalExport.dataChannel<0151>=DATA[151]
-SignalExport.dataChannel<0152>=DATA[152]
-SignalExport.dataChannel<0153>=DATA[153]
-SignalExport.dataChannel<0154>=DATA[154]
-SignalExport.dataChannel<0155>=DATA[155]
-SignalExport.dataChannel<0156>=DATA[156]
-SignalExport.dataChannel<0157>=DATA[157]
-SignalExport.dataChannel<0158>=DATA[158]
-SignalExport.dataChannel<0159>=DATA[159]
-SignalExport.dataChannel<0160>=DATA[160]
-SignalExport.dataChannel<0161>=DATA[161]
-SignalExport.dataChannel<0162>=DATA[162]
-SignalExport.dataChannel<0163>=DATA[163]
-SignalExport.dataChannel<0164>=DATA[164]
-SignalExport.dataChannel<0165>=DATA[165]
-SignalExport.dataChannel<0166>=DATA[166]
-SignalExport.dataChannel<0167>=DATA[167]
-SignalExport.dataChannel<0168>=DATA[168]
-SignalExport.dataChannel<0169>=DATA[169]
-SignalExport.dataChannel<0170>=DATA[170]
-SignalExport.dataChannel<0171>=DATA[171]
-SignalExport.dataChannel<0172>=DATA[172]
-SignalExport.dataChannel<0173>=DATA[173]
-SignalExport.dataChannel<0174>=DATA[174]
-SignalExport.dataChannel<0175>=DATA[175]
-SignalExport.dataChannel<0176>=DATA[176]
-SignalExport.dataChannel<0177>=DATA[177]
-SignalExport.dataChannel<0178>=DATA[178]
-SignalExport.dataChannel<0179>=DATA[179]
-SignalExport.dataChannel<0180>=DATA[180]
-SignalExport.dataChannel<0181>=DATA[181]
-SignalExport.dataChannel<0182>=DATA[182]
-SignalExport.dataChannel<0183>=DATA[183]
-SignalExport.dataChannel<0184>=DATA[184]
-SignalExport.dataChannel<0185>=DATA[185]
-SignalExport.dataChannel<0186>=DATA[186]
-SignalExport.dataChannel<0187>=DATA[187]
-SignalExport.dataChannel<0188>=DATA[188]
-SignalExport.dataChannel<0189>=DATA[189]
-SignalExport.dataChannel<0190>=DATA[190]
-SignalExport.dataChannel<0191>=DATA[191]
-SignalExport.dataChannel<0192>=DATA[192]
-SignalExport.dataChannel<0193>=DATA[193]
-SignalExport.dataChannel<0194>=DATA[194]
-SignalExport.dataChannel<0195>=DATA[195]
-SignalExport.dataChannel<0196>=DATA[196]
-SignalExport.dataChannel<0197>=DATA[197]
-SignalExport.dataChannel<0198>=DATA[198]
-SignalExport.dataChannel<0199>=DATA[199]
-SignalExport.dataChannel<0200>=DATA[200]
-SignalExport.dataChannel<0201>=DATA[201]
-SignalExport.dataChannel<0202>=DATA[202]
-SignalExport.dataChannel<0203>=DATA[203]
-SignalExport.dataChannel<0204>=DATA[204]
-SignalExport.dataChannel<0205>=DATA[205]
-SignalExport.dataChannel<0206>=DATA[206]
-SignalExport.dataChannel<0207>=DATA[207]
-SignalExport.dataChannel<0208>=DATA[208]
-SignalExport.dataChannel<0209>=DATA[209]
-SignalExport.dataChannel<0210>=DATA[210]
-SignalExport.dataChannel<0211>=DATA[211]
-SignalExport.dataChannel<0212>=DATA[212]
-SignalExport.dataChannel<0213>=DATA[213]
-SignalExport.dataChannel<0214>=DATA[214]
-SignalExport.dataChannel<0215>=DATA[215]
-SignalExport.dataChannel<0216>=DATA[216]
-SignalExport.dataChannel<0217>=DATA[217]
-SignalExport.dataChannel<0218>=DATA[218]
-SignalExport.dataChannel<0219>=DATA[219]
-SignalExport.dataChannel<0220>=DATA[220]
-SignalExport.dataChannel<0221>=DATA[221]
-SignalExport.dataChannel<0222>=DATA[222]
-SignalExport.dataChannel<0223>=DATA[223]
-SignalExport.dataChannel<0224>=DATA[224]
-SignalExport.dataChannel<0225>=DATA[225]
-SignalExport.dataChannel<0226>=DATA[226]
-SignalExport.dataChannel<0227>=DATA[227]
-SignalExport.dataChannel<0228>=DATA[228]
-SignalExport.dataChannel<0229>=DATA[229]
-SignalExport.dataChannel<0230>=DATA[230]
-SignalExport.dataChannel<0231>=DATA[231]
-SignalExport.dataChannel<0232>=DATA[232]
-SignalExport.dataChannel<0233>=DATA[233]
-SignalExport.dataChannel<0234>=DATA[234]
-SignalExport.dataChannel<0235>=DATA[235]
-SignalExport.dataChannel<0236>=DATA[236]
-SignalExport.dataChannel<0237>=DATA[237]
-SignalExport.dataChannel<0238>=DATA[238]
-SignalExport.dataChannel<0239>=DATA[239]
-SignalExport.dataChannel<0240>=DATA[240]
-SignalExport.dataChannel<0241>=DATA[241]
-SignalExport.dataChannel<0242>=DATA[242]
-SignalExport.dataChannel<0243>=DATA[243]
-SignalExport.dataChannel<0244>=DATA[244]
-SignalExport.dataChannel<0245>=DATA[245]
-SignalExport.dataChannel<0246>=DATA[246]
-SignalExport.dataChannel<0247>=DATA[247]
-SignalExport.dataChannel<0248>=DATA[248]
-SignalExport.dataChannel<0249>=DATA[249]
-SignalExport.dataChannel<0250>=DATA[250]
-SignalExport.dataChannel<0251>=DATA[251]
-SignalExport.dataChannel<0252>=DATA[252]
-SignalExport.dataChannel<0253>=DATA[253]
-SignalExport.dataChannel<0254>=DATA[254]
-SignalExport.dataChannel<0255>=DATA[255]
-SignalExport.dataEqualsTrigger=false
-SignalExport.dataPortWidth=256
-SignalExport.triggerChannel<0000><0000>=TRIG0[0]
-SignalExport.triggerChannel<0000><0001>=TRIG0[1]
-SignalExport.triggerChannel<0000><0002>=TRIG0[2]
-SignalExport.triggerChannel<0000><0003>=TRIG0[3]
-SignalExport.triggerChannel<0000><0004>=TRIG0[4]
-SignalExport.triggerChannel<0000><0005>=TRIG0[5]
-SignalExport.triggerChannel<0000><0006>=TRIG0[6]
-SignalExport.triggerChannel<0000><0007>=TRIG0[7]
-SignalExport.triggerPort<0000>.name=TRIG0
-SignalExport.triggerPortCount=1
-SignalExport.triggerPortIsData<0000>=false
-SignalExport.triggerPortWidth<0000>=8
-SignalExport.type=ila
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.ucf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.ucf
deleted file mode 100644
index 82f632d21..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.ucf
+++ /dev/null
@@ -1,17 +0,0 @@
-#
-# Clock constraints
-#
-NET "CLK" TNM_NET = D_CLK ;
-INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
-TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG;
-TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-
-#
-# Input keep/save net constraints
-#
-NET "TRIG0<*" S;
-NET "TRIG0<*" KEEP;
-NET "DATA<*" S;
-NET "DATA<*" KEEP;
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.xdc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.xdc
deleted file mode 100644
index 49e2b9e7b..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.constraints/chipscope_ila.xdc
+++ /dev/null
@@ -1,6 +0,0 @@
-#
-# Clock constraints
-#
-set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
-set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
-set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.gise b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.gise
deleted file mode 100644
index bf58d19ac..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.gise
+++ /dev/null
@@ -1,31 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <!-- -->
-
- <!-- For tool use only. Do not edit. -->
-
- <!-- -->
-
- <!-- ProjectNavigator created generated project file. -->
-
- <!-- For use in tracking generated file and other information -->
-
- <!-- allowing preservation of process status. -->
-
- <!-- -->
-
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
-
- <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
-
- <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_ila.xise"/>
-
- <files xmlns="http://www.xilinx.com/XMLSchema">
- <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_ila.asy" xil_pn:origination="imported"/>
- <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_ila.veo" xil_pn:origination="imported"/>
- </files>
-
- <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
-
-</generated_project>
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ncf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ncf
deleted file mode 100644
index e69de29bb..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ncf
+++ /dev/null
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ngc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ngc
deleted file mode 100644
index f655fb58f..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ngc
+++ /dev/null
@@ -1,3 +0,0 @@
-XILINX-XDB 0.1 STUB 0.1 ASCII
-XILINX-XDM V1.6e
-$036f~4<%8;0<95?0126?5678920<=>?0123=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0133?56789:;<=>?0123546<89:;<=>?01:34567<2:;<9:4015355=781:;<5>?01234=6539;?7=??159355@682::=<>?102244678880<?:402DE55=7J9:;==>?A123456339L9><5>2:335d=6<3CE\XZ5AEFQEWBFLMX7=>4?>0c851<NFY__6LJKRCUQEABU4891<3?:;069MKVR\3MJBIQF<0194;7338<1EC^ZT;CG@WG;9<0;2<:4178JJUSS2HNO^O2>5;2=51=6>3CE\XZ5DHCGM972294:86?9:HLSQQ<CAKNB0<;50?36?40=G\^[YY4KO@FL843=87;>7<85OTVSQQ<CGKND0<;50?3867=588?0>=4FNQWW>BGILVC7=44?>078600=AGZ^X7JNT@>110<76830>7GAPTV9EABUI]CNDDIG<083:4?<:3CE\XZ5AEFQFQOB@@MC0<4?>0686?OIX\^1HD^NDHR?5?699=196D@_UU8GMUDCAY6:6=0>5:09KPRW]]0OC]OKOQ>2>586=281CXZ_UU8GKUDCGY6:6=0>2:11>LHW]]0OEL2<1;2=57=4:3CE\XZ5DH@?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:40<;?0BBB[[:BMMPWIK4:?1<3?4439741=399::=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<24>2B<H:N<L:;412745773<>>98:;402614678l1>6D@_UU8B81<76>1<_H\FER38<7=?88:04=>?8123456709:;466709CBED75310BB][[:UPJC5:0294:>665IORVP?QBJ^O7;7>1119;E56?I1K;<=>?01224>>F0;:J<?67812;454<I980M<<4A308E62<I5:586O31?68E949<2K7?384A=694;2<I5>5==5NA9CBE=6?81K3<5>7;@CBED4?811JHI\N<1<:?DBCZH6:<374AEFQE976601JHI\N<00==>GCL[K7=>06;@FGVD:6<7h0MIJ]A=36>58>3HNO^L2>5?:8EABUI5;546OKDSC?6;><IMNYM1=18:CG@WG;<720MIJ]A=7=<>GCL[K7:364AEFQE91902KOH_O38?:8EABUI535i6OKDSCQ@DBCZ5:5j6OKDSCQ@DBCZ5;;2k5NDEPBVAGCL[6:=3h4AEFQEWBFLMX7=?0>1:CG@WGULHNO^1?<:1<e?DBCZHXOMIJ]<01=a>GCL[KYHLJKR=3=a>GCL[KYHLJKR=0=a>GCL[KYHLJKR=1=a>GCL[KYHLJKR=6=a>GCL[KYHLJKR=7=a>GCL[KYHLJKR=4=a>GCL[KYHLJKR=5=a>GCL[KYHLJKR=:=a>GCL[KYHLJKR=;=b>GCL[K_EHFFGI>3:47<IMNYMYGJHHEK84<76o1JHI\NTHGKMBN;9720MIJ]B=2==>GCL[H7==06;@FGVG:69730MIJ]B=31:<=FLMXI0<=19:CG@WD;9=4i7LJKRC>21?6912KOH_L314<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK622k5NDEPAPLCOANB7<3?>;@FGVGRNMACLD1?50?d8EABUJ]CNDDIG<0<f?DBCZK]YMIJ]<1<e?DBCZK]YMIJ]<02=b>GCL[H\^LJKR=32:c=FLMXI[_OKDS>26;763HNO^OY]AEFQ845=87l0MIJ]BVPB@AT;9:4n7LJKRCUQEABU484n7LJKRCUQEABU4;4n7LJKRCUQEABU4:4n7LJKRCUQEABU4=4n7LJKRCUQEABU4<4n7LJKRCUQEABU4?4n7LJKRCUQEABU4>4n7LJKRCUQEABU414n7LJKRCUQEABU40487LBC119A4GD789:I<OLM0C@;?GSTW@DMC<5L2:AB`>EF[JKOID@CAUCg?FGTKHNNECBNTCf8GDUDIMOBB_KHAe9@EVEFLLCE^HIMe:ABWFGCMF__@LZNe:ABWFGCMF__@LZMe:ABWFGCMF__^HINe:ABWFGCMF__^HIM2:AF57=D@LI@SAGLEOQF[Q_WM;1HE>5LLJ68GIM7>2IGG<6?6:AOO717=2IGG?V7;BNH6]7?8<1H@F7?4:AOOD3<KEAJ=:5LLJC2@71<KEAJ=I:8;BNHE4B?12IGGL\KCMI7?FJLJ<1H@FL>9:AOOGQUKEA>7NBDC078GIMC9<1H@FJYc:AOOAPXL@\BHH;4CMIE\==DDBLS=5>:;BNH[L5<KEX27NABMHVWAAg<KFD_^BB30?`8GJHSZFF7==0m;BMMPWIK48;5n6M@NUPLH9756k1HCCZ]OM>27;d<KFD_^BB315<a?FII\[EG0<;1b:ALJQTHD5;=2o5LOOVQKI:6?7h0OB@[RNN?5=8e3JEEX_AC<0;=e>EHF]XD@1?1b:ALJQTHD58;2o5LOOVQKI:597h0OB@[RNN?678e3JEEX_AC<31=f>EHF]XD@1<;>c9@KKRUGE6993l4CNLWVJJ;:?4i7NAATSMO8719j2IDBY\@L=0;:g=DGG^YCA2=9?c8GJHSZFF7>3l4CNLWVJJ;;94i7NAATSMO8679j2IDBY\@L=11:g=DGG^YCA2<3?`8GJHSZFF7?90k;BMMPWIK4:?1<3l4CNLWVJJ;;<4j7NAATSMO868f3JEEX_AC<5<b?FII\[EG080n;BMMPWIK4?4j7NAATSMO828f3JEEX_AC<9<b?FII\[EG040>4:ALV@Y@MGOYMYG@N^KMBJ1<K[OJXHJ>;E58@DRF49427IO[A=334;?<LH^J0<>>>89GEQG;998556JNT@>2468>3MK_M1??4?;8@DRF48:>245KAUC?550912NJXL2>06<:?AGSI5;;4374D@VB846>611OMYO311<:?AGSI5;:<374D@VB8476601OMYO3100==>BF\H6:=>06;ECWE976<730HLZN<036:<=CI]K7=<819:FBPD:69>427IO[A=32<;?<LH^J0<?6>99GEQG;98427IO[A=314;?<LH^J0<<>>89GEQG;9;8556JNT@>2668>3MK_M1?=4?;8@DRF488>245KAUC?570912NJXL2>26<:?AGSI5;94374D@VB844>611OMYO313<:?AGSI5;8<374D@VB8456601OMYO3120==>BF\H6:?>06;ECWE974<730HLZN<016:<=CI]K7=>819:FBPD:6;>427IO[A=30<;?<LH^J0<=6>99GEQG;9:427IO[A=374;?<LH^J0<:>>89GEQG;9=8556JNT@>2068>3MK_M1?;4?;8@DRF48>>245KAUC?510912NJXL2>46<:?AGSI5;?4374D@VB842>611OMYO315<:?AGSI5;><374D@VB8436601OMYO3140==>BF\H6:9>06;ECWE972<730HLZN<076:<=CI]K7=8819:FBPD:6=>427IO[A=36<;?<LH^J0<;6>99GEQG;9<427IO[A=354;?<LH^J0<8>>89GEQG;9?8556JNT@>2268>3MK_M1?94?;8@DRF48<>245KAUC?530912NJXL2>66<:?AGSI5;=4374D@VB840>611OMYO317<:?AGSI5;<<374D@VB8416601OMYO3160==>BF\H6:;>06;ECWE970<730HLZN<056:<=CI]K7=:819:FBPD:6?>427IO[A=34<;?<LH^J0<96>99GEQG;9>427IO[A=3;4;?<LH^J0<6>>89GEQG;918556JNT@>2<68>3MK_M1?74?;8@DRF482>245KAUC?5=0912NJXL2>86<:?AGSI5;34374D@VB84>>611OMYO319<:?AGSI5;2<374D@VB84?6601OMYO3180==>BF\H6:5>06;ECWE97><730HLZN<0;6:<=CI]K7=4819:FBPD:61>427IO[A=3:<;?<LH^J0<76>99GEQG;904<7IO[A=3==>BF\H69<=06;ECWE9479730HLZN<321:<=CI]K7>==19:FBPD:58=427IO[A=031;?<LH^J0?>9>89GEQG;:9=556JNT@>14=8>3MK_M1<?9?:8@DRF4;:556JNT@>1558>3MK_M1<>1?;8@DRF4;;9245KAUC?645912NJXL2=15<:?AGSI58:9374D@VB8771601OMYO3205==>BF\H69=506;ECWE9461720HLZN<33==>BF\H69>=06;ECWE9459730HLZN<301:<=CI]K7>?=19:FBPD:5:=427IO[A=011;?<LH^J0?<9>89GEQG;:;=556JNT@>16=8>3MK_M1<=9?:8@DRF4;8556JNT@>1758>3MK_M1<<1?;8@DRF4;99245KAUC?665912NJXL2=35<:?AGSI5889374D@VB8751601OMYO3225==>BF\H69?506;ECWE9441720HLZN<31==>BF\H698=06;ECWE9439730HLZN<361:<=CI]K7>9=19:FBPD:5<=427IO[A=071;?<LH^J0?:9>89GEQG;:==556JNT@>10=8>3MK_M1<;9?:8@DRF4;>556JNT@>1158>3MK_M1<:1?;8@DRF4;?9245KAUC?605912NJXL2=55<a?AGSI58>97>19:FBPD:5=<437IO[A=06:==CI]K7>;07;ECWE940611OMYO329<;?AGSI5822:5KAUC?6;><LH^J0>>18:FBPD:49720HLZN<20=<>BF\H68?364D@VB862902NJXL2<5?:8@DRF4:<546JNT@>03;><LH^J0>618:FBPD:417=0HLZN<2<;?AGSI5>;255KAUC?048?3MK_M1:=>99GEQG;<:437IO[A=67:==CI]K78807;ECWE921611OMYO346<;?AGSI5>3255KAUC?0<803MK_M1:18:FBPD:28720HLZN<43=<>BF\H6>>364D@VB805902NJXL2:4?:8@DRF4<?546JNT@>62;><LH^J08918:FBPD:20720HLZN<4;=3>BF\H6>255KAUC?258?3MK_M18>>99GEQG;>;437IO[A=40:==CI]K7:907;ECWE902611OMYO367<;?AGSI5<<255KAUC?2=8?3MK_M186>69GEQG;>720HLZN<62=<>BF\H6<=364D@VB824902NJXL283?:8@DRF4>>546JNT@>41;><LH^J0:818:FBPD:0?720HLZN<6:=<>BF\H6<5394D@VB828?3MK_M16?>99GEQG;08437IO[A=:1:==CI]K74>07;ECWE9>3611OMYO384<;?AGSI52=255KAUC?<28?3MK_M167>99GEQG;004<7IO[A=:=<>BF\H62<364D@VB8<7902NJXL262?:8@DRF409546JNT@>:0;><LH^J04;18:FBPD:>>720HLZN<85=<>BF\H624364D@VB8<?9?2NJXL26>e9GAIG^MMU\^DZJ8:FE<CBF0H80HD84DHC?4;1<L@K7==08;EKB8479?2NBM1?=>69GMD:6;7=0HDO315<4?AOF48?5;6JFA=35:2=CAH6:;394DHC?5=803MCJ0<716:FJE979?2NBM1<?>69GMD:597=0HDO323<4?AOF4;95;6JFA=07:2=CAH699394DHC?63803MCJ0?917:FJE94?6>1OEL2=9?48@LG;:7=0HDO331<:?AOF4:;1<394DHC?74813MCJ0>09;EKB81813MCJ0809;EKB83813MCJ0:09;EKB8=813MCJ0407;EKB@L:7601OELJF<02==>BNIMC7=<06;EKB@L:6:730HDOKI=30:<=CAHNB0<:1b:FJEAO;9<0;245KI@FJ843902NBMIG31?:8@LGCA58546JFAEK?7;><L@KOE1:18:FJEAO;=720HDOKI=4=<>BNIMC7;364DHCGM9>902NBMIG39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0<L@H7>394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<;?AOEL@6;245KICFJ846912NBNIG310<:?AOEL@6:>374DH@GM974601OEOJF<06=f>BNJMC7=84?>89GMGBN48?546JFBEK?5;><L@HOE1<18:FJFAO;;720HDLKI=6=<>BNJMC79364DH@GM90902NBNIG37?:8@LDCA52546JFBEK?=;1<L@ZJ0=08;EKSE979?2NB\L2=>89GMUG;;3:5;6JFP@>0:d=CAYKOE]2?>b9GMUGCAY6:6=0n;EKSEAOW484<7IG_B=2=3>BNXK6:2:5KIQ@?6;?<L@ZI0>4?>69GMUD;;7k0HD^MDHR?4;e<L@ZIHD^31;2=e>BNXKNB\1?16:FLE969?2NDM1??>69GKD:697=0HBO313<4?AIF4895;6J@A=37:2=CGH6:9394DNC?53803MEJ0<917:FLE97?6>1OCL2>9?48@JG;97=0HBO321<4?AIF4;;5;6J@A=01:2=CGH69?394DNC?61803MEJ0?;17:FLE9416>1OCL2=7?58@JG;:14<7IAN<3;=2>BHI585;6J@A=13:<=CGH68=7>17:FLE9566?1OCL2<>79GKD:36?1OCL2:>79GKD:16?1OCL28>79GKD:?6?1OCL26>99GKDBH49427IANDN>24;?<LFKOC1?>>89GKDBH488556J@AEM?568>3MEJHB2>4?`8@JGCG5;>6=06;EMB@J:6=720HBOKO=3=<>BHIME7>364DNCGK95902NDMIA34?:8@JGCG5?546J@AEM?2;><LFKOC1918:FLEAI;0720HBOKO=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75364DN@GK96912NDNIA311<:?AIELF6:=374DN@GK975601OCOJ@<01==>BHJME7=90m;EMA@J:6=3:556J@BEM?508?3MEIHB2>>99GKGBH4;437IAMDN>0:==CGKND0907;EMA@J:2611OCOJ@<7<;?AIELF6<255KOCFL8=8?3MEIHB26>69GKGYUMN=0HB^N<1<4?AIWI5;5;6J@P@>1:<=CGYK7?7>17:FLTD:46h1OC]OKOQ>3:f=CGYKOC]2>:1<b?AIWIME[0<08;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:2=CGYH7?3o4DNRA@JV;87i0HB^MDNR?5?69i2ND\OJ@P=3=5>C53L>?7H:?239FB6=BFH=0ICO]DDL0?@HE?2OENZ\JN`9FJZVPZNK_I<=4EO]P@GOSM[XTECG[_W47?C67N8:0J=J?E1A3F577I9:;86H91178BDJTM;1MH<>4FE56F<56MJ<?M5<?3:DGG1=ALJO87KJJ8:DGB=>C0190JI^<;GFQ0>@CZL90JI]=;GG7?CCBM8:0JK6?81:3<5>7092;==5IFC@FADGA=K;N8L>>0:DEA@@BMLLNIHHJED68BC@7<2LMJH??;GDEA032<OLMJKHIF99EBC@29=::<6HIFGC1<5G509K94=??;GDEBC@AN:88<=<?0028BC@ANOLM;:9:567655=ANOLMJKH7A9:;<=>682LMJKHIFGDEBC458;;0K45HAOF\M969i2MJBIQF<02=e>AFFMUB0<?1a:EBJAYN4885o6INNE]J845=87k0KL@K_H>27;g<OHDOSD2>4?c8CDHCW@6:93o4G@LG[L:6>7k0KL@K_H>23;g<OHDOSD2>8?a8CDHCW@6:57>1a:EBJAYN483556INNE]J848>3NKEHRG32?;8CDHCW@68245HAOF\M92912MJBIQF<4<:?BGILVC7:374G@LG[L:0601LMCJPI=:==>AFFMUB0409;FCM@ZI43NDO=6G=;H21?L753@897D==;H61?L3?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51?3@DBX]Q?899JJLRWW9337D@FTQ]3E==NF@^[S=L7;HLJPUY7K11BBDZ__1F;?LHN\YU;I55FNHVS[5@>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461<AGC_S=:8;HLJPZ62?2CEEYQ?669JJLRX8>=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^T<O94IOKW[5E03@DBXR>K7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561<AGC_S<:8;HLJPZ72?2CEEYQ>669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661<AGC_S?:8;HLJPZ42?2CEEYQ=669JJLRX:>=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR<K7:KMMQY5M>1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761<AGC_S>:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G58MKOSW=:<7D@FT^623>OIA]U?>:5FNHV\061<AGC_S9:8;HLJPZ22?2CEEYQ;669JJLRX<>=0ECG[_5:4?LHN\V>2;6GAIU]7E2=NF@^T8O94IOKW[1E03@DBXR:K7:KMMQY3M>1BBDZP4G58MKOSW<:<7D@FT^723>OIA]U>>:5FNHV\161<AGC_S8:8;HLJPZ32?2CEEYQ:669JJLRX=>=0ECG[_4:4?LHN\V?2;6GAIU]6E2=NF@^T9O94IOKW[0E03@DBXR;K7:KMMQY2M>1BBDZP5G58MKOSW?:<7D@FT^423>OIA]U=>:5FNHV\261<AGC_S;:8;HLJPZ02?2CEEYQ9669JJLRX>>=0ECG[_7:4?LHN\V<2;6GAIU]5E2=NF@^T:O94IOKW[3E03@DBXR8K7:KMMQY1M>1BBDZP6G58MKOSW>:<7D@FT^523>OIA]U<>:5FNHV\361<AGC_S::8;HLJPZ12?2CEEYQ8669JJLRX?>=0ECG[_6:4?LHN\V=2;6GAIU]4E2=NF@^T;O94IOKW[2E03@DBXR9K7:KMMQY0M>1BBDZP7G48MKOSWH<0ECG[_C;8MKOSWOCGI>5FNW04?LYE;?ALX= H_SCNF60\8T$~Sobb24,QZTFEK9=>45F_C15OBR7&NUYM@L<6Z334X(rW{kfn>8 U^PBIG51:01BSO=9KFV3*BYUIDH8:V??1\,v[wgjj:<$YR\NMC156<=NWK9=GJZ?.F]QEHD4>R;;>P z_scnf60(]VXJAO=9289J[G51CN^;"JQ]AL@02^77;T$~Sobb24,QZTFEK9=>45F_C15OBR7&NUYM@L<6Z330X(rW{kfn>8 U^PBIG51:01BSO=9KFV3*BYUIDH8:V??5\,v[wgjj:<$YR\NMC156<=NWK9=GJZ?.F]QEHD4>R;;:P z_scnf60(]VXJAO=9289J[G51CN^;"JQ]AL@02^77?T$~Sobb24,QZTFEK9=>45F_C15OBR7&NUYM@L<6Z33<X(rW{kfn>8 U^PBIG51:01BSO=9KFV3*BYUIDH8:V??9\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R;;Q#{Pr`oa73)RW[KFN>8=9:K\F60LO]:%KR\NMC15_477U'T~lcm37-V[WGJJ:<956GPB24HCQ6)OVXJAO=9[032Y+sXzhgi?;!Z_SCNF60512CTN>8DGU2-CZTFEK9=W<?=]/w\vdke;?%^S_OBB241=>OXJ:<@KY>!G^PBIG51S8;8Q#{Pr`oa73)RW[KFN>8=9:K\F60LO]:%KR\NMC15_473U'T~lcm37-V[WGJJ:<956GPB24HCQ6)OVXJAO=9[036Y+sXzhgi?;!Z_SCNF60512CTN>8DGU2-CZTFEK9=W<?9]/w\vdke;?%^S_OBB241=>OXJ:<@KY>!G^PBIG51S8;<Q#{Pr`oa73)RW[KFN>8=9:K\F60LO]:%KR\NMC15_47?U'T~lcm37-V[WGJJ:<956GPB24HCQ6)OVXJAO=9[03:Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W<?R.t]qehd4>&_T^LCM370:?LYE;?ALX= H_SCNF60\9;:V"xQ}al`02*SXZHGI?;<6;H]A73M@\9$LS_OBB24X577Z&|Uym`l<6.W\VDKE;?827DQM37IDP5(@W[KFN>8T130^*pYuidh8:"[PR@OA734>3@UI?;EHT1,D[WGJJ:<P=?=R.t]qehd4>&_T^LCM370:?LYE;?ALX= H_SCNF60\9;>V"xQ}al`02*SXZHGI?;<6;H]A73M@\9$LS_OBB24X573Z&|Uym`l<6.W\VDKE;?827DQM37IDP5(@W[KFN>8T134^*pYuidh8:"[PR@OA734>3@UI?;EHT1,D[WGJJ:<P=?9R.t]qehd4>&_T^LCM370;?LYE;?ALX= H_SCNF60\9;W%yR|nmc15+PYUIDH8:?64I^@02NAS8'MT^LCM37Y27X(rW{kfn>8 U^PBIG51:11BSO=9KFV3*BYUIDH8:V?;]/w\vdke;?%^S_OBB241<>OXJ:<@KY>!G^PBIG51S8?V"xQ}al`02*SXZHGI?;<7;H]A73M@\9$LS_OBB24X53[)}Vxjao=9/T]QEHD4>;20ERL<6JEW4+AXZHGI?;U>7\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R;3Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_4?Z&|Uym`l<6.W\VDKE;?8<7DQM37IDP5(@W[KFN>8T1\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R8;Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_77Z&|Uym`l<6.W\VDKE;?837DQM37IDP5(@W[KFN>8T23_-qZtfek9=#XQ]AL@027><AVH8:FI[0/E\VDKE;?Q9?P z_scnf60(]VXJAO=9299J[G51CN^;"JQ]AL@02^43U'T~lcm37-V[WGJJ:<946GPB24HCQ6)OVXJAO=9[37^*pYuidh8:"[PR@OA734?3@UI?;EHT1,D[WGJJ:<P>;S!u^pbig51'\UYM@L<63:8MZD4>BM_<#IPR@OA73]5?T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z0;Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W?7R.t]qehd4>&_T^LCM3704?LYE;?ALX= H_SCNF60\:T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z13Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W>?R.t]qehd4>&_T^LCM370;?LYE;?ALX= H_SCNF60\;;W%yR|nmc15+PYUIDH8:?64I^@02NAS8'MT^LCM37Y07X(rW{kfn>8 U^PBIG51:11BSO=9KFV3*BYUIDH8:V=;]/w\vdke;?%^S_OBB241<>OXJ:<@KY>!G^PBIG51S:?V"xQ}al`02*SXZHGI?;<7;H]A73M@\9$LS_OBB24X73[)}Vxjao=9/T]QEHD4>;20ERL<6JEW4+AXZHGI?;U<7\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R93Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_6?Z&|Uym`l<6.W\VDKE;?8<7DQM37IDP5(@W[KFN>8T3\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R>;Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_17Z&|Uym`l<6.W\VDKE;?837DQM37IDP5(@W[KFN>8T43_-qZtfek9=#XQ]AL@027><AVH8:FI[0/E\VDKE;?Q??P z_scnf60(]VXJAO=9299J[G51CN^;"JQ]AL@02^23U'T~lcm37-V[WGJJ:<946GPB24HCQ6)OVXJAO=9[57^*pYuidh8:"[PR@OA734?3@UI?;EHT1,D[WGJJ:<P8;S!u^pbig51'\UYM@L<63:8MZD4>BM_<#IPR@OA73]3?T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z6;Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W97R.t]qehd4>&_T^LCM3704?LYE;?ALX= H_SCNF60\<T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z73Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W8?R.t]qehd4>&_T^LCM370;?LYE;?ALX= H_SCNF60\=;W%yR|nmc15+PYUIDH8:?64I^@02NAS8'MT^LCM37Y67X(rW{kfn>8 U^PBIG51:11BSO=9KFV3*BYUIDH8:V;;]/w\vdke;?%^S_OBB241<>OXJ:<@KY>!G^PBIG51S<?V"xQ}al`02*SXZHGI?;<7;H]A73M@\9$LS_OBB24X13[)}Vxjao=9/T]QEHD4>;20ERL<6JEW4+AXZHGI?;U:7\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R?3Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_0?Z&|Uym`l<6.W\VDKE;?8<7DQM37IDP5(@W[KFN>8T5\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R<;Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_37Z&|Uym`l<6.W\VDKE;?837DQM37IDP5(@W[KFN>8T63_-qZtfek9=#XQ]AL@027><AVH8:FI[0/E\VDKE;?Q=?P z_scnf60(]VXJAO=9299J[G51CN^;"JQ]AL@02^03U'T~lcm37-V[WGJJ:<946GPB24HCQ6)OVXJAO=9[77^*pYuidh8:"[PR@OA734?3@UI?;EHT1,D[WGJJ:<P:;S!u^pbig51'\UYM@L<63:8MZD4>BM_<#IPR@OA73]1?T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z4;Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W;7R.t]qehd4>&_T^LCM3704?LYE;?ALX= H_SCNF60\>T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z53Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W:?R.t]qehd4>&_T^LCM370;?LYE;?ALX= H_SCNF60\?;W%yR|nmc15+PYUIDH8:?64I^@02NAS8'MT^LCM37Y47X(rW{kfn>8 U^PBIG51:11BSO=9KFV3*BYUIDH8:V9;]/w\vdke;?%^S_OBB241<>OXJ:<@KY>!G^PBIG51S>?V"xQ}al`02*SXZHGI?;<7;H]A73M@\9$LS_OBB24X33[)}Vxjao=9/T]QEHD4>;20ERL<6JEW4+AXZHGI?;U87\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R=3Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_2?Z&|Uym`l<6.W\VDKE;?8<7DQM37IDP5(@W[KFN>8T7\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R2;Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_=7Z&|Uym`l<6.W\VDKE;?837DQM37IDP5(@W[KFN>8T83_-qZtfek9=#XQ]AL@027><AVH8:FI[0/E\VDKE;?Q3?P z_scnf60(]VXJAO=9299J[G51CN^;"JQ]AL@02^>3U'T~lcm37-V[WGJJ:<946GPB24HCQ6)OVXJAO=9[97^*pYuidh8:"[PR@OA734?3@UI?;EHT1,D[WGJJ:<P4;S!u^pbig51'\UYM@L<63:8MZD4>BM_<#IPR@OA73]??T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z:;Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W57R.t]qehd4>&_T^LCM3704?LYE;?ALX= H_SCNF60\0T$~Sobb24,QZTFEK9=>55F_C15OBR7&NUYM@L<6Z;3Y+sXzhgi?;!Z_SCNF60502CTN>8DGU2-CZTFEK9=W4?R.t]qehd4>&_T^LCM370;?LYE;?ALX= H_SCNF60\1;W%yR|nmc15+PYUIDH8:?64I^@02NAS8'MT^LCM37Y:7X(rW{kfn>8 U^PBIG51:11BSO=9KFV3*BYUIDH8:V7;]/w\vdke;?%^S_OBB241<>OXJ:<@KY>!G^PBIG51S0?V"xQ}al`02*SXZHGI?;<7;H]A73M@\9$LS_OBB24X=3[)}Vxjao=9/T]QEHD4>;20ERL<6JEW4+AXZHGI?;U67\,v[wgjj:<$YR\NMC156==NWK9=GJZ?.F]QEHD4>R33Q#{Pr`oa73)RW[KFN>8=8:K\F60LO]:%KR\NMC15_<?Z&|Uym`l<6.W\VDKE;?8<7DQM37IDP5(@W[KFN>8T9\,v[wgjj:<$YR\NMC150f=NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXZYGTYC]JT.K\MVYSM[GBBLZFOO]PHLEBWGO;"XQBUYD05>OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YUXDU^B^K[/T]NQ]G492CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUY\@QZNRGW+PYJ]QH8=6GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQ]PL]VJVCS'\UFYUM<1:K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]QTHYRFZO_#XQBUYF05>OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YUXDU^B^K[/T]PVIG492CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUY\@QZNRGW+PYTZEH8=6GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQ]PL]VJVCS'\UX^AM<1:K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]QTHYRFZO_#XQ\RMF03>OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YUXDU^B^K[/mao[vtkWx6;2>94I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_SRN[PHTM]%goaQ|rm]r8484?2CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUY\@QZNRGW+iekWzxgS|2=>248MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[WVJW\DXIY!buyaz[ii;879=7DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR\_M^WMW@R(e|rhuRb`<0<02>OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YUXDU^B^K[/lw{g|Ykg585?;5F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPRQO\QKUB\&g~tnwPln>0:61<AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBW[ZFSX@\EU-nq}e~Wzog0=0<7:K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]QTHYRFZO_#`{wcx]pai:66:=0ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INS_^B_TLPAQ)j}qirS~kc<3<03>OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YUXDU^B^K[/lw{g|Ytme682;k4I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR\_M^WMW@R(AVCXSYK]MHLBPLIIWZFBOHQAE1,J[PUBWF__\XZPRDE\J@6)]VEYIJ;6;H]TLJJBWZFBOH H_RNJG@YNLQQ;Q#[PG@LG[VTKWZFBOHQ]PL]VJVCS'@UB_RZJRLKMEQOHFVYGENKPND2-QZKRPO9n7DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUY\@QZNRGW+PYJ]QK8i6GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOT^]CPUOQFP*SXE\RI?h5F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INS_^B_TLPAQ)RWD_SO>k4I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR\_M^WMW@R(]VG^TI=j;H]TLJJBWZFBOH H_RNJG@YNLQQ;Q#[PG@LG[VTKWZFBOHQ]PL]VJVCS'\UX^AO<e:K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPRQO\QKUB\&_T__BM3d9J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_SRN[PHTM]%^S^\CC2g8MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^PSIZSI[L^$YR]]LE67?LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]QTHYRFZO_#amc_rpo[t:76=>0ERYGOMG\WIODM'MT_AGLE^KG\^6Z&\ULMCJPSSN\WIODMVX[AR[ASDV,hfjX{{fT}1?1459J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_SRN[PHTM]%goaQ|rm]r8783;2CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXZYGTYC]JT.ov|fXdf6;29=4I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR\_M^WMW@R(e|rhuRb`<0<77>OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\VUKX]GYNX"czxb{\hj:56=90ERYGOMG\WIODM'MT_AGLE^KG\^6Z&\ULMCJPSSN\WIODMVX[AR[ASDV,ip~dqVfd0>0;3:K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPRQO\QKUB\&g~tnwPln>7:12<AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZTWEV_E_HZ mtz`}Zubd5:5895F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INS_^B_TLPAQ)j}qirS~kc<0<70>OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\VUKX]GYNX"czxb{\w`j;:7>?7DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUY\@QZNRGW+hskpUxia2<>29O@F4<D@80@B84LNAHAA1<DFMBOLB;;MWW51=K]]8?7A[[359OQQ233E__995CUU44?HS_KPUG96CZXG56?HS_N180B<=4N060?K72;2D::>5A1918J4?43G8;?6@=129M675<F;987C<;3:L116=I:?90B?98;OGWSJTL<2DDBH?4O058KURNE@PNS]\FMHVJR@UXFNI:7]94P/6:`ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@?<XBUC@XZAM29SV@0<XZNDBH?4Q29R505<Y:;:7_64R@OA5=C6>2XJAO=9f:PBIZC_\LDXEB@PAg9QEHYBP]OE_DAA_C:8VDKXEFNN=45]D@FGVZEHDECXEB@PHVALJCO@k2XNMIQXIEVK[De<ZLKOSZGKTI]A1>TBOJO=7_KHCDCa?WC@KLKYIJMJ6:PFCFCEl2XNKNBDASFQGIM03[OLOAEM7:PFW@RXAm1Y_Y\NM@PPPWGJ?2XXX_OBBe9QWQTBOHXXX_KH7:PPPWC@J8;0^^Z]EF]SVLIUA]STM<?4RRVQABYWZ@EYEYWPB09P0>UFNL20_LXJD@VB54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B9:1XE@QLOMNJWLIIWJBNOFo4SHO\@@PNKL20_DCPMNFF<>ULAYU[EC84SNWQG@3<[[F::;5\RM35A2=TZEI::H94SSN@77C?3ZXGSNKPI89PVIYDDBUB;6]]L^F\M2=TZEUZSB94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI:6][AUWP7>RCX11_^DI?<1<;?QTNO96:255[RHE3878?3]XBK=2<>99WVLA74=437Y\FG1>6:==SZ@M;0;0n;UPJC5:029437Y\FG1>4:1=SQYO:86[?/H]GU+AXL^Q;Q#[PDP35?P6(AVNZ"JQKWZ334X(RWM[::6[?/H]GU+AXL^Q:<<S!U^FR53=R8&CTH\ H_EUX554Z&\UO]<84U1-J[AW)OVN\W<><]/W\@T713\:$ERJ^.F]GS^77<T$^SI_>6:W3+LYCY'MTHZU>04_-QZBV9?1^<"GPDP,D[AQ\99<V"XQKQ048Q5)NWM[%KRJX[024Y+SXLX;=7X> I^FR*BYC_R;;4P Z_ES22>S7'@UO]#IPDVY24<[)]VNZ=85Z0.K\@T(@WM]P==S!U^FR53=R8&CTH\ H_EUX546Z&\UO]<84U1-J[AW)OVN\W<?>]/W\@T713\:$ERJ^.F]GS^76:T$^SI_>6:W3+LYCY'MTHZU>12_-QZBV9?1^<"GPDP,D[AQ\98>V"XQKQ048Q5)NWM[%KRJX[036Y+SXLX;=7X> I^FR*BYC_R;::P Z_ES22>S7'@UO]#IPDVY252[)]VNZ=;5Z0.K\@T(@WM]P=<6R.T]GU40<]9%BSI_!G^FT_47>U'_TH\?:;T2,MZBV&NUO[V?>]/W\@T713\:$ERJ^.F]GS^758T$^SI_>6:W3+LYCY'MTHZU>20_-QZBV9?1^<"GPDP,D[AQ\9;8V"XQKQ048Q5)NWM[%KRJX[000Y+SXLX;=7X> I^FR*BYC_R;98P Z_ES22>S7'@UO]#IPDVY260[)]VNZ=;5Z0.K\@T(@WM]P=?8R.T]GU40<]9%BSI_!G^FT_440U'_TH\?9;T2,MZBV&NUO[V?=8\,V[AW6>2_;#DQKQ/E\@R]6:0W%YRJ^149V4*OXLX$LSIYT13_-QZBV9?1^<"GPDP,D[AQ\9::V"XQKQ048Q5)NWM[%KRJX[012Y+SXLX;=7X> I^FR*BYC_R;8>P Z_ES22>S7'@UO]#IPDVY276[)]VNZ=;5Z0.K\@T(@WM]P=>:R.T]GU40<]9%BSI_!G^FT_452U'_TH\?9;T2,MZBV&NUO[V?<6\,V[AW6>2_;#DQKQ/E\@R]6;>W%YRJ^179V4*OXLX$LSIYT12:^*PYCY8<0Y=!F_ES-CZBPS892Q#[PDP36?P6(AVNZ"JQKWZ30Y+SXLX;=7X> I^FR*BYC_R;?<P Z_ES22>S7'@UO]#IPDVY204[)]VNZ=;5Z0.K\@T(@WM]P=9<R.T]GU40<]9%BSI_!G^FT_424U'_TH\?9;T2,MZBV&NUO[V?;4\,V[AW6>2_;#DQKQ/E\@R]6<<W%YRJ^179V4*OXLX$LSIYT154^*PYCY8<0Y=!F_ES-CZBPS8><Q#[PDP35?P6(AVNZ"JQKWZ37<X(RWM[::6[?/H]GU+AXL^Q:84S!U^FR50=R8&CTH\ H_EUX51[)]VNZ=;5Z0.K\@T(@WM]P=8>R.T]GU40<]9%BSI_!G^FT_436U'_TH\?9;T2,MZBV&NUO[V?:2\,V[AW6>2_;#DQKQ/E\@R]6=:W%YRJ^179V4*OXLX$LSIYT146^*PYCY8<0Y=!F_ES-CZBPS8?>Q#[PDP35?P6(AVNZ"JQKWZ362X(RWM[::6[?/H]GU+AXL^Q:9:S!U^FR53=R8&CTH\ H_EUX50>Z&\UO]<84U1-J[AW)OVN\W<;6]/W\@T723\:$ERJ^.F]GS^72U'_TH\?9;T2,MZBV&NUO[V?90\,V[AW6>2_;#DQKQ/E\@R]6>8W%YRJ^179V4*OXLX$LSIYT170^*PYCY8<0Y=!F_ES-CZBPS8<8Q#[PDP35?P6(AVNZ"JQKWZ350X(RWM[::6[?/H]GU+AXL^Q::8S!U^FR53=R8&CTH\ H_EUX530Z&\UO]<84U1-J[AW)OVN\W<88]/W\@T713\:$ERJ^.F]GS^710T$^SI_>6:W3+LYCY'MTHZU>68_-QZBV9<1^<"GPDP,D[AQ\9?W%YRJ^179V4*OXLX$LSIYT162^*PYCY8<0Y=!F_ES-CZBPS8=:Q#[PDP35?P6(AVNZ"JQKWZ346X(RWM[::6[?/H]GU+AXL^Q:;>S!U^FR53=R8&CTH\ H_EUX522Z&\UO]<84U1-J[AW)OVN\W<9:]/W\@T713\:$ERJ^.F]GS^70>T$^SI_>6:W3+LYCY'MTHZU>76_-QZBV9?1^<"GPDP,D[AQ\9>2V"XQKQ048Q5)NWM[%KRJX[05:Y+SXLX;>7X> I^FR*BYC_R;<Q#[PDP35?P6(AVNZ"JQKWZ3;4X(RWM[::6[?/H]GU+AXL^Q:4<S!U^FR53=R8&CTH\ H_EUX5=4Z&\UO]<84U1-J[AW)OVN\W<6<]/W\@T713\:$ERJ^.F]GS^7?<T$^SI_>6:W3+LYCY'MTHZU>84_-QZBV9?1^<"GPDP,D[AQ\91<V"XQKQ048Q5)NWM[%KRJX[0:4Y+SXLX;=7X> I^FR*BYC_R;34P Z_ES22>S7'@UO]#IPDVY2<<[)]VNZ=85Z0.K\@T(@WM]P=5S!U^FR53=R8&CTH\ H_EUX5<6Z&\UO]<84U1-J[AW)OVN\W<7>]/W\@T713\:$ERJ^.F]GS^7>:T$^SI_>6:W3+LYCY'MTHZU>92_-QZBV9?1^<"GPDP,D[AQ\90>V"XQKQ048Q5)NWM[%KRJX[0;6Y+SXLX;=7X> I^FR*BYC_R;2:P Z_ES22>S7'@UO]#IPDVY2=2[)]VNZ=;5Z0.K\@T(@WM]P=46R.T]GU40<]9%BSI_!G^FT_4?>U'_TH\?:;T2,MZBV&NUO[V?6]/W\@T733\:$ERJ^.F]GS^7Z&\UO]<84U1-J[AW)OVN\W?>?]/W\@T713\:$ERJ^.F]GS^479T$^SI_>6:W3+LYCY'MTHZU=03_-QZBV9?1^<"GPDP,D[AQ\:99V"XQKQ048Q5)NWM[%KRJX[327Y+SXLX;=7X> I^FR*BYC_R8;9P Z_ES22>S7'@UO]#IPDVY143[)]VNZ=;5Z0.K\@T(@WM]P>=9R.T]GU40<]9%BSI_!G^FT_76?U'_TH\?9;T2,MZBV&NUO[V<?9\,V[AW6=2_;#DQKQ/E\@R]58T$^SI_>6:W3+LYCY'MTHZU=11_-QZBV9?1^<"GPDP,D[AQ\:8;V"XQKQ048Q5)NWM[%KRJX[331Y+SXLX;=7X> I^FR*BYC_R8:?P Z_ES22>S7'@UO]#IPDVY151[)]VNZ=;5Z0.K\@T(@WM]P><;R.T]GU40<]9%BSI_!G^FT_771U'_TH\?9;T2,MZBV&NUO[V<>7\,V[AW6>2_;#DQKQ/E\@R]591W%YRJ^179V4*OXLX$LSIYT20;^*PYCY8?0Y=!F_ES-CZBPS;;V"XQKQ048Q5)NWM[%KRJX[303Y+SXLX;=7X> I^FR*BYC_R89=P Z_ES22>S7'@UO]#IPDVY167[)]VNZ=;5Z0.K\@T(@WM]P>?=R.T]GU40<]9%BSI_!G^FT_743U'_TH\?9;T2,MZBV&NUO[V<=5\,V[AW6>2_;#DQKQ/E\@R]5:?W%YRJ^179V4*OXLX$LSIYT235^*PYCY8<0Y=!F_ES-CZBPS;83Q#[PDP35?P6(AVNZ"JQKWZ01=X(RWM[:96[?/H]GU+AXL^Q9>P Z_ES22>S7'@UO]#IPDVY175[)]VNZ=;5Z0.K\@T(@WM]P>>?R.T]GU40<]9%BSI_!G^FT_755U'_TH\?9;T2,MZBV&NUO[V<<3\,V[AW6>2_;#DQKQ/E\@R]5;=W%YRJ^179V4*OXLX$LSIYT227^*PYCY8<0Y=!F_ES-CZBPS;9=Q#[PDP35?P6(AVNZ"JQKWZ003X(RWM[::6[?/H]GU+AXL^Q9?5S!U^FR53=R8&CTH\ H_EUX66?Z&\UO]<;4U1-J[AW)OVN\W?=R.T]GU40<]9%BSI_!G^FT_727U'_TH\?9;T2,MZBV&NUO[V<;1\,V[AW6>2_;#DQKQ/E\@R]5<;W%YRJ^179V4*OXLX$LSIYT251^*PYCY8<0Y=!F_ES-CZBPS;>?Q#[PDP35?P6(AVNZ"JQKWZ071X(RWM[::6[?/H]GU+AXL^Q98;S!U^FR53=R8&CTH\ H_EUX611Z&\UO]<84U1-J[AW)OVN\W?:7]/W\@T713\:$ERJ^.F]GS^431T$^SI_>5:W3+LYCY'MTHZU=4\,V[AW6>2_;#DQKQ/E\@R]5=9W%YRJ^179V4*OXLX$LSIYT243^*PYCY8<0Y=!F_ES-CZBPS;?9Q#[PDP35?P6(AVNZ"JQKWZ067X(RWM[::6[?/H]GU+AXL^Q999S!U^FR53=R8&CTH\ H_EUX603Z&\UO]<;4U1-J[AW)OVN\W?;R.T]GU43<]9%BSI_!G^FT_70Z&\UO]<;4U1-J[AW)OVN\W?9R.T]GU43<]9%BSI_!G^FT_7>Z&\UO]<;4U1-J[AW)OVN\W?7R.T]GU42<]9%BSI_!G^FT_7[)]VNZ=85Z0.K\@T(@WM]P?=S!U^FR50=R8&CTH\ H_EUX74[)]VNZ=85Z0.K\@T(@WM]P??S!U^FR50=R8&CTH\ H_EUX76[)]VNZ=85Z0.K\@T(@WM]P?9S!U^FR50=R8&CTH\ H_EUX70[)]VNZ=85Z0.K\@T(@WM]P?;S!U^FR50=R8&CTH\ H_EUX72[)]VNZ=85Z0.K\@T(@WM]P?5S!U^FR50=R8&CTH\ H_EUX7<[)]VNZ=95Z0.K\@T(@WM]P?P Z_ES21>S7'@UO]#IPDVY74X(RWM[:96[?/H]GU+AXL^Q?=P Z_ES21>S7'@UO]#IPDVY76X(RWM[:96[?/H]GU+AXL^Q??P Z_ES21>S7'@UO]#IPDVY70X(RWM[:96[?/H]GU+AXL^Q?9P Z_ES21>S7'@UO]#IPDVY72X(RWM[:96[?/H]GU+AXL^Q?;P Z_ES21>S7'@UO]#IPDVY7<X(RWM[:96[?/H]GU+AXL^Q?5P Z_ES20>S7'@UO]#IPDVY7Y+SXLX;>7X> I^FR*BYC_R?;Q#[PDP36?P6(AVNZ"JQKWZ72Y+SXLX;>7X> I^FR*BYC_R?9Q#[PDP36?P6(AVNZ"JQKWZ70Y+SXLX;>7X> I^FR*BYC_R??Q#[PDP36?P6(AVNZ"JQKWZ76Y+SXLX;>7X> I^FR*BYC_R?=Q#[PDP36?P6(AVNZ"JQKWZ74Y+SXLX;>7X> I^FR*BYC_R?3Q#[PDP36?P6(AVNZ"JQKWZ7:Y+SXLX;?7X> I^FR*BYC_R?V"XQKQ078Q5)NWM[%KRJX[72^*PYCY8?0Y=!F_ES-CZBPS?;V"XQKQ078Q5)NWM[%KRJX[70^*PYCY8?0Y=!F_ES-CZBPS?9V"XQKQ078Q5)NWM[%KRJX[76^*PYCY8?0Y=!F_ES-CZBPS??V"XQKQ078Q5)NWM[%KRJX[74^*PYCY8?0Y=!F_ES-CZBPS?=V"XQKQ078Q5)NWM[%KRJX[7:^*PYCY8?0Y=!F_ES-CZBPS?3V"XQKQ068Q5)NWM[%KRJX[7_-QZBV9<1^<"GPDP,D[AQ\?9W%YRJ^149V4*OXLX$LSIYT70_-QZBV9<1^<"GPDP,D[AQ\?;W%YRJ^149V4*OXLX$LSIYT72_-QZBV9<1^<"GPDP,D[AQ\?=W%YRJ^149V4*OXLX$LSIYT74_-QZBV9<1^<"GPDP,D[AQ\??W%YRJ^149V4*OXLX$LSIYT76_-QZBV9<1^<"GPDP,D[AQ\?1W%YRJ^149V4*OXLX$LSIYT78_-QZBV9=1^<"GPDP,D[AQ\?T$^SI_>5:W3+LYCY'MTHZU70\,V[AW6=2_;#DQKQ/E\@R]?9T$^SI_>5:W3+LYCY'MTHZU72\,V[AW6=2_;#DQKQ/E\@R]?;T$^SI_>5:W3+LYCY'MTHZU74\,V[AW6=2_;#DQKQ/E\@R]?=T$^SI_>5:W3+LYCY'MTHZU76\,V[AW6=2_;#DQKQ/E\@R]??T$^SI_>5:W3+LYCY'MTHZU78\,V[AW6=2_;#DQKQ/E\@R]?1T$^SI_>4:W3+LYCY'MTHZU7]/W\@T723\:$ERJ^.F]GS^?7U'_TH\?:;T2,MZBV&NUO[V7>]/W\@T723\:$ERJ^.F]GS^?5U'_TH\?:;T2,MZBV&NUO[V7<]/W\@T723\:$ERJ^.F]GS^?3U'_TH\?:;T2,MZBV&NUO[V7:]/W\@T723\:$ERJ^.F]GS^?1U'_TH\?:;T2,MZBV&NUO[V78]/W\@T723\:$ERJ^.F]GS^??U'_TH\?:;T2,MZBV&NUO[V76]/W\@T733\:$ERJ^.F]GS^?Z&\UO]<;4U1-J[QW7&NU_[V>R.T]WU43<]9%BSY_?.F]WS^7Z&\U_]<;4U1-J[QW7&NU_[V<R.T]WU43<]9%BSY_?.F]WS^5Z&\U_]<;4U1-J[QW7&NU_[V:R.T]WU43<]9%BSY_?.F]WS^3Z&\U_]<;4U1-J[QW7&NU_[V8R.T]WU43<]9%BSY_?.F]WS^1Z&\U_]>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP<P F_RPOPZHBW9$O@T7<d:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV>R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ334X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX557Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T111^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX555Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP==;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T117^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ332X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP==9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX55?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\993V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<>R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ324X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX547Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T101^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX545Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T107^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ322X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ32<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX54?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\983V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ314X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX577Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T131^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX575Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;98P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T137^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ312X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ31<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX57?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<<R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?<0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ304X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX567Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;8>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?<2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T121^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX565Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^74<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;88P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T127^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ302X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^74>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?<8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ30<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX56?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;8Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?;0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ374X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX517Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9=;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?;2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T151^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX515Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^73<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=9;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T157^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ372X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^73>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9==V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=99R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?;8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ37<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX51?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9=3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?:0\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ364X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX507Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?:2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T141^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX505Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^72<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T147^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ362X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^72>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=89R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?:8\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ36<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX50?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?90\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ354X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX537Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9?;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?92\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T171^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX535Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=;;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T177^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ352X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9?=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=;9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?98\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ35<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX53?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9?3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<8R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?80\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ344X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX527Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;<>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?82\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T161^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX525Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^70<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;<8P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T167^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ342X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^70>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?88\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ34<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX52?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>3V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;<Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<9R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?70\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;4X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5=7Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\91;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?72\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T191^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5=5Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?<T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;38P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=5;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T197^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;2X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\91=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=59R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?78\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5=?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\913V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<6R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?60\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:4X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<7Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90;V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;2>P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?62\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T181^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<5Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7><T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;28P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=4;R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T187^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:2X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7>>T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90=V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=49R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?68\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:<X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<?Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\903V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;2Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<7R.H]PVIRXFLU;"KH<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?R.H]PVIRXFLU;"IBV92f8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T1\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T212^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX656Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^479T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>=<R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T210^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ037X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:9>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>=:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<?5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ031X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX650Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:9<V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;;P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<?7\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T21:^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX65>Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^471T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;5P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>=S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=0\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T202^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX646Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^469T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8:=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><<R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T200^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ027X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^46;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<>5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ021X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX640Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8<V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8:;P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<>7\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T20:^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX64>Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^461T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8:5P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=1\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T232^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX676Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^459T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>?<R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T230^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ017X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^45;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:;>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>?:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ011X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX670Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:;<V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89;P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=7\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T23:^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX67>Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^451T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR895P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>?S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=2\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T222^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX666Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^449T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR88=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>><R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T220^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ007X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^44;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<<5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ001X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX660Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::<V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR88;P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<<7\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T22:^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX66>Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^441T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR885P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=3\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T252^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX616Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^439T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9<R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T250^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ077X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^43;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:=>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ071X(NWZXGXR@J_1,EB17<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX610Z&@UX^AZPND]3*AJ^1:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:=<V"DQ\RMV\J@Y7&OL?=6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?;P F_RPOPZHBW9$O@T7<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;7\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T25:^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX61>Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^431T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?5P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=4\,J[VTK\VDNS= IF538Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T242^*LYTZE^TBHQ?.ENZ=6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX606Z&@UX^AZPND]3*C@392_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^429T$BS^\CT^LF[5(CDP38j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8>=P F_RPOPZHBW9$MJ9?4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>8<R.H]PVIRXFLU;"IBV92d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T240^*LYTZE^TBHQ?.GD75>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ067X(NWZXGXR@J_1,GH\?4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^42;T$BS^\CT^LF[5(AN=;0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:<>V"DQ\RMV\J@Y7&MFR5>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>8:R.H]PVIRXFLU;"KH;1:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<:5\,J[VTK\VDNS= KLX;0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ061X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX60[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5=T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:?W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9:P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>:S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=7\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T29_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1<X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6<[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]51T$BS^\CT^LF[5(AN:l0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:T$BS^\CT^LF[5(CDP38h6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9;Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>>R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV=>]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[23^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ11Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_64Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^54U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:9V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9?Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>:R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV=:]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[27^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ15Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_60Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^50U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:=V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR93Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>6R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV=6]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[2;^*LYTZE^TBHQ?.GD0b>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ1^*LYTZE^TBHQ?.ENZ=6b<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX7X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX05[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]38T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<8W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?=P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8?S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;2\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T42_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY77X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX01[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3<T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<<W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?9P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8;S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;6\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T46_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY73X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX0=[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]30T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<0W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?5P F_RPOPZHBW9$MJ>h4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8P F_RPOPZHBW9$O@T7<d:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;?]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[42^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ72Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_07Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^35U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<8V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?8Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW8=R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;;]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[46^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ76Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_03Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^31U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<<V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?<Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW89R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;7]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[4:^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7:Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_0?Z&@UX^AZPND]3*C@4n2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^3Z&@UX^AZPND]3*AJ^1:n0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>9W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=<P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:<S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU91\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T63_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY56X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX26[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1;T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>=W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=8P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:8S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU95\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T67_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY52X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX22[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1?T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>1W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=4P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:4S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU99\,J[VTK\VDNS= IF2d8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T6\,J[VTK\VDNS= KLX;0`>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ4^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ53Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_26Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^16U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>;V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=9Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW:<R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV9<]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[61^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ57Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_22Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^12U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>?V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR==Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW:8R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV98]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[65^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ5;Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2>Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^1>U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>3V"DQ\RMV\J@Y7&OL8j6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=V"DQ\RMV\J@Y7&MFR5>j4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4=S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU70\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T80_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;5X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<7[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?:T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0:W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3?P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP49S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU74\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T84_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;1X(NWZXGXR@J_1,EB16<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<3[)AVYY@YQAE^2-@I_>;l1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?>T$BS^\CT^LF[5(AN=:0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0>W%ER]]LU]MAZ6)LES2?h5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3;P F_RPOPZHBW9$MJ9>4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP45S!I^QQHQYIMV:%HAW63d9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU78\,J[VTK\VDNS= IF528Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T88_-MZUUD]UEIR>!DM[:7`=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;=X(NWZXGXR@J_1,EB6`<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<X(NWZXGXR@J_1,GH\?4l2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?7U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0:V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3:Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4?R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV7=]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[80^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;0Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<5Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?3U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0>V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3>Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4;R.H]PVIRXFLU;"KH;0:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV79]/K\WWJSWGOT<#JCY81f?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[84^*LYTZE^TBHQ?.GD74>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;4Y+OX[[F_SCKP0/FO]<5b3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<1Z&@UX^AZPND]3*C@382_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^??U'CT__B[_OG\4+BKQ09n7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS02V"DQ\RMV\J@Y7&OL?<6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR32Q#GPSSNW[KCX8'NGU4=j;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW47R.H]PVIRXFLU;"KH<f:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV7R.H]PVIRXFLU;"IBV92f8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF318Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8585=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<=0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>24485=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<?0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>24685=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<90=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>24085=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<;0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>24285=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<50=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>24<85<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:<3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=324;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;:=3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=326;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;:?3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=320;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;:93<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=322;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;:;3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=32<;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;:53<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=32:73<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw488;2?;4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<002:73<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw48892?;4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<000:73<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw488?2?;4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<006:73<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw488=2?;4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<004:73<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw48832?;4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<00::72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4885>85Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~3122=60=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;9:;5>85Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~3120=60=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;9:95>85Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~3126=60=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;9:?5>85Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~3124=60=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;9:=5>85Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~312:=60=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;9:35>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~312<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<94996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2>40<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<;4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2>42<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<=4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2>44<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<?4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2>46<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<14996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2>48<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6<78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:0?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt972978>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:2?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt972;78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:4?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt972=78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:6?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt972?78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:8?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt972178?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?:>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos84076;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0<8>>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos84056;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0<8<>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos84036;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0<8:>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos84016;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0<88>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos840?6;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0<86>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8409:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=:>1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5279:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=:<1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5259:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=::1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5239:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=:81249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5219:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=:61249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?52?9:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=:0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<585=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:4<0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<785=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:4>0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<185=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:480=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<385=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:4:0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<=85=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:440=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2<;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;2<3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=3:5;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;2>3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=3:7;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;283<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=3:1;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;2:3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=3:3;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;243<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=3:=;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;22?=4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<0<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:5894996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=00<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:58;4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=02<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:58=4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=04<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:58?4996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=06<11>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:5814996[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=08<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:5878>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>0?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt946978>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>2?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt946;78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>4?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt946=78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>6?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt946?78>7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>8?06?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt946178?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<>>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos87476;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?<>>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos87456;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?<<>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos87436;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?<:>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos87416;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?<8>378Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos874?6;?0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?<6>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8749:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>>>1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?6679:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>><1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?6659:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>>:1249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?6639:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>>81249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?6619:<1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>>61249V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?66?9:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>>0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10585=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey698<0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10785=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey698>0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10185=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey69880=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10385=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey698:0=5:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10=85=2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey69840=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>10;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58><3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=065;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58>>3<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=067;423\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58>83<:;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=061;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58>2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<34=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;:>4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=8?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt94>6;90Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0?0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>04;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx59:2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<20=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;;:4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2<4?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9526;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0>81259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?7285<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6843<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=1::75<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4:4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2;0?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9266;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{09<1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?0685<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6?83<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=66:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4=<5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~346<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:3078?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1:6>318Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8185<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6><3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=72:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4<85>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~352<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:2<78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1;:>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8009:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz79:0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>6<;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5?22?=4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<4<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:1878?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|18>>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8349:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7:>0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>50;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5<>2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<74=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;>>4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}298?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt90>6;90Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0;0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>44;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5=:2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<60=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;?:4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}284?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9126;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0:81259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?3285<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6<43<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=5::75<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4>4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}270?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9>66;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{05<1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?<685<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6383<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=:6:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw41<5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~386<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:?078?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|166>318Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8=85<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey62<3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=;2:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4085>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~392<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:><78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|17:>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8<09:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz75:0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>:<;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5322?=4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<8<50>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$ERYFDUJ\=+sX|j==7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-J[ROC\AU2"xQ{c^2,]@UXD\^="XQCUU452>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$ERYFDUJ\=+sX|jU:945Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+wus=o1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/ua\r`e;87?m7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-wgZpbk5;5:<5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%HE1?1609V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=0=24=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M959>81^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA5>5:<5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%HE1;1609V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=4=24=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M919>81^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA525:=5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%O0=090:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J31?43?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/E>1:36<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@959>91^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)C4=4=<6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7938?;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#I29>728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=5=25=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-G8=81k2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\8T$LbE Z_LW[G\003\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_TJI\J679V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[1_-QZJR\?20Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR:V"XQWOSAZ2f=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_4[)OgB%YRCZXB[53>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX5X(RWONYI;84U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV?R.T]OQQ0?3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TTB\LY7a8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV669V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ@CZL<=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ9Q#[PLTV5<>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX6X(RWQEYOT8l;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU<]/EmL+SXE\RHU;94U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV=R.T]E@WC1>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\;T$^SA[[699V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[2_-QZ^HZJS=o6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP8P HnI,V[HS_KP<<7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PFEPF23=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_1[)]VF^X;64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV:R.T][KWE^>j1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S<W%KcF!U^OV\F_1?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\=T$^SKJ]E748Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ7^*PYK]]<37X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PXNP@]3e<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ22=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VLO^H89;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU9]/W\HPR102_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\>T$^SUA]CX4`?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY4Y+Ai@'_TAXVLY758Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ5^*PYAL[O=:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP;P Z_MWW2==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_2[)]VRD^NW97:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT8\,V[CBUM?<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR2V"XQCUU4;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY;Y+SXPFXHU;>4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$_1>1619V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<0<54>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.Q?6;073\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+V:46?:0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr([5>5:=5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X08090:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"]36?43?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>4:36<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W9>9=k1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]qwq0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W=S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W<S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W?S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W>S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W9S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W8S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W;S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W:S!U^DGV@0c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W5S!U^DGV@?43\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C3;OBR7&|Uym`l>8.W\VDKE91O:5i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE91ALX= z_scnf4>(]VXJAO?7E0]jjvski}o;5i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\8T$~Sobb24,QZTFEK9=5k5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\99:V"xQ}al`02*SXZHGI?;7i;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R;;=P z_scnf60(]VXJAO=99g9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P==<R.t]qehd4>&_T^LCM37;e?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V??3\,v[wgjj:<$YR\NMC15=c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T116^*pYuidh8:"[PR@OA73?a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z331X(rW{kfn>8 U^PBIG511o1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X550Z&|Uym`l<6.W\VDKE;?3m7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^77?T$~Sobb24,QZTFEK9=5k5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\992V"xQ}al`02*SXZHGI?;7i;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R;;5P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P==S!u^pbig51'\UYM@L<68d8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W<??]/w\vdke;?%^S_OBB24:b>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U>10_-qZtfek9=#XQ]AL@02<`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[031Y+sXzhgi?;!Z_SCNF60>n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y256[)}Vxjao=9/T]QEHD4>0l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_473U'T~lcm37-V[WGJJ:<2j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]69<W%yR|nmc15+PYUIDH8:4h4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S8;=Q#{Pr`oa73)RW[KFN>86f:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q:=:S!u^pbig51'\UYM@L<68d8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W<?7]/w\vdke;?%^S_OBB24:b>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U>18_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[03^*pYuidh8:"[PR@OA73?a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z314X(rW{kfn>8 U^PBIG511o1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X577Z&|Uym`l<6.W\VDKE;?3m7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^75:T$~Sobb24,QZTFEK9=5k5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\9;9V"xQ}al`02*SXZHGI?;7i;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R;98P z_scnf60(]VXJAO=99g9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P=?;R.t]qehd4>&_T^LCM37;e?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V?=6\,v[wgjj:<$YR\NMC15=c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T135^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z31Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y27X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X51[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_43Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^71U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]6?T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\91W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S83V"xQ}al`02*SXZHGI?;7k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R;V"xQ}al`02*SXZHGI?;7j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R8;Q#{Pr`oa73)RW[KFN>86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q9=P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P>?S!u^pbig51'\UYM@L<68g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W?=R.t]qehd4>&_T^LCM37;f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V<;]/w\vdke;?%^S_OBB24:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U=5\,v[wgjj:<$YR\NMC15=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T27_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[35^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z0;Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y1=X(rW{kfn>8 U^PBIG511m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X6X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X75[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_67Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^55U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]4;T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\;=W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S:?V"xQ}al`02*SXZHGI?;7j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R9=Q#{Pr`oa73)RW[KFN>86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q8;P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P?5S!u^pbig51'\UYM@L<68g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W>7R.t]qehd4>&_T^LCM37;g?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V=R.t]qehd4>&_T^LCM37;f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V:?]/w\vdke;?%^S_OBB24:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U;1\,v[wgjj:<$YR\NMC15=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T43_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[51^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z67Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y71X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X03[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_11Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^2?U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]31T$~Sobb24,QZTFEK9=5i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\<T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\=9W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S<;V"xQ}al`02*SXZHGI?;7j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R?9Q#{Pr`oa73)RW[KFN>86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q>?P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P99S!u^pbig51'\UYM@L<68g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W8;R.t]qehd4>&_T^LCM37;f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V;9]/w\vdke;?%^S_OBB24:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U:7\,v[wgjj:<$YR\NMC15=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T59_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[4;^*pYuidh8:"[PR@OA73?c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z7^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z43Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y55X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X27[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_35Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^03U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]1=T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\>?W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S?=V"xQ}al`02*SXZHGI?;7j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R<3Q#{Pr`oa73)RW[KFN>86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q=5P z_scnf60(]VXJAO=99e9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P:P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P;=S!u^pbig51'\UYM@L<68g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W:?R.t]qehd4>&_T^LCM37;f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V9=]/w\vdke;?%^S_OBB24:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U83\,v[wgjj:<$YR\NMC15=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T75_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[67^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z55Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y43X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X3=[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_2?Z&|Uym`l<6.W\VDKE;?3o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^1Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^>7U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]?9T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\0;W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S19V"xQ}al`02*SXZHGI?;7j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R2?Q#{Pr`oa73)RW[KFN>86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[N1)]VIXSO\NM^ABWFGCMVA<#DQKEQVK[IRBYV99G#[PSCPBIZ6(AVH8:FI[0/E\VDKE;?Q39P z_scnf60(]VXJAO=99d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZM0&\UH_RL]AL]@EVEFLLU@;"GPDDRWLZJSMXU8>F Z_R@QEHY7'@UI?;EHT1,D[WGJJ:<P4;S!u^pbig51'\UYM@L<68g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYL?'_TO^QMR@O\GDUDIMOTG:!F_EGSPMYK\L[T??E!U^QAVDKX8&CTN>8DGU2-CZTFEK9=W59R.t]qehd4>&_T^LCM37;f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OXC>$^SN]PBSCN[FGTKHNNSF9 I^FFTQNXD]OZS><D.T]PFWGJW9%BSO=9KFV3*BYUIDH8:V67]/w\vdke;?%^S_OBB24:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWB=%YRM\_CPBIZEF[JKOIRE8/H]GAUROWE^N]R==K/W\WGTFEV:$ERL<6JEW4+AXZHGI?;U79\,v[wgjj:<$YR\NMC15=a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T8\,v[wgjj:<$YR\NMC15=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVA<"XQLS^@QEHYDIZIJHHQD7.K\@@VS@VF_I\Q<2J,V[VDUIDU;#DQM37IDP5(@W[KFN>8T91_-qZtfek9=#XQ]AL@02<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@U@;#[PCR]AVDKXKHYHMIKPK6-J[ACW\AUGXH_P33I-QZUEZHGT<"GPB24HCQ6)OVXJAO=9[83^*pYuidh8:"[PR@OA73?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CTG: Z_BQ\FWGJWJKXOLJJ_J5,MZBBX]BT@YK^_20H*PYTJ[KFS=!F_C15OBR7&NUYM@L<6Z;1Y+sXzhgi?;!Z_SCNF60>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BSF9!U^AP[GTFEVIJ_NOKE^I4+LYCMY^CSAZJQ^11O+SX[KXJAR> I^@02NAS8'MT^LCM37Y:7X(rW{kfn>8 U^PBIG511l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ERE8.T]@WZDUIDUHM^MNDD]H3*OXLLZ_DRB[EP]06N(RWZHYM@Q?/H]A73M@\9$LS_OBB24X=1[)}Vxjao=9/T]QEHD4>0o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQD7/W\GVYEZHGTOL]LAEG\O2)NWMO[XEQCTDS\77M)]VYI^LCP0.K\F60LO]:%KR\NMC15_<3Z&|Uym`l<6.W\VDKE;?3n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPK6,V[FUXJ[KFSNO\C@FF[N1(AVNN\YFPLUGR[64L&\UXN_OB_1-J[G51CN^;"JQ]AL@02^?1U'T~lcm37-V[WGJJ:<2i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_J5-QZETWKXJARMNSBCGAZM0'@UOI]ZG_MVFUZ55C'_T_O\NM^2,MZD4>BM_<#IPR@OA73]>?T$~Sobb24,QZTFEK9=5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^I4*PYD[VHYM@QLARAB@@YL?&CTHH^[H^NWATY4:B$^S^L]AL]3+LYE;?ALX= H_SCNF60\11W%yR|nmc15+PYUIDH8:4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]H3+SXKZUI^LCPC@Q@EACXC>%BSIK_TI]OP@WX;;A%YR]MR@O\4*OXJ:<@KY>!G^PBIG51S03V"xQ}al`02*SXZHGI?;7k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\O2(RWJYTN_OB_BCPGDBBWB=$ERJJPUJ\HQCVW:8@"XQ\BSCN[5)NWK9=GJZ?.F]QEHD4>R3V"xQ}al`02*SXZHGI?;;k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE:==;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE:==?:d:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD=<?:e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD=<?>5e9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC<?=5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC<?=14f8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB?>34g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB?>307g?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA>157f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA>1536`>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@1076a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@10721a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO0351`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO03550b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N3230`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N323472l2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L5=72m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L5=76=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K4>5=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K4>59<n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J7?;<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J7?;8?o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI60=?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI60=;>h6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH91?>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH91?:9i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG82=9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG82==8j4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF;3;8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF;3;<;k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE:45;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE:45?:c:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:;k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;<;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;<>:f:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:??04d8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=81136b>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@363360`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N145552n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7277<<l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J5099?>j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>;;:8h4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<==9:f:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:??84d8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=811;6a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@36321c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO252553a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03476=o1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K6169;?m7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?8;89k5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=:=9;i;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;<?:5g9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9>177e?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<70341c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO2525=3a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M0347>=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K616:<l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J509;:>j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>;9=8h4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<=?<:f:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:?=34d8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=81366b>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@363110`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N145702n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7275?<l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J509;2>j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>;958k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<=>;i;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;<=?5g9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9>307e?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<70111`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO25200c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N14503b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03402m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7270=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K6160<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J5090?o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?;?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?;:>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>8:9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=9>8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<>>;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;?::e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:<:5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9=64g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=8267f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<73:6a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@360:1a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO2501`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO25040c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N14743b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03642m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7254=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K614<<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J50;<?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?:<>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>9<9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=858j4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<88k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<8=8?;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;9>PF728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=841]D1`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO25750c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N14073b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03152m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7223=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K613=<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J50<>?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?=2=<6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>>3SK8?;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;96PG4g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=8487g?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<747f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<7426a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@36721`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO25660c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N14163b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03022m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7232=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K612><o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J50=>?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?<2>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>?29i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:==9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:==<8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<:<;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;;<:e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:8<5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9944g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=8647f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<7746a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@36441`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO255<0c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N142<3c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M0323b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03262m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L7216=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K610:<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J50?:?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?>>>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>=>9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=<:8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<;:;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;:6:e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:965e9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>975d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9704g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=8807f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<7906a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@36:01`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO25;00c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N14<03b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M03=02m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L72>0=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\K61?0<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[J5000?o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?0?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZI4?0:>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>3:9h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=2>8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<5>;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;4::e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD?:7:5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC>9664g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB=8967f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA<78:6a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@36;:0c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ CH>24;2a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.AJ8479<o1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,GL:6:7>m7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN48958h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(K@6:29k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7>3:j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&IB0>0;e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1:14d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$OD2:>5g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#NG36?6f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"MF<6<7a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!LI=:=0`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ CH>::1b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>3:1c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>24;2b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?5483m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G8449<l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@9746=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:66=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:56=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:46=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:36=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:26=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:16=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:06=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:?6=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:>6<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]7U'MeD#[PMTZ@]02<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY3Y+SXNMXN9>5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR:V"XQCUU76?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT0\,V[]IUKP?j7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\99W%KcF!U^OV\F_2=2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_46Z&\UMH_K:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<>R.T]OQQ313\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX55[)]VRD^NW:a:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<?R.FlK*PYJ]QIR985Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;:Q#[PFEPF11=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ32Y+SXD\^>:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S8;V"XQWOSAZ1d=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ31Y+Ai@'_TAXVLY478Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU>2\,V[CBUM<>0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]6:T$^SA[[579V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV?=]/W\\JTDQ<?0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]6;T$^SKJ]E468Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU>3\,V[ISS=?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^74U'_TTB\LY4;8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU>]/EmL+SXE\RHU8:4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#[PFEPF16=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ3^*PYK]]?>7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\9T$^SUA]CX7:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT2\,DjM(RWD_SOT;;;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP>P Z_GFQA05<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+SXD\^>96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S;W%YRV@RB[6=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[2_-CkN)]VG^TNW:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW>S!U^DGV@343\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX7X(RWE__985Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR9V"XQWOSAZ1<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*BhO&\UFYUMV559V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV:R.T]E@WC2;2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_1[)]VF^X8;4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ?Q#[PXNP@]0?<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY6Y+Ai@'_TAXVLY468Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU:]/W\BATB=:1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^3Z&\UGYY;:;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP9P Z_YMQG\3>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX2X(@fA$^S@[WCX77?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT6\,V[CBUM<90Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_T@XZ:5:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW;S!U^ZLVF_212_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_2[)OgB%YRCZXB[60>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[6_-QZ@CZL?87X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\?T$^SA[[549V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV9R.T][KWE^=01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&NdC"XQBUYAZ11=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ:^*PYAL[O>?6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S1W%YRBZT478Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU7]/W\\JTDQ<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]>U'MeD#[PMTZ@]02<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXNMXN9>5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR3V"XQCUU76?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT9\,V[]IUKP>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;87>n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;994?i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T48;58h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5;929k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'Z6:?3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y7=3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y7>3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y7?3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y783:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y793:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y7:3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y7;3:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y743:k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y753:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;87>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?5;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|32?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmx7?3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;<7>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?1;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|36?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmx7;3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;07>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;87>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;97>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;:7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;;7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;<7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;=7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;>7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;?7>o7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;07>>7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo30?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99:58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=335;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==<1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe977;7><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3116=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;93:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5509<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99258:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=33=;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==0;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84776==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>10<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;92994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25683?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<?;>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69<4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<035:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=:0;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb847?6==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>18<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=314;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe975:7><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3131=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;983:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5739<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=6?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9;=58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=31<;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=?71479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9756==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>31<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489:2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>27783?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<=<>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;=4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<016:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:?;0;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84506==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>39<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48922984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>27;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=9>1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97397><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3150=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;??3:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5129<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?;5?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9=<58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=373;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=961469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97317>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo315<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48?;2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>21483?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<;=>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6=:4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<077:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:980;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84316==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>56<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48?32994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>21<83>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<;1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97187><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3173=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=>3:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5359<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?94?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9??58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=352;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=;91469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97107><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo317;=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>23583?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<9>>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6?;4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<050:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:;90;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84126==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>77<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48=<2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>23=83?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<96>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6?7><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3192=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;3=3:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5=49<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?73?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;91>58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3;1;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=581469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97??7><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo319:=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;353:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5=83?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<7?>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6184?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0;1:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:5>0;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84?36==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>94<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf483=2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2=283?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<77>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6104?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0;=00=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=034;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=?1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe947:7><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3211=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58;83:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6539<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<?6?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:9=58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=03<;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=71479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9476==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=11<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;:2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>15783?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0??<>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:59=4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<336:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69=;0;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87706==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=19<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;22984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>15;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>?>1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94597><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3230=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi589?3:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6729<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<=5?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:;<58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=013;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>?61469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94517>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo323<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;9;2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>17483?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?==>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5;:4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<317:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69?80;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87516==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=36<73>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;932994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>17<83>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?=1469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94387><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3253=02=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58?>3:8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6159<>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<;4?64?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:=?58:5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=072;203\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>991469V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94307><7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo325;=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58?2994U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>11583?2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?;>>558Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5=;4?;6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<370:11<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69990;7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87326==0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=57<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=05:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69;3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6=83>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?71449V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe949<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=?>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:497>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo333<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4:958;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=17:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6893:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?7383>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0>91479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe95?6=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2<9?66?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo341<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4=;58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=61:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6??3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?0183>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09;1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9216=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;7?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;<14?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<5;=00=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=73:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6>=3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1783>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j08=1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2:5?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;=?4?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<45=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5?32984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>6=;223\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k793:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?2583>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;?1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9056=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl293?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>=4?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<77=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5<=2984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>53;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7:50;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb83?9<<1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm181479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9176=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl281?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;?;4?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<61=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=?2984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>41;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;;0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8219<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm197>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:017>>7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo37?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;094?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<93=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5292984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;7;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7490;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8=39<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm169>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:??7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo389<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4135885Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=:=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53;2984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>:5;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k75?0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<59<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm17;>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:>=7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo397<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf40=58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;;:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6253::;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?=;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|30?6b?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7==0;a:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu48;58l5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_`fgv9756=k0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>27;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|31?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7>3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;;7>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?0;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|35?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7:3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;?7>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?<;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|39?67?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYbf8=0Y=!F_XGP[A(RW@FJ#XQKOTV1g>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'JG[S_K\EU15?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVCEXNO__G,V[FGW_L:8:6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]JJQEFXVL%YRMNPVG21f=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)AVDDS_^B.H]ZAVYHZLM%CXZPRDE65>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(NWGET^]C!U^OV\C12;2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHHB@J/H]ZAVJR\?$BSCAPRQO-QZUUD:8TM8=4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.H]MKZTWE'_T__B<2^@7<>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUFYU:7;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/QQHZW49=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="^\C_P>3:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJNDBH!F_XGPHPR1&ZXGS\2>>468Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]NQ]@0=?1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TO@^]ERGW+LY^MZF^X; F_OM\VUK)]VYY@><PA448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]PVI55WK>i7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMBPSGPAQ)NWPOX@XZ9.RPO[T56<j1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TO@^]ERGW+LY^MZF^X; \RM]R8583k2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHA]\JSDV,MZ_B[E__:#]]L^S?5;3f3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)AVDDS_^B.H]ZAVYHZLM%CXZPRDE66>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*LYIGVX[A#[PMTZE3ZGE=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'CTBBQ]PL,V[HS_N>UHH9h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VG^TK6:1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.H]MKZTWE'_T__B<2^C65>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*LYIGVX[A#[PSSN06ZD292_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&@UECR\_M/W\WWJ4:VI>=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RJ;a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[HS_?5:58l5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#]]L^OV\2:66==0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQBUY:7=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX9:0=0;9:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56484?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P128783?2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&ZXGS\2?>558Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYV484?;6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P>1:11<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ0>0:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW4)NWPOX@XZ9.H]MKZTWE'CTUH]POSGD*JSSW[OL9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#GPNN]QTH(RWD_SJ:QNB408Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,J[KIXZYG%YRCZXG5\GA2a3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX="GPYDQOQQ0)AVDDS_^B.T]NQ]@?=81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'CTBBQ]PL,V[VTK;;UJ9<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#GPNN]QTH(RWZXG??QM509V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S^\C33]@14=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_RPO77YC<h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@RCZX6>3:1g<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(TZEUFYU931?64?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT9&CTUH]CUU4-WWJXE\R3845Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S05969<01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@R_<1=3=0<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+UUDV[8=1<1469V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZW;87><7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\1.K\]@UK]]<%__BPQ=3=02=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+UUDV[7>3:8;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!SSN\U959<11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T_NK I^[FWISS>'_T__BL17G7=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYTKDZHI"GPYDQOQQ0)]VYY@><:1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]PGWUS'@URI^BZT7,J[KIXZYG%YRCZXG567>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYTK[Y_#DQVERNVP3(NWGET^]C!U^QQH64XI<90Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^S^M]SU-J[\CTD\^="DQAO^PSI+SX[[F8>RL;8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]PGWUS'@URI^BZT7,PVIYV;8>27X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR]LRRV,MZ_B[E__:#]]L^S?4;2>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VYH^^Z I^[FWISS>'YY@R_31?6;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZQDM&CTUH]CUU4-QZUUDJ;=I9o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_VJ@IUEB'@URI^BZT7,V[VTK;;>j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRYCCLR@A*OXQLYGYY8!U^QQH645i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#^MAT^AF6g=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&YHBYQLMQ0f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ([JD_SNC__BG1`>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'ZIEXRMBP^S1`>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'ZIEXR\JSDV75>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw8583:2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%HM]QNDEP\j`~s48:58?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1?>>508Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>26;253\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;9:4?=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0<0;1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<3<75>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw868392_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%HM]QNDEP\j`~s4=4?=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr080;1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<7<75>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw828392_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%HM]QNDEP\j`~s414?=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr040;a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#HPSDNX4X(RWZOG8o5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^2\PJY1<B$MS^KC[02^*PYTME>i7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU>1\,V[VCK<k1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(AWZOGW<<R.T]PAI2e3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT<RZ@_76H*CYTMEQ:?P Z_RGO0g=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS8>V"XQ\EM6a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]6=T$^S^KC4`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_4[)]VYN@9o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ0^*PYTME>j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU<]/W\W@J3i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP8P Z_RGO0d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS<W%YR]JL5c8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^0Z&\UXIA:n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY4Y+SX[LF?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT8\,V[VCK<h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(AWZOGW4S!U^QFH1><]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-MZUUD'_T_HBW539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\8T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW=S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^6Z&\UbOL^PAEFQ16=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP==S!U^ABTZGCL[?<7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ33Y+SXKHZTMIJ]_LW[11=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP==S!U^k@EUYFLMX>?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY25X(RWJK[SLJKR458Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS8;V"XQLAQ]B@ATXE\R>86[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY25X(RW`IJ\ROKDS70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR;9Q#[PC@R\EABU=>1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T13_-QZEFXVKOH_QBUY77?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR;9Q#[PiBCS[DBCZ<90Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][01^*PYDIYUJHI\:7:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]6;T$^SNO__@FGVZKRP<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][01^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\9T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW<S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^7Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP>P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][3_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR8V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T3\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_6[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V=R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX0X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS=W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ6^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\=T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW8S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^3Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP:P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][7_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR<V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T7\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_2[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V9R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX<X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS1W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ:^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\1T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW4S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^?Z&\Ub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d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY3Y+Ai@'_TAXVLY4;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX4X(RWONYI864U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT0\,V[ISS=h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ;Q#[PXNP@]0`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\99W%KcF!U^OV\F_2i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR;;Q#[PFEPF1<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]68T$^SA[[5c9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY24X(RWQEYOT;i;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[03^*BhO&\UFYUMV5`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY25X(RWONYI874U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT10_-QZJR\<h0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=<S!U^ZLVF_2n2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR;9Q#IaH/W\IP^DQ<k0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=?S!U^DGV@3>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S88V"XQCUU7a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_44Z&\USC_MV5`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY27X(RWONYI874U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT12_-QZJR\<h0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=>S!U^ZLVF_2m2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR;V"J`G.T]NQ]E^=01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ:Q#[PFEPF1==R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_T@XZ:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ3^*PY_G[IR9h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU=]/EmL+SXE\RHU874U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT2\,V[CBUM<20Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP>P Z_MWW1d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]5U'_TTB\LY4g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX7X(@fA$^S@[WCX7:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_6[)]VLO^H;7;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[2_-QZJR\<k0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP?P Z_YMQG\3b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S=W%KcF!U^OV\F_212_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR>V"XQIDSG6<>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^2Z&\UGYY;n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[5_-QZ^HZJS>i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV;R.FlK*PYJ]QIR945Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU:]/W\BATB=11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PLTV6e>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^3Z&\USC_MV5d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY5Y+Ai@'_TAXVLY4;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX2X(RWONYI864U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT6\,V[ISS=h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ=Q#[PXNP@]0c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\?T$LbE Z_LW[G\3>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S>W%YRHKRD7;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_2[)]VF^X8o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT7\,V[]IUKP?n7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW5S!GoJ-QZKRPJS>56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV6R.T]E@WC202_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR2V"XQCUU7b?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_=[)]VRD^NW:e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ;^*BhO&\UFYUMV589V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY:Y+SXNMXN955Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU6]/W\HPR2i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR3V"XQWOSAZ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+V:76<90Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y7==0:3:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=32:05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;9;4>?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1?<>408Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?5;353\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)T4;4>>6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1=1539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/R>7:04<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;=7?97X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%X0;0:2:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=5=17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+V:?6<80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y753:n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+FO;994?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.AJ8479<h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!LI=31:1g<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2>3?6:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'JC7=3:6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+FO;:7>27X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/BK?7;2>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#NG34?6:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'JC793:6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+FO;>7>27X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/BK?3;2>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#NG38?6:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'JC753:7;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+A:76=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ D=33:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$H1?>>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L5;92974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,@9746=20Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ D=3=0==R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%O0?0;8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*B;;7>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/E>7:1><]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$H1;1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)C4?4?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.F?3;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#I27>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L535995Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_5[)OgB%YRCZXB[7b>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP<P Z_GFQA1c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV>R.T]OQQ373\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU?]/W\\JTDQ<?0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ33Y+Ai@'_TAXVLY428Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;;Q#[PFEPF0c=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW<>R.T]OQQ363\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU>0\,V[]IUKP?>7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY25X(@fA$^S@[WCX73?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:=P Z_GFQA1`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?>]/W\HPR292_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT10_-QZ^HZJS>96[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX57[)OgB%YRCZXB[64>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP=?S!U^DGV@2a3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU>2\,V[ISS=81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[00^*PY_G[IR9=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_45Z&\UMH_K;f:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9:W%YRBZT438Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;8Q#[PXNP@]02<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?R.FlK*PYJ]QIR8k5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)]VLO^H:j;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]6U'_T@XZ:0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9T$^SUA]CX77?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ9Q#IaH/W\IP^DQ=l0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ0^*PYAL[O?i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX6X(RWE__9=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_7[)]VRD^NW:4:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\;T$LbE Z_LW[G\2a3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU<]/W\BATB<l1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[2_-QZJR\<:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ1^*PY_G[IR995Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)OgB%YRCZXB[7b>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP8P Z_GFQA1c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV:R.T]OQQ373\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU;]/W\\JTDQ<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ7^*BhO&\UFYUMV4g9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S<W%YRHKRD6f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ>Q#[PLTV64>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP9P Z_YMQG\333\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU9]/EmL+SXE\RHU9h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^0Z&\UMH_K;e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\>T$^SA[[519V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S?W%YRV@RB[60>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP;P HnI,V[HS_KP>m7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY4Y+SXNMXN8h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_2[)]VF^X8>4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^1Z&\USC_MV559V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%KcF!U^OV\F_3n2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT8\,V[CBUM=o0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ:^*PYK]]?;7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY;Y+SXPFXHU8:4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^?Z&NdC"XQBUYAZ0c=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW4S!U^DGV@2b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU6]/W\HPR282_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT9\,V[]IUKP>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>3:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1??>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5;:2974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W9756=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=30:1><]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1?1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T4;4?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?7;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#^2;>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5?5855Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-P838302_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]37?6;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'Z632964U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W9?9;>1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRL]K114?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A:455Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[N1)]VIXSJOAD^QQHZM0'@U^_HQ]PL]FU5(RWNKEHR]]L^WMW@R?12_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^J@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_J5-QZETWNKEHR]]L^I4+LYR[LUY\@QJQ1,V[BGILVYY@R[ASDV;=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BSF9!U^AP[BGILVYY@RE8/H]VW@YUXDUN]= Z_FCM@ZUUDV_E_HZ<c:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}k7<3=l;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|h6:2>m4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsi585?n5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4:48o6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqg;<7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj6:<3:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2>1?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>26;263\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:6;7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj6:83:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2>5?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>22;273\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:66=:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5858=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0>0;0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm34?63?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>6:16<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg909<91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4>4?<6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li743:?;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in26>2f8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_2?>2g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_2>0?1f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oDIYUJHI\310<0a>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)nKHZTMIJ]<00=7`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aJK[SLJKR=30:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>2:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>1:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>0:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>7:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>6:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>5:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>4:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>;:6b<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>::6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2?>2c8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6:<3=n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;9848m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW8449;h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=30:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2>>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]692>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:46:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>7:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2:>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6=2>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:06:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>;:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY26>3d8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWZIEXRMBP^S1=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\U_^DI=a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M;>l5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\PWO@9::0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZNDEYUZ?=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\SFHSWEIF\R_=a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+REI\VIN>n5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.U@JQYOKDZ9j6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZNDEYUHI?k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-TGKRX@JG[S\<l;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,SFHSWEIF\?h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-TGKRXDJG[SNK=e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+REI\VFHA]Q^2d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2?>3g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)dnnUomyo31?0f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(komThlzn<3<1a>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=1=6`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&imkRjnt`>7:7c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?1;4b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$okiPd`vb8385m2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe919:l1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"mig^fbpd:?6;o0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!lff]geqg;178o7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B iBCS[RTXMG8n7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B iUPJCBCUW@D9j6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/hVQMBABZVE^X?;4U1-J[\CTWM$^SDBN/T]QWQ)@W[Y_W=S!U^PPP73<]9%BSTK\_E,V[LJF'\UY_Y!H_SQW_4[)]VXXX?;4U1-J[\CTWM$^SDBN/T]QWQ)@W[Y_W?S!U^PPP73<]9%BSTK\_E,V[LJF'\UY_Y!H_SQW_6[)]VXXX?;4U1-J[\CTWM$^SDBN/T]QWQ)@W[Y_W9S!U^PPP73<]9%BSTK\_E,V[LJF'\UY_Y!H_SQW_0[)]VXXX?;4U1-J[\CTWM$^SDBN/T]QWQ)@W[Y_W;S!U^PPP73<]9%BSTK\_E,V[LJF'\UY_Y!H_SQW_2[)]VXXX??4U1-J[\CTWM$^SDBN/T]QWQ)OIE^T|xb|e0c8Q5)NWPOXSI Z_HNB+PYU[]%[C_<>;T2,MZ_B[VN%YRGCA.W\VVR(X[OT^H]JT102?P6(AVSN_RJ!U^KOE*SXZZ^$\_KPRDQFP44>3\:$ERWJS^F-QZOKI&_T^^Z U^CQIZ^AM[%^SNBJAS0;?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X?74U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS8;30Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PDNWW563<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_TKH@PDDNB]^7Z&\UMH>;4U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV<R.T]E@63<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_TKH@PDDNB]^5Z&\UMH>;4U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV:R.T]E@7?<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_T^KJ]E3;8Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SX\ONYI?j4U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.fjjZjf|jbnh?84U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.k@HW4>3\:$ERWJS^F-QZOKI&_T^^Z U^CQIZ^AM[%bHD@30?0:?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)nL@D7=3<8;T2,MZ_B[VN%YRGCA.W\VVR(]VKYARVIES-j@JSS:l1^<"GPYDQ\@+SXAEK$YR\\T.W\EWKXPOOY#dJ@UU]gh|:76;o0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"gKOTV\`i;978n7X> I^[FWZB)]VCGM"[PRRV,QZGUEVRMI_!fDNWW[aj~4;49i6[?/H]ZAVYC&\UB@L!Z_SQW+PYFZDUSJH\ iEMVPZbkq5>5>l5Z0.K\]@UXL'_TEAO U^PPP*SX@HF_SUHJR.W\GICFZ;30Y=!F_XGP[A(RW@FJ#XQ]SU-V[MGK\VRMI_!Z_EMVP7g<]9%BSTK\_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SIAZT10b?P6(AVSN_RJ!U^KOE*SXZZ^$YRFNLU][B@T(]VNDYY?<6:W3+LY^MZUO"XQFL@-V[WUS'\UCMAZPXGGQ+PY@MGUOIAOV[0_-QZ@C;?1^<"GPYDQ\@+SXAEK$YR\\T.W\LDJSWQLN^"[PGDL\@@JFQR8V"XQID3c8Q5)NWPOXSI Z_HNB+PYU[]%^SEOCT^ZEAW)RW[LO^H<n;T2,MZ_B[VN%YRGCA.W\VVR(]VBJ@YQWFDP,QZRAL[O9i6[?/H]ZAVYC&\UB@L!Z_SQW+PYOIE^TTKK]/ekm[igskaoo>:5Z0.K\]@UXL'_TEAO U^PPP*SX@HF_SUHJR.k@HW4f3\:$ERWJS^F-QZOKI&_T^^Z U^JBHQY_NLX$eIGA<1<1e>S7'@URI^QK.T]JHD)RW[Y_#XQGAMV\\CCU'`NBB1?1299V4*OXQLYTH#[PIMC,QZTT\&_TDLB[_YDFV*oCG\^9j6[?/H]ZAVYC&\UB@L!Z_SQW+PYOIE^TTKK]/hFLQQYcdp6;2?h4U1-J[\CTWM$^SDBN/T]QWQ)RWAKGXRVIES-j@JSSWmfr0?0>c:W3+LY^MZUO"XQFL@-V[WUS'\U[C_?j;T2,MZ_B[VN%YRGCA.W\VVR(]VZY_Y>>e:W3+LY^MZUO"XQFL@-V[WUS'\U[^^Z>1e9V4*OXQLYTH#[PIMC,QZTT\&_T^^Z?219V4*OXQLYTH#[PIMC,QZTT\&cYI^K[<1<12>S7'@URI^QK.T]JHD)RWZ^JX"OLTSGPAQYw}eyn><5Z0.K\]@UXL'_TEAO U^QWEQ)FK]Uoyo{209V4*OXQLYTH#[PIMC,QZUSI]%J^@Qksucw63=R8&CTUH]PD/W\MIG(]VY_MY!LAQ]QAVCSWmfr=?>4U1-J[\CTWM$^SDBN/T]PPDR(L@X_URJ?219V4*OXQLYTH#[PIMC,QZUSI]%OE_ZV_E315>S7'@URI^QK.T]JHD)RWZ^JX"JFRU[\W@J5;2_;#DQVER]G*PYNDH%^S^ZNT.FJVQ_Xlz~jx?>4U1-J[\CTWM$^SDBN/T]PPDR(LZ^JX1:1249V4*OXQLYTH#[PIMC,QZUSI]%O_YO[_dl\`i6:<1^<"GPYDQ\@+SXAEK$YR][AU-GWQGSWldThaw=249V4*OXQLYTH#[PIMC,QZUSI]%O_YO[_dl\`i4:;1^<"GPYDQ\@+SXAEK$YR][AU-GWQGSWeejh?o4U1-J[\CTWM$^SDBN/T]PPDR(MQ^HM]Q]E@FZ[ausi}897X> I^[FWZB)]VCGM"[PSUCW+CSKDVnxxlz<0:W3+LY^MZUO"XQFL@-V[VRF\&LT_^ZNTZ2^*LYT\H^%YR][AU12?P6(AVSN_RJ!U^KOE*SX[]K_#KQ\SUCW_46Z&@UXXLZ!U^QWEQ573\:$ERWJS^F-QZOKI&_T_YO[/G]PWQGSS8W%ER][AU,V[VRF\::0Y=!F_XGP[A(RW@FJ#XQ\T@V,BZUT\H^P>P F_RVBP+SX[]K_?=5Z0.K\]@UXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ<0:W3+LY^MZUO"XQFL@-V[VRF\&LT_^ZNTZ6^*LYT\H^%YR][AU13?P6(AVSN_RJ!U^KOE*SX[]K_#KQ\SUCW_0[)AVY_MY Z_RVBP66<]9%BSTK\_E,V[LJF'\UXXLZ F^QPPDR\>T$BS^ZNT/W\WQGS;91^<"GPYDQ\@+SXAEK$YR][AU-E[VUSI]Q<Q#GPSUCW*PYT\H^8<6[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV6R.H]PPDR)]VY_MY=?;T2,MZ_B[VN%YRGCA.W\WQGS'OUX_YO[[8_-MZUSI]$^S^ZNT378Q5)NWPOXSI Z_HNB+PYT\H^$KR@\[1_-QZHTY;<0Y=!F_XGP[A(RW@FJ#XQ\T@V,CZHTS8:V"XQASP05?P6(AVSN_RJ!U^KOE*SX[]K_#JQASZ32Y+SXFZ[9:6[?/H]ZAVYC&\UB@L!Z_RVBP*AXFZQ:>P Z_OQR63=R8&CTUH]PD/W\MIG(]VY_MY!H_OQX56[)]VDX]?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW<S!U^LPU73<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_7[)]VDX]?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW>S!U^LPU73<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_1[)]VDX]?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW8S!U^LPU73<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_3[)]VDX]?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW:S!U^LPU73<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_=[)]VDX]?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW4S!U^LPU7g<]9%BSTK\_E,V[LJF'\UXXLZ Mlw{[AOU\PUoyo{1000?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp969:=1^<"GPYDQ\@+SXAEK$YR][AU-MWZbt|h~7==0=4:W3+LY^MZUO"XQFL@-V[VRF\&DXSi}{au>25;433\:$ERWJS^F-QZOKI&_T_YO[/OQ\`vrf|5;92?:4U1-J[\CTWM$^SDBN/T]PPDR(FZUoyo{<01=66=R8&CTUH]PD/W\MIG(]VY_MY!AS^fppdr;97887X> I^[FWZB)]VCGM"[PSUCW+KUXlz~jx1<1229V4*OXQLYTH#[PIMC,QZUSI]%E_Rj|t`v?7;443\:$ERWJS^F-QZOKI&_T_YO[/OQ\`vrf|5>5>>5Z0.K\]@UXL'_TEAO U^QWEQ)I[Vnxxlz35?00?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp909::1^<"GPYDQ\@+SXAEK$YR][AU-MWZbt|h~7;3<<;T2,MZ_B[VN%YRGCA.W\WQGS'GYTh~znt=:=66=R8&CTUH]PD/W\MIG(]VY_MY!AS^fppdr;17;m7X> I^[FWZB)]VCGM"[PSUCW+KUXdfko>;5Z0.K\]@UXL'_TEAO U^QWEQ)T\H^NSi}{au>3:70<]9%BSTK\_E,V[LJF'\UXXLZ SUCWAZbt|h~7=3<?;T2,MZ_B[VN%YRGCA.W\WQGS']NDSckwt378Q5)NWPOXSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8n0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZGUE;<0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZGUEVmgyhQ|eu3`?P6(AVSN_RJ!U^KOE*SX[]K_#XQLR368Q5)NWPOXSI Z_HNB+PYT\H^$YRJFRU[\BAEB:=1^<"GPYDQ\@+SXAEK$YR][AU-V[AOU\PUMH]K=3:W3+LY^MZUO"XQFL@-V[VRF\&_THD\[Y^NGG6c<]9%BSTK\_E,V[LJF'\UXXLZ U^FNQ]2(]VIXS@[W/H1-QZKRP1%FaxvPO^0\b25e3\:$ERWJS^F-QZOKI&_T_YO[/T]GIP^3'\UH_RCZX.K0*PYJ]Q2$A`{w_N]07g=R8&CTUH]PD/W\MIG(]VY_MY!Z_EOV\1)RWJYTAXV I2,V[HS_0&GfyuQ@_53g?P6(AVSN_RJ!U^KOE*SX[]K_#XQKSM05?P6(AVSN_RJ!U^KOE*SX[]K_#XQKSM3,QZEKMHX996[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZF:#XQKOTV12>S7'@URI^QK.T]JHD)RWZ^JX"[PDRN2+PYCG\^;>;5Z0.K\]@UXL'_TEAO U^QWEQ)RWMYG="[PDNWW564<]9%BSTK\_E,V[LJF'\UXXLZ U^FPH4)RWNOESIKCAXY2Y+SXNM8=7X> I^[FWZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG12>S7'@URI^QK.T]JHD)RWZ^JX"[PDRN2+PYSNMXN>l5Z0.K\]@UXL'_TEAO U^QWEQ)RWMYG="jfn^nbpfnbl;90Y=!F_XGP[A(RW@FJ#XQ\T@V,QZBTD8%bOA\=6:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/hFJJ969:?1^<"GPYDQ\@+SXAEK$YR][AU-V[AUK9&cOEC2>>3`8Q5)NWPOXSI Z_HNB+PYT\H^$YRJ\L0-j@JSSWmfr0=0=b:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/hFLQQYcdp6:2<k4U1-J[\CTWM$^SDBN/T]PPDR(]VNX@??j;T2,MZ_B[VN%YRGCA.W\WQGS'\UO_A=>d:W3+LY^MZUO"XQFL@-V[VRF\&_TH^\>d:W3+LY^MZUO"XQFL@-V[VRF\&_TIN\=6:W3+LY^MZUO"XQFL@-V[VRF\&_TIN\Pgmwf[vcs9l1^<"GPYDQ\@+SXAEK$YR][AU-V[CSKD;=0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZ@RDEUl`xkPsdv0<>S7'@URI^QK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<=6;T2,MZ_B[VN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH989j7X> I^[FWZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L5464k2_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO033[C5d3\:$ERWJS^F-QZOKI&_T_YO[/T]NQ])RWJYTAXV I0,V[HS_:&GfyuQ@102\C6g<]9%BSTK\_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101b?P6(AVSN_RJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=<<<a:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8;8?l5Z0.K\]@UXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76<:i0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M251YA;j1^<"GPYDQ\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N320ZA4i2_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO0367`=R8&CTUH]PD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>6^QT46c<]9%BSTK\_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>17]PS45b3\:$ERWJS^F-QZOKI&_T_YO[/T]NQ])RWJYTAXV I0,V[HS_:&GfyuQ@104\WR44i2_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO0347<=R8&CTUH]PD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<389V4*OXQLYTH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;??o5Z0.K\]@UXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J73WO9i7X> I^[FWZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L51Y@;01^<"GPYDQ\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N367<=R8&CTUH]PD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?9389V4*OXQLYTH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;<?45Z0.K\]@UXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J7>9m1^<"GPYDQ\@+SXAEK$YR][AU-V[KUK;81^<"GPYDQ\@+SXAEK$YR][AU-V[WCTM]UNHJK I^J1H+SXLF__>n5Z0.K\]@UXL'_TEAO U^QWEQ)RW[OXIYQJDFG,QZBH]]:9o6[?/H]ZAVYC&\UB@L!Z_RVBP*SXZLYNXRKKGD-V[AIR\88o7X> I^[FWZB)]VCGM"[PSUCW+PYUMZO_SHJHE.kGKPR;878o7X> I^[FWZB)]VCGM"[PSUCW+PYUMZO_SHJHE.kGKPR;978;7X> I^[FWZB)]VCGM"[PSUCW+PYUAZCEK??4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYMBD318Q5)NWPOXSI Z_HNB+PYT\H^$YR][AUAN@Zh582_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSM98;7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^N=?64U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-@M979:11^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"MF<3<1<>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'JC7?3<7;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,GL:36;20Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=7=6==R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&IB0;0=8:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;?7837X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>;:7><]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%HE171269V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*B;878<7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ D=3=62=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&N7>3<8;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,@959:>1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"J34?04?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5?5>:5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?2;403\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$H191269V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*B;078<7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ D=;=76=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&MP<P HnI,V[HS_KP8n7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ2^*PYAL[O9h6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^9j6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXPFXHU>=4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[1a>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ:Q#[PFEPF6a=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&MP=P Z_MWW6c=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&MP=P Z_YMQG\543\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV<R.FlK*PYJ]QIR>h5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX6X(RWONYI?j4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-D_7[)]VF^X?h4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-D_7[)]VRD^NW<3:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]4U'MeD#[PMTZ@]7c<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^DGV@4c3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV=R.T]OQQ4a3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV=R.T][KWE^;:1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT4\,DjM(RWD_SOT<j;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^2Z&\UMH_K=d:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=f:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_TTB\LY218Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S<W%KcF!U^OV\F_5m2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU:]/W\BATB:m1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT5\,V[ISS:o1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT5\,V[]IUKP987X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ4^*BhO&\UFYUMV2d9V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*A\>T$^SKJ]E3f8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S?W%YRBZT3d8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S?W%YRV@RB[07>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ<Q#IaH/W\IP^DQ;o0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZ@CZL8o7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ5^*PYK]]8m7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ5^*PY_G[IR?>5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX<X(@fA$^S@[WCX0f?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR2V"XQIDSG1`>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PLTV1b>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PXNP@]7c<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW4S!U^DGV@4c3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV7R.T]OQQ4a3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV7R.T][KWE^:>1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"]30?04?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR([5;5>:5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?6;403\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$_1=1269V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*U;<78<7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ S=7=62=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&Y7:3<8;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,W919:>1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"]38?04?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR([535=i5Z0.K\]@UXL'_TEAO U^QWEQ)RW]ND><5Z0.K\]@UXL'_TEAO U^QWEQ)RW]XBKJK]2`9V4*OXQLYTH#[PIMC,QZUSI]%^SY\FGFGQ[bjrmVynx?>4U1-J[\CTWM$^SDBN/T]PPDR(aZ^JX1>1209V4*OXQLYTH#[PIMC,QZUSI]%b_YO[<02=65=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW848582_;#DQVER]G*PYNDH%^S^ZNT.kPPDR;:78;7X> I^[FWZB)]VCGM"[PSUCW+lUSI]682?>4U1-J[\CTWM$^SDBN/T]PPDR(aZ^JX1:1219V4*OXQLYTH#[PIMC,QZUSI]%b_YO[<4<14>S7'@URI^QK.T]JHD)RWZ^JX"g\T@V?2;473\:$ERWJS^F-QZOKI&_T_YO[/hQWEQ:06;:0Y=!F_XGP[A(RW@FJ#XQ\T@V,mVRF\525>=5Z0.K\]@UXL'_TEAO U^QWEQ)n[]K_040=3:W3+LY^MZUO"XQFL@-V[VRF\&cXXLZLME]@A73<]9%BSTK\_E,V[LJF'\UXXLZ iRVBPFKCWJOTb?:4U1-J[\CTWM$^SDBN/T]PPDR(aZ^JXRMAT=2=61=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW[FHS484986[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^TOCZ32?07?P6(AVSN_RJ!U^KOE*SX[]K_#d][AU]@JQ:46;>0Y=!F_XGP[A(RW@FJ#XQ\T@V,mVRF\VIEX1:1259V4*OXQLYTH#[PIMC,QZUSI]%b_YO[_BLW8085<2_;#DQVER]G*PYNDH%^S^ZNT.kPPDRXKG^7:3<;;T2,MZ_B[VN%YRGCA.W\WQGS'`Y_MYQLNU>4:72<]9%BSTK\_E,V[LJF'\UXXLZ iRVBPZEI\525>95Z0.K\]@UXL'_TEAO U^QWEQ)n[]K_SN@[<8<13>S7'@URI^QK.T]JHD)RW]XBK"HPNN]WGHE)]VLO^>=4U1-J[\CTWM$^SDBN/T]WVLA(]V^H#DQ\TNPBC@YV]HF%YRMNP^@01>S7'@URI^QK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXLES<j6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(AVDFYRK^1/W\mAIR\&CTUH]CUU4-QZUUDJ;=I;74U1-J[\CTWM$^SDBN/T]WVLA(]V^H#DQ\TNPBC@YV]HF%YR][OSCDAZWRIE%BS^\CT^LF[4(NWGG^S<Q[O^6-QZRDD&CTCXZ]EF,V[AIR\<l0Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRD'@UXXB\NGD]RQDJ)]VY_C_OHE^SVEI)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*oCG\^>n6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PWO@Y=90Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRD'@UXXB\NGD]RQDJ)]VY_C_OHE^SVEI)n\[CLKH\8b:W3+LY^MZUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(AVDFYRK^1/W\mAIR\&CTUH]CUU4-QZUUDJ;=I;;4U1-J[\CTWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*OXG\^YIJ Z_EMVP0d<]9%BSTK\_E,V[LJF'\U_^DI U^V@+LYS[L[TBH_=.T]WGZCV]H^BCC!F_RPOPZHBW8$BSCCZ_0]WKZ2)]V^H@"gKOTV63>S7'@URI^QK.T]JHD)RW]XBK"[PTB-J[QUBYVDN]? Z_UA\ATSF\@EE#DQ\RMV\J@Y6&@UEAXQ>_UM\0+SX\[CL]>h4U1-J[\CTWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)n\[CLKH\=5:W3+LY^MZUO"XQFL@-V[QTNO&_TXN!fC@RWQWC512_;#DQVER]G*PYNDH%^SY\FG.W\PF)nKOMTHLZN<1<0`>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC I^O@[KI)]VDDS@MPRDE03>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+AIR\V~f|lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T1\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T2\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T3\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T4\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T5\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T6\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T?T7\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=474U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T<T0\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@U@;#[PCR]DEKB_WZXGSF9 G^EBJAYCDP8P=P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYL?'_TO^QHAOF[[VTKWB=$KRINNE]GH\4\:T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]H3+SXKZULMCJW_RPO[N1(OVMJBIQKLX0X7X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,CZAFFMUO@T<T4\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@U@;#[PCR]DEKB_WZXGSF9 G^EBJAYCDP8P9P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYL?'_TO^QHAOF[[VTKWB=$KRINNE]GH\4\>T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]H3+SXKZULMCJW_RPO[N1(OVMJBIQKLX0X3X(NW@DT^L@HE/W\CDHCWMFR>l=4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,QZETWNKEHR]]L^I4+LYR[LUY\@QJQ1,V[BGILVYY@R[ASDV;4>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[N1)]VIXSJOADY]PVIYL?&mjbiQklx3?4;>73\:$ERWJS^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OXC>$^SN]PG@LG\ZUUDVA<#joad^fo}4:661:0Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@U@;#[PCR]DEKB_WZXGSF9 g`lg[aj~95854=5Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ERE8.T]@WZAFFMRT__BPK6-dekbXles:0>070:W3+LY^MZUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_J5-QZETWNKEHUQ\RM]H3*affmUo`t?34?:3?P6(AVSN_RJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\O2(RWJYTKL@KX^QQHZM0'nkehRjcy0>6:=6<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYL?'_TO^QHAOF[[VTKWB=$kl`k_enz5909091^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVA<"XQLS^EBJA^X[[FTG:!haof\`i64>43<6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BSF9!U^AP[BGILQUX^AQD7.ebjaYcdp87<36?;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPK6,V[FUXOHDOTR]]L^I4+bgilVngu?2>>928Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]H3+SXKZULMCJW_RPO[N1(ohdoSibv2=0=<5=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZM0&\UH_RINNEZ\WWJXC>%lmcjPdm{1868?82_;#DQVER]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWB=%YRM\_FCM@]YTZEU@;"inne]gh|4;<72;7X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CTG: Z_BQ\CDHCPVYY@RE8/fcm`Zbkq;6>25>4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQD7/W\GVY@IGNSS^\C_J5,cdhcWmfr>181819V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^I4*PYD[VMJBIVPSSN\O2)`ignThaw=<6<73>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYHZLM%ERWJS^MQAB(RWFXNK><4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&ndyyQ{mq01?P6(AVSN_RJ!U^KOE*SX\[CL#y|fgBmm`Lh5;2_;#DQVER]G*PYNDH%^SY\FG.vqmbEhfmE~x<;4U1-J[\CTWM$^SDBN/hCQI4?<]9%BSTK\_E,V[LJF'`IJ\Y[]E0c8Q5)NWPOXSI Z_HNB+lEFXVNDBH<;;T2,MZ_B[VN%YRGCA.k@EUYI]DUXM@^CER>3:73<]9%BSTK\_E,V[LJF'`IJ\R@ZM^QBIUJB[5;;2?;4U1-J[\CTWM$^SDBN/hABTZHREVYJA]BJS=32:73<]9%BSTK\_E,V[LJF'`IJ\R@ZM^QBIUJB[5;92?;4U1-J[\CTWM$^SDBN/hABTZHREVYJA]BJS=30:72<]9%BSTK\_E,V[LJF'`IJ\R@ZM^QBIUJB[5;5>95Z0.K\]@UXL'_TEAO iBCS[KSJWZKF\AK\<3<10>S7'@URI^QK.T]JHD)nKHZTBXCPS@OSH@U;;78?7X> I^[FWZB)]VCGM"gLAQ]MQHYTIDZGI^2;>368Q5)NWPOXSI Z_HNB+lEFXVD^AR]NMQNFW939:=1^<"GPYDQ\@+SXAEK$eNO__OWN[VGJXEOX0;0=4:W3+LY^MZUO"XQFL@-jGDVXF\GT_LC_LDQ?3;433\:$ERWJS^F-QZOKI&cHM]QAUL]PEHVKMZ632?:4U1-J[\CTWM$^SDBN/hABTZHREVYJA]BJS=;=5`=R8&CTUH]PD/W\MIG(aJK[S^ZNTD>3:4c<]9%BSTK\_E,V[LJF'`IJ\R][AUG?5;463\:$ERWJS^F-QZOKI&cHM]Q[RHEDAWYH]]8;7X> I^[FWZB)]VCGM"gLAQ]TVZGCL[6;2??4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1??>338Q5)NWPOXSI Z_HNB+lEFXV]YSLJKR=32:77<]9%BSTK\_E,V[LJF'`IJ\RY]_@FGV9756;;0Y=!F_XGP[A(RW@FJ#dMNP^UQ[DBCZ5;82?>4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1?1219V4*OXQLYTH#[PIMC,mFGWW^XTMIJ]<3<14>S7'@URI^QK.T]JHD)nKHZT[_QNDEP?7;473\:$ERWJS^F-QZOKI&cHM]QXR^CG@W:36;:0Y=!F_XGP[A(RW@FJ#dMNP^UQ[DBCZ5?5>=5Z0.K\]@UXL'_TEAO iBCS[RTXIMNY0;0=0:W3+LY^MZUO"XQFL@-jGDVX_[UJHI\37?03?P6(AVSN_RJ!U^KOE*oDIYU\^ROKDS>;:76<]9%BSTK\_E,V[LJF'`IJ\RY]_@FGV9?99k1^<"GPYDQ\@+SXAEK$eNO__VP\AK7>3\:$ERWJS^F-QZOKI&cOMYO30?3a?P6(AVSN_RJ!U^KOE*oCI]K7==>11c9V4*OXQLYTH#[PIMC,mAGSI5;;=3?m;T2,MZ_B[VN%YRGCA.kGEQG;9985=o5Z0.K\]@UXL'_TEAO iECWE977;7;i7X> I^[FWZB)]VCGM"gKAUC?55299k1^<"GPYDQ\@+SXAEK$eIO[A=331;7e3\:$ERWJS^F-QZOKI&cOMYO3114=5g=R8&CTUH]PD/W\MIG(aMK_M1??7?3a?P6(AVSN_RJ!U^KOE*oCI]K7==611c9V4*OXQLYTH#[PIMC,mAGSI5;;53?n;T2,MZ_B[VN%YRGCA.kGEQG;994:n6[?/H]ZAVYC&\UB@L!fD@VB847768h0Y=!F_XGP[A(RW@FJ#dJNT@>25486j2_;#DQVER]G*PYNDH%bHLZN<031:4d<]9%BSTK\_E,V[LJF'`NJXL2>12<2f>S7'@URI^QK.T]JHD)nLH^J0<?;>0`8Q5)NWPOXSI Z_HNB+lBF\H6:=80>b:W3+LY^MZUO"XQFL@-j@DRF48;=2<l4U1-J[\CTWM$^SDBN/hFBPD:69>4:n6[?/H]ZAVYC&\UB@L!fD@VB847?68h0Y=!F_XGP[A(RW@FJ#dJNT@>25<86i2_;#DQVER]G*PYNDH%bHLZN<03=5g=R8&CTUH]PD/W\MIG(aMK_M1?=0?3a?P6(AVSN_RJ!U^KOE*oCI]K7=??11c9V4*OXQLYTH#[PIMC,mAGSI5;9>3?m;T2,MZ_B[VN%YRGCA.kGEQG;9;95=o5Z0.K\]@UXL'_TEAO iECWE975<7;i7X> I^[FWZB)]VCGM"gKAUC?57399k1^<"GPYDQ\@+SXAEK$eIO[A=312;7e3\:$ERWJS^F-QZOKI&cOMYO3135=5g=R8&CTUH]PD/W\MIG(aMK_M1?=8?3a?P6(AVSN_RJ!U^KOE*oCI]K7=?711`9V4*OXQLYTH#[PIMC,mAGSI5;92<l4U1-J[\CTWM$^SDBN/hFBPD:6;94:n6[?/H]ZAVYC&\UB@L!fD@VB845668h0Y=!F_XGP[A(RW@FJ#dJNT@>27786j2_;#DQVER]G*PYNDH%bHLZN<010:4d<]9%BSTK\_E,V[LJF'`NJXL2>35<2f>S7'@URI^QK.T]JHD)nLH^J0<=:>0`8Q5)NWPOXSI Z_HNB+lBF\H6:?;0>b:W3+LY^MZUO"XQFL@-j@DRF489<2<l4U1-J[\CTWM$^SDBN/hFBPD:6;14:n6[?/H]ZAVYC&\UB@L!fD@VB845>68k0Y=!F_XGP[A(RW@FJ#dJNT@>27;7e3\:$ERWJS^F-QZOKI&cOMYO3152=5g=R8&CTUH]PD/W\MIG(aMK_M1?;1?3a?P6(AVSN_RJ!U^KOE*oCI]K7=9<11c9V4*OXQLYTH#[PIMC,mAGSI5;??3?m;T2,MZ_B[VN%YRGCA.kGEQG;9=>5=o5Z0.K\]@UXL'_TEAO iECWE973=7;i7X> I^[FWZB)]VCGM"gKAUC?51099k1^<"GPYDQ\@+SXAEK$eIO[A=373;7e3\:$ERWJS^F-QZOKI&cOMYO315:=5g=R8&CTUH]PD/W\MIG(aMK_M1?;9?3b?P6(AVSN_RJ!U^KOE*oCI]K7=90>b:W3+LY^MZUO"XQFL@-j@DRF48?;2<l4U1-J[\CTWM$^SDBN/hFBPD:6=84:n6[?/H]ZAVYC&\UB@L!fD@VB843568h0Y=!F_XGP[A(RW@FJ#dJNT@>21686j2_;#DQVER]G*PYNDH%bHLZN<077:4d<]9%BSTK\_E,V[LJF'`NJXL2>54<2f>S7'@URI^QK.T]JHD)nLH^J0<;9>0`8Q5)NWPOXSI Z_HNB+lBF\H6:9:0>b:W3+LY^MZUO"XQFL@-j@DRF48?32<l4U1-J[\CTWM$^SDBN/hFBPD:6=04:m6[?/H]ZAVYC&\UB@L!fD@VB84399k1^<"GPYDQ\@+SXAEK$eIO[A=354;7e3\:$ERWJS^F-QZOKI&cOMYO3173=5g=R8&CTUH]PD/W\MIG(aMK_M1?92?3a?P6(AVSN_RJ!U^KOE*oCI]K7=;=11c9V4*OXQLYTH#[PIMC,mAGSI5;=83?m;T2,MZ_B[VN%YRGCA.kGEQG;9??5=o5Z0.K\]@UXL'_TEAO iECWE971>7;i7X> I^[FWZB)]VCGM"gKAUC?53199k1^<"GPYDQ\@+SXAEK$eIO[A=35<;7e3\:$ERWJS^F-QZOKI&cOMYO317;=5d=R8&CTUH]PD/W\MIG(aMK_M1?9>0`8Q5)NWPOXSI Z_HNB+lBF\H6:;=0>b:W3+LY^MZUO"XQFL@-j@DRF48=:2<l4U1-J[\CTWM$^SDBN/hFBPD:6?;4:n6[?/H]ZAVYC&\UB@L!fD@VB841468h0Y=!F_XGP[A(RW@FJ#dJNT@>23186j2_;#DQVER]G*PYNDH%bHLZN<056:4d<]9%BSTK\_E,V[LJF'`NJXL2>77<2f>S7'@URI^QK.T]JHD)nLH^J0<98>0`8Q5)NWPOXSI Z_HNB+lBF\H6:;50>b:W3+LY^MZUO"XQFL@-j@DRF48=22<o4U1-J[\CTWM$^SDBN/hFBPD:6?7;i7X> I^[FWZB)]VCGM"gKAUC?5=699k1^<"GPYDQ\@+SXAEK$eIO[A=3;5;7e3\:$ERWJS^F-QZOKI&cOMYO3190=5g=R8&CTUH]PD/W\MIG(aMK_M1?73?3a?P6(AVSN_RJ!U^KOE*oCI]K7=5:11c9V4*OXQLYTH#[PIMC,mAGSI5;393?m;T2,MZ_B[VN%YRGCA.kGEQG;91<5=o5Z0.K\]@UXL'_TEAO iECWE97??7;i7X> I^[FWZB)]VCGM"gKAUC?5=>99k1^<"GPYDQ\@+SXAEK$eIO[A=3;=;7f3\:$ERWJS^F-QZOKI&cOMYO319<2f>S7'@URI^QK.T]JHD)nLH^J0<7?>0`8Q5)NWPOXSI Z_HNB+lBF\H6:5<0>b:W3+LY^MZUO"XQFL@-j@DRF48392<l4U1-J[\CTWM$^SDBN/hFBPD:61:4:n6[?/H]ZAVYC&\UB@L!fD@VB84?368h0Y=!F_XGP[A(RW@FJ#dJNT@>2=086j2_;#DQVER]G*PYNDH%bHLZN<0;5:4d<]9%BSTK\_E,V[LJF'`NJXL2>96<2f>S7'@URI^QK.T]JHD)nLH^J0<77>0`8Q5)NWPOXSI Z_HNB+lBF\H6:540>a:W3+LY^MZUO"XQFL@-j@DRF4835=45Z0.K\]@UXL'_TEAO iECWE9799k1^<"GPYDQ\@+SXAEK$eIO[A=034;7e3\:$ERWJS^F-QZOKI&cOMYO3213=5g=R8&CTUH]PD/W\MIG(aMK_M1<?2?3a?P6(AVSN_RJ!U^KOE*oCI]K7>==11c9V4*OXQLYTH#[PIMC,mAGSI58;83?m;T2,MZ_B[VN%YRGCA.kGEQG;:9?5=o5Z0.K\]@UXL'_TEAO iECWE947>7;i7X> I^[FWZB)]VCGM"gKAUC?65199k1^<"GPYDQ\@+SXAEK$eIO[A=03<;7e3\:$ERWJS^F-QZOKI&cOMYO321;=5d=R8&CTUH]PD/W\MIG(aMK_M1<?>0`8Q5)NWPOXSI Z_HNB+lBF\H69==0>b:W3+LY^MZUO"XQFL@-j@DRF4;;:2<l4U1-J[\CTWM$^SDBN/hFBPD:59;4:n6[?/H]ZAVYC&\UB@L!fD@VB877468h0Y=!F_XGP[A(RW@FJ#dJNT@>15186j2_;#DQVER]G*PYNDH%bHLZN<336:4d<]9%BSTK\_E,V[LJF'`NJXL2=17<2f>S7'@URI^QK.T]JHD)nLH^J0??8>0`8Q5)NWPOXSI Z_HNB+lBF\H69=50>b:W3+LY^MZUO"XQFL@-j@DRF4;;22<o4U1-J[\CTWM$^SDBN/hFBPD:597;i7X> I^[FWZB)]VCGM"gKAUC?67699k1^<"GPYDQ\@+SXAEK$eIO[A=015;7e3\:$ERWJS^F-QZOKI&cOMYO3230=5g=R8&CTUH]PD/W\MIG(aMK_M1<=3?3a?P6(AVSN_RJ!U^KOE*oCI]K7>?:11c9V4*OXQLYTH#[PIMC,mAGSI58993?m;T2,MZ_B[VN%YRGCA.kGEQG;:;<5=o5Z0.K\]@UXL'_TEAO iECWE945?7;i7X> I^[FWZB)]VCGM"gKAUC?67>99k1^<"GPYDQ\@+SXAEK$eIO[A=01=;7f3\:$ERWJS^F-QZOKI&cOMYO323<2f>S7'@URI^QK.T]JHD)nLH^J0?=?>0`8Q5)NWPOXSI Z_HNB+lBF\H69?<0>b:W3+LY^MZUO"XQFL@-j@DRF4;992<l4U1-J[\CTWM$^SDBN/hFBPD:5;:4:n6[?/H]ZAVYC&\UB@L!fD@VB875368h0Y=!F_XGP[A(RW@FJ#dJNT@>17086j2_;#DQVER]G*PYNDH%bHLZN<315:4d<]9%BSTK\_E,V[LJF'`NJXL2=36<2f>S7'@URI^QK.T]JHD)nLH^J0?=7>0`8Q5)NWPOXSI Z_HNB+lBF\H69?40>a:W3+LY^MZUO"XQFL@-j@DRF4;95=o5Z0.K\]@UXL'_TEAO iECWE94387;i7X> I^[FWZB)]VCGM"gKAUC?61799k1^<"GPYDQ\@+SXAEK$eIO[A=076;7e3\:$ERWJS^F-QZOKI&cOMYO3251=5g=R8&CTUH]PD/W\MIG(aMK_M1<;4?3a?P6(AVSN_RJ!U^KOE*oCI]K7>9;11c9V4*OXQLYTH#[PIMC,mAGSI58?:3?m;T2,MZ_B[VN%YRGCA.kGEQG;:==5=o5Z0.K\]@UXL'_TEAO iECWE94307;i7X> I^[FWZB)]VCGM"gKAUC?61?99h1^<"GPYDQ\@+SXAEK$eIO[A=07:4d<]9%BSTK\_E,V[LJF'`NJXL2=51<2f>S7'@URI^QK.T]JHD)nLH^J0?;>>0`8Q5)NWPOXSI Z_HNB+lBF\H699?0>b:W3+LY^MZUO"XQFL@-j@DRF4;?82<l4U1-J[\CTWM$^SDBN/hFBPD:5==4:n6[?/H]ZAVYC&\UB@L!fD@VB873268k0Y=!F_XGP[A(RW@FJ#dJNT@>11;7f3\:$ERWJS^F-QZOKI&cOMYO327<2e>S7'@URI^QK.T]JHD)nLH^J0?911`9V4*OXQLYTH#[PIMC,mAGSI5832<o4U1-J[\CTWM$^SDBN/hFBPD:517;27X> I^[FWZB)]VCGM"gKAUC?6;7f3\:$ERWJS^F-QZOKI&cOMYO331<2e>S7'@URI^QK.T]JHD)nLH^J0>?11`9V4*OXQLYTH#[PIMC,mAGSI5992<o4U1-J[\CTWM$^SDBN/hFBPD:4;7;j7X> I^[FWZB)]VCGM"gKAUC?7186i2_;#DQVER]G*PYNDH%bHLZN<27=5d=R8&CTUH]PD/W\MIG(aMK_M1=9>0c8Q5)NWPOXSI Z_HNB+lBF\H68;3?n;T2,MZ_B[VN%YRGCA.kGEQG;;14:m6[?/H]ZAVYC&\UB@L!fD@VB86?9901^<"GPYDQ\@+SXAEK$eIO[A=1=5d=R8&CTUH]PD/W\MIG(aMK_M1:?>0c8Q5)NWPOXSI Z_HNB+lBF\H6?=3?n;T2,MZ_B[VN%YRGCA.kGEQG;<;4:m6[?/H]ZAVYC&\UB@L!fD@VB81599h1^<"GPYDQ\@+SXAEK$eIO[A=67:4g<]9%BSTK\_E,V[LJF'`NJXL2;5?3b?P6(AVSN_RJ!U^KOE*oCI]K78;0>a:W3+LY^MZUO"XQFL@-j@DRF4==5=l5Z0.K\]@UXL'_TEAO iECWE92?68k0Y=!F_XGP[A(RW@FJ#dJNT@>7=;7>3\:$ERWJS^F-QZOKI&cOMYO34?3b?P6(AVSN_RJ!U^KOE*oCI]K79=0>a:W3+LY^MZUO"XQFL@-j@DRF4<;5=l5Z0.K\]@UXL'_TEAO iECWE93568k0Y=!F_XGP[A(RW@FJ#dJNT@>67;7f3\:$ERWJS^F-QZOKI&cOMYO355<2e>S7'@URI^QK.T]JHD)nLH^J08;11`9V4*OXQLYTH#[PIMC,mAGSI5?=2<o4U1-J[\CTWM$^SDBN/hFBPD:2?7;j7X> I^[FWZB)]VCGM"gKAUC?1=86i2_;#DQVER]G*PYNDH%bHLZN<4;=5<=R8&CTUH]PD/W\MIG(aMK_M1;11`9V4*OXQLYTH#[PIMC,mAGSI5<;2<o4U1-J[\CTWM$^SDBN/hFBPD:197;j7X> I^[FWZB)]VCGM"gKAUC?2786i2_;#DQVER]G*PYNDH%bHLZN<71=5d=R8&CTUH]PD/W\MIG(aMK_M18;>0c8Q5)NWPOXSI Z_HNB+lBF\H6=93?n;T2,MZ_B[VN%YRGCA.kGEQG;>?4:m6[?/H]ZAVYC&\UB@L!fD@VB83199h1^<"GPYDQ\@+SXAEK$eIO[A=4;:4g<]9%BSTK\_E,V[LJF'`NJXL299?3:?P6(AVSN_RJ!U^KOE*oCI]K7:3?n;T2,MZ_B[VN%YRGCA.kGEQG;?94:m6[?/H]ZAVYC&\UB@L!fD@VB82799h1^<"GPYDQ\@+SXAEK$eIO[A=51:4g<]9%BSTK\_E,V[LJF'`NJXL283?3b?P6(AVSN_RJ!U^KOE*oCI]K7;90>a:W3+LY^MZUO"XQFL@-j@DRF4>?5=l5Z0.K\]@UXL'_TEAO iECWE91168k0Y=!F_XGP[A(RW@FJ#dJNT@>43;7f3\:$ERWJS^F-QZOKI&cOMYO379<2e>S7'@URI^QK.T]JHD)nLH^J0:71189V4*OXQLYTH#[PIMC,mAGSI5=5=l5Z0.K\]@UXL'_TEAO iECWE9>768k0Y=!F_XGP[A(RW@FJ#dJNT@>;5;7f3\:$ERWJS^F-QZOKI&cOMYO383<2e>S7'@URI^QK.T]JHD)nLH^J05=11`9V4*OXQLYTH#[PIMC,mAGSI52?2<o4U1-J[\CTWM$^SDBN/hFBPD:?=7;j7X> I^[FWZB)]VCGM"gKAUC?<386i2_;#DQVER]G*PYNDH%bHLZN<95=5d=R8&CTUH]PD/W\MIG(aMK_M167>0c8Q5)NWPOXSI Z_HNB+lBF\H6353?6;T2,MZ_B[VN%YRGCA.kGEQG;07;j7X> I^[FWZB)]VCGM"gKAUC?=586i2_;#DQVER]G*PYNDH%bHLZN<83=5d=R8&CTUH]PD/W\MIG(aMK_M17=>0c8Q5)NWPOXSI Z_HNB+lBF\H62?3?n;T2,MZ_B[VN%YRGCA.kGEQG;1=4:m6[?/H]ZAVYC&\UB@L!fD@VB8<399h1^<"GPYDQ\@+SXAEK$eIO[A=;5:4g<]9%BSTK\_E,V[LJF'`NJXL267?3b?P6(AVSN_RJ!U^KOE*oCI]K7550>a:W3+LY^MZUO"XQFL@-j@DRF4035=45Z0.K\]@UXL'_TEAO iECWE9?99k1^<"GPYDQ\@+SXAEK$eIO[A^FLQQ7f3\:$ERWJS^F-QZOKI&cYI^K[<1<2e>S7'@URI^QK.T]JHD)nZLYNX1?11`9V4*OXQLYTH#[PIMC,mWCTM]692<o4U1-J[\CTWM$^SDBN/hPFW@R;;7;j7X> I^[FWZB)]VCGM"g]ERGW8186i2_;#DQVER]G*PYNDH%b^H]JT=7=5d=R8&CTUH]PD/W\MIG(a[OXIY29>0c8Q5)NWPOXSI Z_HNB+lTB[L^7;3?m;T2,MZ_B[VN%YRGCA.kPPDRXLF__=45Z0.K\]@UXL'_TEAO iUPJCBCUj2_;#dJNT@>3:a=R8&cOMYO3112=`>S7'`NJXL2>00<g?P6(aMK_M1??2?f8Q5)nLH^J0<><>e9V4*oCI]K7==:1d:W3+lBF\H6:<80k;T2,mAGSI5;;:3j4U1-j@DRF48:<2i5Z0.kGEQG;9925h6[?/hFBPD:6804h7X> iECWE9776m1^<"gKAUC?5469l2_;#dJNT@>2548c3\:$eIO[A=326;b<]9%bHLZN<030:a=R8&cOMYO3106=`>S7'`NJXL2>14<g?P6(aMK_M1?>6?f8Q5)nLH^J0<?8>e9V4*oCI]K7=<61d:W3+lBF\H6:=40l;T2,mAGSI5;:2i5Z0.kGEQG;9;:5h6[?/hFBPD:6:84o7X> iECWE975:7n0Y=!fD@VB84446m1^<"gKAUC?5729l2_;#dJNT@>2608c3\:$eIO[A=312;b<]9%bHLZN<004:a=R8&cOMYO313:=`>S7'`NJXL2>28<`?P6(aMK_M1?=>e9V4*oCI]K7=>>1d:W3+lBF\H6:?<0k;T2,mAGSI5;8>3j4U1-j@DRF48982i5Z0.kGEQG;9:>5h6[?/hFBPD:6;<4o7X> iECWE974>7n0Y=!fD@VB84506m1^<"gKAUC?56>9l2_;#dJNT@>27<8d3\:$eIO[A=30:a=R8&cOMYO3152=`>S7'`NJXL2>40<g?P6(aMK_M1?;2?f8Q5)nLH^J0<:<>e9V4*oCI]K7=9:1d:W3+lBF\H6:880k;T2,mAGSI5;?:3j4U1-j@DRF48><2i5Z0.kGEQG;9=25h6[?/hFBPD:6<04h7X> iECWE9736m1^<"gKAUC?5069l2_;#dJNT@>2148c3\:$eIO[A=366;b<]9%bHLZN<070:a=R8&cOMYO3146=`>S7'`NJXL2>54<g?P6(aMK_M1?:6?f8Q5)nLH^J0<;8>e9V4*oCI]K7=861d:W3+lBF\H6:940l;T2,mAGSI5;>2i5Z0.kGEQG;9?:5h6[?/hFBPD:6>84o7X> iECWE971:7n0Y=!fD@VB84046m1^<"gKAUC?5329l2_;#dJNT@>2208c3\:$eIO[A=352;b<]9%bHLZN<044:a=R8&cOMYO317:=`>S7'`NJXL2>68<`?P6(aMK_M1?9>e9V4*oCI]K7=:>1d:W3+lBF\H6:;<0k;T2,mAGSI5;<>3j4U1-j@DRF48=82i5Z0.kGEQG;9>>5h6[?/hFBPD:6?<4o7X> iECWE970>7n0Y=!fD@VB84106m1^<"gKAUC?52>9l2_;#dJNT@>23<8d3\:$eIO[A=34:a=R8&cOMYO3192=`>S7'`NJXL2>80<g?P6(aMK_M1?72?f8Q5)nLH^J0<6<>e9V4*oCI]K7=5:1d:W3+lBF\H6:480k;T2,mAGSI5;3:3j4U1-j@DRF482<2i5Z0.kGEQG;9125h6[?/hFBPD:6004h7X> iECWE97?6m1^<"gKAUC?5<69l2_;#dJNT@>2=48c3\:$eIO[A=3:6;b<]9%bHLZN<0;0:a=R8&cOMYO3186=`>S7'`NJXL2>94<g?P6(aMK_M1?66?f8Q5)nLH^J0<78>e9V4*oCI]K7=461d:W3+lBF\H6:540l;T2,mAGSI5;22o5Z0.kGEQG;97n0Y=!fD@VB87676m1^<"gKAUC?6579l2_;#dJNT@>1478c3\:$eIO[A=037;b<]9%bHLZN<327:a=R8&cOMYO3217=`>S7'`NJXL2=07<g?P6(aMK_M1<?7?f8Q5)nLH^J0?>7>e9V4*oCI]K7>=71c:W3+lBF\H69<3j4U1-j@DRF4;;;2i5Z0.kGEQG;:8;5h6[?/hFBPD:59;4o7X> iECWE946;7n0Y=!fD@VB87736m1^<"gKAUC?6439l2_;#dJNT@>1538c3\:$eIO[A=023;b<]9%bHLZN<33;:a=R8&cOMYO320;=g>S7'`NJXL2=1?f8Q5)nLH^J0?<?>e9V4*oCI]K7>??1d:W3+lBF\H69>?0k;T2,mAGSI589?3j4U1-j@DRF4;8?2i5Z0.kGEQG;:;?5h6[?/hFBPD:5:?4o7X> iECWE945?7n0Y=!fD@VB874?6m1^<"gKAUC?67?9k2_;#dJNT@>16;b<]9%bHLZN<313:a=R8&cOMYO3223=`>S7'`NJXL2=33<g?P6(aMK_M1<<3?f8Q5)nLH^J0?=;>e9V4*oCI]K7>>;1d:W3+lBF\H69?;0k;T2,mAGSI588;3j4U1-j@DRF4;932i5Z0.kGEQG;::35o6[?/hFBPD:5;7n0Y=!fD@VB87276m1^<"gKAUC?6179l2_;#dJNT@>1078c3\:$eIO[A=077;b<]9%bHLZN<367:a=R8&cOMYO3257=`>S7'`NJXL2=47<g?P6(aMK_M1<;7?f8Q5)nLH^J0?:7>e9V4*oCI]K7>971c:W3+lBF\H6983j4U1-j@DRF4;?;2i5Z0.kGEQG;:<;5h6[?/hFBPD:5=;4o7X> iECWE942;7n0Y=!fD@VB87336m1^<"gKAUC?6039k2_;#dJNT@>11;e<]9%bHLZN<34=g>S7'`NJXL2=7?a8Q5)nLH^J0?61c:W3+lBF\H6953l4U1-j@DRF4;4h7X> iECWE9576j1^<"gKAUC?748d3\:$eIO[A=11:f=R8&cOMYO332<`?P6(aMK_M1=;>b9V4*oCI]K7?80l;T2,mAGSI59=2n5Z0.kGEQG;;>4h7X> iECWE95?6j1^<"gKAUC?7<8e3\:$eIO[A=1=g>S7'`NJXL2;0?a8Q5)nLH^J09?1c:W3+lBF\H6?>3m4U1-j@DRF4=95o6[?/hFBPD:3<7i0Y=!fD@VB8139k2_;#dJNT@>72;e<]9%bHLZN<55=g>S7'`NJXL2;8?a8Q5)nLH^J0971b:W3+lBF\H6?2n5Z0.kGEQG;=94h7X> iECWE9366j1^<"gKAUC?178d3\:$eIO[A=70:f=R8&cOMYO355<`?P6(aMK_M1;:>b9V4*oCI]K79;0l;T2,mAGSI5?<2n5Z0.kGEQG;=14h7X> iECWE93>6k1^<"gKAUC?1;e<]9%bHLZN<72=g>S7'`NJXL291?a8Q5)nLH^J0;<1c:W3+lBF\H6=?3m4U1-j@DRF4?>5o6[?/hFBPD:1=7i0Y=!fD@VB8309k2_;#dJNT@>53;e<]9%bHLZN<7:=g>S7'`NJXL299?`8Q5)nLH^J0;0l;T2,mAGSI5=;2n5Z0.kGEQG;?84h7X> iECWE9156j1^<"gKAUC?368d3\:$eIO[A=57:f=R8&cOMYO374<`?P6(aMK_M199>b9V4*oCI]K7;:0l;T2,mAGSI5=32n5Z0.kGEQG;?04i7X> iECWE919k2_;#dJNT@>;4;e<]9%bHLZN<93=g>S7'`NJXL272?a8Q5)nLH^J05=1c:W3+lBF\H6383m4U1-j@DRF41?5o6[?/hFBPD:?>7i0Y=!fD@VB8=19k2_;#dJNT@>;<;e<]9%bHLZN<9;=f>S7'`NJXL27>b9V4*oCI]K75=0l;T2,mAGSI53:2n5Z0.kGEQG;1;4h7X> iECWE9?46j1^<"gKAUC?=18d3\:$eIO[A=;6:f=R8&cOMYO397<`?P6(aMK_M178>b9V4*oCI]K7550l;T2,mAGSI5322o5Z0.kGEQG;17o0Y=!fTSKD[LH;87o0Y=!fTSKD[LH;97o0Y=!fTSKD[LH;:7o0Y=!fTSKD[LH;;7o0Y=!fTSKD[LH;<7o0Y=!fTSKD[LH;=7o0Y=!fTSKD[LH;>7o0Y=!fTSKD[LH;?7i0Y^K]_WKPMGJB02_XIR\COB18RFE>3_CN[RZVPDa8SDTIAGMTCCBV6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=<>QBJ^O7<364WD@TA97902]NNZK32?:8S@DPM59546YJBVG?0;><_LH\I1;18:UFFRC;>7k0[HLXE=594;><_LH\I191b:UQMQCXN@XXXn5XRHVF[HICMVKh7Z\FTD]NKACXJm1\^DZJ_VKGPMYFl2]YEYKPWHFWLZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn>k4_^][HKKXWV:TSR[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV:R.H]PPDR)]VY_MY8k;^]\\IHJWVU:<RQPU1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF;34<8k;^]\\IHJWVU:=RQPU1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF;3?<<l;^]\\IHJWVU:>RQPU1-J[\CTWM$^SDBN/T]PPDR(]VDX@;j4_^][HKKXWV;8SRQZ0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=35;j4_^][HKKXWV;?SRQZ0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=<?;j4_^][HKKXWV;>SRQZ0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=98;m4_^][HKKXWV;=SRQZ0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=3:h5P_^ZOJHYXW8=TSR[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YH;>;:;;k4_^][HKKXWV;3SRQZ0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XG:=:<<8k;^]\\IHJWVU:5RQPU1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWF9<:4=j;^]\\IHJWVU:SRQZ0.K\]@UXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ9d:]\[]JIEVUT>=QP_T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;8<9d:]\[]JIEVUT><QP_T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;>89c:]\[]JIEVUT>?QP_T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVE8;9:9;^]\\IHJWVU9?RQPU1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]AVN6482UTSUBAM^]\61YXW\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRDEM8o7RQPXMLN[ZY5=VUTY=!F_XGP[A(RW@FJ#XQ]SU-V[UTT\98h7RQPXMLN[ZY5>VUTY=!F_XGP[A(RW@FJ#XQ]SU-V[WUS8;<0SRQWLOO\[Z40WVU^<"GPYDQ\@+SXAEK$YRJ@UU1e?ZYXPEDFSRQ=_^]V4*OXQLYTH#[PIMC,QZUSI]%MS^][AUY24X(NWZ^JX#[PSUCW7`=XWVRGB@QP_2]\[P6(AVSN_RJ!U^KOE*SX[]K_#KQ\SUCW_<[)AVY_MY Z_RVBP3e<WVUS@CCP_^6\[ZS7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@10022f=XWVRGB@QP_4]\[P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRA>1435`>YXWQFEARQP6^]\Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB?>7035g>YXWQFEARQP7^]\Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSB?7504`?ZYXPEDFSRQ7_^]V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTC<6817a8[ZY_DGGTSR7P_^W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUD=<8>b:cp}keXe|rT=o5nsxl`[hsW;h0m~wac^ov|Z5682kxucmPtscmwccuW8;;7l}vnb]wvdhtnlxT><>4ar{mgZruigymiQ<d:akmuudgyoTeao=d:akmuudgyoTeao#cikswfiwmVcgmRx>_17\e)HHFL&ECCK>2648gmow{je{iRgca-akmuudgyoTeaoPv0]31Zg+sjUsobQcirv>GjkwggoexR@nmd?`llvtkfznSdbn;Ownf`tXGoU_~dihes]Skwrt581FmuQ\epwfjfcXDl|n`~3>;Tqf[WVJ{4lj`~k4Eocah`YSz`mliQ@uurvpZVhz}7mma}j;RcnticXGg7Ye~gag:QbiujbWMkmRJjpuj>535?<2Oemobj_RvlvdabWXj`dhfc`vjkk;sz|o0Hlzn_RcnaZGtW]xbkjk}=gcow`=Ci}kT\b|{_Vkgpm;5=?1_~dihes]SkwrX_`ndR?28:ObpfnX]gcR?21:AlqkrbzV]bhyfP1<Fjwddkmm1Fmymg_U{saZ7:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]29qtrm2^yejijr^RlvqYPam~cS?37;LcwgmYRf`~xS?3>;BmvjqcuW^coxeQ==Ekpegjbl2GjxnfPTxrf[7;eizchSzg{h^ggc`u<MqigyikPFsmn[AgsiVYcohe^0>pwsb3]xbkjk}_QmqpZQnl}bT?064M`v`lZSia}yT?0?4Cnwmp`tX_`ndR=2Dhqbficc3DkoeQ[yqg\78df{`iT{dzg_dfdav=Bpjf~hhQIrno\@drfWZ~d~lij_2?wvpc<\{clkh|PPnpw[Roc|aU?155Bauak[Phn|zU?1<5LotlwawYPam~cS93Kircah`b<Eh~hdRZvpd]79ggtajU|eyfPeeefw>CkeoiRH}ol]GeqgX[}eymjkP4<vqq`=Sz`mliQ_osv\Slbs`V?646Cntbj\Qkos{V?6=6M`uovfvZQnl}bT90Jfs``oaa=Ji}icSYwe^7>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ:=upva>Ruanmn~R^`ru]TmaroW?737@o{ci]VjlrtW?7:7Naznugq[Roc|aU=1Ig|acnf`>Kf|jbTXt~j_7?aevodW~cdRkkgdq8A}ek}moTJab_EcweZUsg{kliR82tswf?QtnonoyS]a}t^Uj`qnX?420Alzlh^WmmquX?4;0Ob{atdp\Slbs`V=6Hd}nbmgg?HgskaU_u}kP7<`bwleX`~cShjher9F|fjrllUM~bcPD`vb[VrhzhmnS:3{rtg8Pwo`olxT\b|{_VkgpmY?511Fmymg_TljpvY?581Hcx`{es]TmaroW17Oe~omldf8Idrd`V^r|hQ7=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT40z}ud9Wvla`m{U[czPWhfwlZ?:02GjxnfPUokwwZ?:92Idyczjr^Uj`qnX14Nbllcee9NeqeoW]s{iR72b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU21y|ze:VqmbabzVZd~yQXievk[46:02GjxnfPUokwwZ77581Hcx`{es]TmaroW8:6Hd}nbmgg?HgskaU_u}kP11?aevodW~cdRkkgdq8A}ek}moTJab_EcweZUsg{kliR??=upva>Ruanmn~R^`ru]TmaroW8;646Cntbj\Qkos{V;:1<5LotlwawYPam~cS<?2Dhqbficc3DkoeQ[yqg\54;eizchSzg{h^ggc`u<MqigyikPFsmn[AgsiVYcohe^329qtrm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755}x~i6Z}ifefvZVhz}U\eizg_01><>Kf|jbTYcg{s^3094=Dg|diQXievk[45:L`yjnakk;LcwgmYSqyoT=>3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;81y|ze:VqmbabzVZd~yQXievk[42:02GjxnfPUokwwZ73581Hcx`{es]TmaroW8>6Hd}nbmgg?HgskaU_u}kP15?aevodW~cdRkkgdq8A}ek}moTJab_EcweZUsg{kliR?;=upva>Ruanmn~R^`ru]TmaroW8?646Cntbj\Qkos{V;>1<5LotlwawYPam~cS<;2Dhqbficc3DkoeQ[yqg\50;eizchSzg{h^ggc`u<MqigyikPFsmn[AgsiVYcohe^369qtrm2^yejijr^RlvqYPam~cS<828:ObpfnX]gcR?9=09@kphsm{U\eizg_04>@lufjeoo7@o{ci]W}ucX9?7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ715}x~i!mPxegumfcaidcgu0efnug{3)eXkfxnSywe<0/gZkaoVco1<"l_lcikwYqm{ybcc3>4-a\ilhhzV|n~~g`n<6/gZdraenT~hxfshmm95*dWjeyiRcnjnp\r`t:9%iTob|j_lkmkwYqm{7? nQlosg\ilhhzVkg|eoPvdp>=2*dW{kfSywe<3/gZuud8<Txt~j=3.`[pubW`d}Snbd=1.`[pubW{zf1="l_tqf[qtnoVe~x0>#c^wpaZgskViggd`20-a\qvcXlh~j1<"l_tqf[qtno97: nQzsd]wvla659&hSx}j_upjc7;7$jU~hQ{rhe095*dW|ynSy|fg5?3(fYr{lU~di:=1.`[pubW}xbk;3?,b]vw`Ysz`m<1="l_tqf[qtno17; nQzsd]wvla>59&hSx}j_upjc46:8%iTy~kPtskd54;7$jU~hQ{rhe2686+kVxiRz}if3095*dW|ynSy|fg06>4)eX}zoTxgh14?3(fYr{lU~dikauc395*dW|ynSy|fgecwe4;7$jU~hQ{rhegeqg559&hSx}j_upjcagsi:7; nQzsd]wvlaci}k?1="l_tqf[qtnomkm83?,b]vw`Ysz`momyo9=1.`[pubW}xbkio{a6?3(fYr{lU~dikauc;95*dW|ynSy|fgecwe<;7$jU~hQ{rhegeqg684:'oR{|e^vqmbbf|h;:1="l_tqf[qtnomkm<<20-a\qvcX|{clhlzn12?3(fYr{lU~dikauc2086+kVxiRz}iffbpd7259&hSio{a^uj`qn::<<'oRjnt`]gauro58<849"l_tqf[bgw59&hSygbervbiuYsqyo6<!mPthofwqgjxV}bhyf233.`[qojmz~ja}Qkeqvk9075$jU~hQ|tnpbc`Yv}hf6=!mPtrgr[qwm4:'oR`zm^vpatYdf}7; nQ{sds\gkr6W~coxe3>,b]ww`wXkg~;Szgkti?2(fYi}dUhPsucwav;6$jU~hQ{c^o`jq;7$jUoRclnu]tmaro58&hShv{_bcs[wgsmVgdhh3?,b]f|qYdiyU{ecQboeg>4)eXf|gTiuzPc`r\tlht51&hShv{_bcs[pubW{ol1<"l_own[hgskaU~bdz|=0.`[qtno9U|eizg=9.`[qtno8U|eizg=0.`[qtno;U|eizg=0.`[qtno:U|eizg=0.`[qtno=U|eizg=0.`[qtno<U|eizg=0.`[qtno?U|eizg=0.`[qtno>U|eizg=0.`[qtno1U|eizg=0.`[qtno0U|eizg=0.`[qtno8:T{dj{h<3/gZruan;:Szgkti?2(fYsz`m:>Ryfduj>5)eX|{cl=>Qxievk94*dW}xbk<:Pwhfwl87+kV~yej?:_vkgpm;6$jUf<Rzie?3(fYj9V~{ei3>,b]n6Zrwam79 nQb3^vsma;4$jUf8Rzie?7(fYj=V~{ei3:,b]n2Zrwam7= nQb7^vsma;0$jUf4Rzie?;(fYj1V~{ei36,b]n55Ysx`n6=="l_l32[qvnl4;: nQb13]wtlb:9;&hS`?<_urj`874$jUf=9Q{phf>51*dWd;>Sy~fd<36(fYj8V~r|h3>,b]n5Zr~xl7; nQb2^vzt`;7$jUf?Rzvpd?3(fYj<V~r|h3?,b]n1Zr~xl7; nQb6^vzt`;7$jUf;Rzvpd?3(fYj0V~r|h3?,b]n=Zr~xl7; nQb11]w}uc:8%iTa<?Ptxrf95*dWd;9Sywe<2/gZk6;V~r|h3?,b]n51Ysqyo6<!mPm07\p|vb59&hSx}j_lamp5;7$jU~hQbcov295*dW|ynS`mat3?3(fYr{lUfocz<=1.`[pubWdiex93?,b]vw`Yjkg~>1="l_tqf[hei|?7; nQzsd]ngkr059&hSx}j_lamp=;7$jU~hQbcov:95*dW|ynS`mat02>4)eX}zoTan`{10?3(fYr{lUfocz>2<2/gZstmVghby?<=1.`[pubWdiex<:20-a\qvcXejd=83?,b]ngkr7W~coxe3>,b]ngkr6W~coxe3>,b]ngkr5W~coxe3>,b]ngkr4W~coxe3>,b]ngkr3W~coxe3>,b]ngkr2W~coxe3>,b]ngkr1W~coxe3>,b]ngkr0W~coxe3>,b]ngkr?W~coxe3>,b]ngkr>W~coxe3>,b]ngkr68V}bhyf21-a\ifhs98U|eizg=0.`[hei|88T{dj{h<3/gZkdf};8Szgkti?2(fYjkg~:8Ryfduj>5)eXejd=8Qxievk94*dWjeey|niov\p|vb5lri`nl-a\a}gjxeoThh}fgo?eeiub$t9:7nffpralt`YndhU}=R>:_`.#\ljnfq*HC_K/Gdlfvdrhz);?"974cnwmp`tX901hcx`{es]1=>eh}g~n~R=i;bq\fwgjWjkxoljj139`wZduidUhm~mndd]h347<kzUi~lcPshosh`Yl?820o~Qmr`o\wlkwdlU`;R|nmc3;5==d{Vhym`Q|ilroaZm0W{kfn>8n;bq\gcak}}>j7n}Pcgeoqq3d3jyTokicuu4\5f=d{Vimka{{6^0b?fuXkomgyy9>b:ap[fii|{egnx}Pxrvtkwmfzfehk5ls^alqkrbzVgdhfl4cr]dekbX{{f:<6m|_fcm`ZuudVa<S<??;bq\cdhcWzxgSf9P20c8gvY`ignTbPk6]qthYrfzoS<?n;bq\cdhcWzxgSf9Prqo\qkub|V89<6m|_fcm`ZuudVa<S~bfcd]qthYrfzoS<<?;bq\cdhcWzxgSf9Psmk`aZtweVehzP2b9`wZaffmrTbi;bq\cdhcpVyy`Re87:ap[iss>>1hRczx3;8gvYj}q?:>55ls^ov|3203jyTaxv78:ap[hsW820o~Qbuy]1<>etWdsS>64cr]nq}Y3j2ixSkhirvfv6=cldn0iiij_egwafrhzm1lmcjPc`pq}969n2cgmRmnp^cg`wabf880eaoPc`r\gqtkWn8T|h4imc\gdvX{}eymjk7;hnb[fium01b`lQbauak54=ndhUfmymg_bmnflhbn2cgmRcntbj\gjkegl1b`lQbauak[bgilo1b`lQbauak[bgilqo0eaoPrdqfpZeszek0eaoPsucwqv773`fjSy|ncd]aqc`bzl1b`lQ{rhe\idrd`j1b`lQ{rhe`kkb682cgmRz}ifaljaYk}}h0eaoPtskdc`t53ge:>6~}ofpbihgedlUoiaov109{g2m399~&joi601/1yEFw1?;<7MNw103e>C<528qXi94>0g`902g=9:9958<52b3b0~h68o31=6`>0gc92>"68o21==h=;|Qa`?77nk0?;l4>320:17<5k;::7i?>1g83>4<6sZo?6<>ib;64e?74;;3>>7<l1`68rQ2f;3:1=7?57daxW`2=99li699n:0106<352;i:m95+11f;>13c3-><n7?>5`9a547a290:>i490d84f3}O99n>7):mb;325c=]1>08w?<53g81`?{#99l<6<?=2:&b7?76:91/i>4>1338 1?b291/84j511d`?l7>;3:1(99k:0;1?k20k3:07d?61;29 11c28397c:8c;38?l7>83:1(99k:0;1?k20k3807d?7f;29 11c28397c:8c;18?l7?m3:1(99k:0;1?k20k3>07d?7d;29 11c28397c:8c;78?l7?j3:1(99k:0;1?k20k3<07d?7a;29 11c28397c:8c;58?l7?13:1(99k:0;1?k20k3207d?78;29 11c28397c:8c;;8?l7??3:1(99k:0;1?k20k3k07d?76;29 11c28397c:8c;`8?l7?=3:1(99k:0;1?k20k3i07d?74;29 11c28397c:8c;f8?l7?;3:1(99k:0;1?k20k3o07d?72;29 11c28397c:8c;d8?l7?83:1(99k:0;1?k20k3;;76g>7g83>!20l3;2>6`;7b825>=n9>o1<7*;7e82=7=i<>i1=?54i05g>5<#<>n1=4<4n55`>45<3`;<o7>5$55g>4?53g><o7?;;:k23g<72-><h7?62:l73f<6=21b=:o50;&73a<61;1e8:m51798m41>290/8:j51808j11d28=07d?88;29 11c28397c:8c;3;?>o6?>0;6):8d;3:6>h3?j0:565f16794?"3?m0:5?5a46a95d=<a8=?6=4+46f95<4<f==h6<l4;h347?6=,==o6<7=;o64g?7d32c:;?4?:%64`?7>:2d?;n4>d:9j527=83.?;i4>939m02e=9l10e<9?:18'02b=9080b99l:0d8?l71n3:1(99k:0;1?k20k38;76g>6d83>!20l3;2>6`;7b815>=n9?n1<7*;7e82=7=i<>i1>?54i04`>5<#<>n1=4<4n55`>75<3`;=m7>5$55g>4?53g><o7<;;:k22<<72-><h7?62:l73f<5=21b=;650;&73a<61;1e8:m52798m400290/8:j51808j11d2;=07d?96;29 11c28397c:8c;0;?>o6><0;6):8d;3:6>h3?j09565f17694?"3?m0:5?5a46a96d=<a8<86=4+46f95<4<f==h6?l4;h356?6=,==o6<7=;o64g?4d32c::<4?:%64`?7>:2d?;n4=d:9j50`=83.?;i4>939m02e=:l10e<;j:18'02b=9080b99l:3d8?l72l3:1(99k:0;1?k20k39;76g>5b83>!20l3;2>6`;7b805>=n9<h1<7*;7e82=7=i<>i1??54i07b>5<#<>n1=4<4n55`>65<3`;>57>5$55g>4?53g><o7=;;:k21=<72-><h7?62:l73f<4=21b=8950;&73a<61;1e8:m53798m431290/8:j51808j11d2:=07d?:3;29 11c28397c:8c;1;?>o6=;0;6):8d;3:6>h3?j08565f14394?"3?m0:5?5a46a97d=<a8?;6=4+46f95<4<f==h6>l4;h37b?6=,==o6<7=;o64g?5d32c:8h4?:%64`?7>:2d?;n4<d:9j51b=83.?;i4>939m02e=;l10e<:l:18'02b=9080b99l:2d8?l73j3:1(99k:0;1?k20k3>;76g>4`83>!20l3;2>6`;7b875>=n9=21<7*;7e82=7=i<>i18?54i064>5<#<>n1=4<4n55`>15<3`;?:7>5$55g>4?53g><o7:;;:k200<72-><h7?62:l73f<3=21b=9:50;&73a<61;1e8:m54798m424290/8:j51808j11d2==07d?;2;29 11c28397c:8c;6;?>o6<80;6):8d;3:6>h3?j0?565f15294?"3?m0:5?5a46a90d=<a89m6=4+46f95<4<f==h69l4;h30`?6=,==o6<7=;o64g?2d32c:?n4?:%64`?7>:2d?;n4;d:9j56d=83.?;i4>939m02e=<l10e<=n:18'02b=9080b99l:5d8?l7413:1(99k:0;1?k20k3?;76g>3983>!20l3;2>6`;7b865>=n9:=1<7*;7e82=7=i<>i19?54i015>5<#<>n1=4<4n55`>05<3`;897>5$55g>4?53g><o7;;;:k271<72-><h7?62:l73f<2=21b=><50;&73a<61;1e8:m55798m456290/8:j51808j11d2<=07d?<0;29 11c28397c:8c;7;?>o6:o0;6):8d;3:6>h3?j0>565f13g94?"3?m0:5?5a46a91d=<a88o6=4+46f95<4<f==h68l4;h31g?6=,==o6<7=;o64g?3d32c:>o4?:%64`?7>:2d?;n4:d:9j57g=83.?;i4>939m02e==l10e<<6:18'02b=9080b99l:4d8?l75?3:1(99k:0;1?k20k3<;76g>2783>!20l3;2>6`;7b855>=n9;?1<7*;7e82=7=i<>i1:?54i007>5<#<>n1=4<4n55`>35<3`;9?7>5$55g>4?53g><o78;;:k267<72-><h7?62:l73f<1=21b=??50;&73a<61;1e8:m56798m447290/8:j51808j11d2?=07d?>f;29 11c28397c:8c;4;?>o69l0;6):8d;3:6>h3?j0=565f10a94?"3?m0:5?5a46a92d=<a8;i6=4+46f95<4<f==h6;l4;h32e?6=,==o6<7=;o64g?0d32c:=44?:%64`?7>:2d?;n49d:9j54>=83.?;i4>939m02e=>l10e<?8:18'02b=9080b99l:7d8?l76>3:1(99k:0;1?k20k3=;76g>1483>!20l3;2>6`;7b845>=n98>1<7*;7e82=7=i<>i1;?54i030>5<#<>n1=4<4n55`>25<3`;:=7>5$55g>4?53g><o79;;:k255<72-><h7?62:l73f<0=21b==h50;&73a<61;1e8:m57798m46b290/8:j51808j11d2>=07d??d;29 11c28397c:8c;5;?>o68j0;6):8d;3:6>h3?j0<565f11`94?"3?m0:5?5a46a93d=<a8:j6=4+46f95<4<f==h6:l4;h33=?6=,==o6<7=;o64g?1d32c:<54?:%64`?7>:2d?;n48d:9j550=83.?;i4>939m02e=?l10e<>::18'02b=9080b99l:6d8?l77<3:1(99k:0;1?k20k32;76g>0283>!20l3;2>6`;7b8;5>=n9981<7*;7e82=7=i<>i14?54i022>5<#<>n1=4<4n55`>=5<3`;;<7>5$55g>4?53g><o76;;:keb?6=,==o6<7=;o64g?>232cmi7>5$55g>4?53g><o769;:ke`?6=,==o6<7=;o64g?>032cmn7>5$55g>4?53g><o767;:kee?6=,==o6<7=;o64g?>>32cm57>5$55g>4?53g><o76n;:ke<?6=,==o6<7=;o64g?>e32cm;7>5$55g>4?53g><o76l;:ke2?6=,==o6<7=;o64g?>c32cm97>5$55g>4?53g><o76j;:ke0?6=,==o6<7=;o64g?>a32cm?7>5$55g>4?53g><o77?;:ke6?6=,==o6<7=;o64g??632cm<7>5$55g>4?53g><o77=;:kfb?6=,==o6<7=;o64g??432cni7>5$55g>4?53g><o77;;:kf`?6=,==o6<7=;o64g??232cno7>5$55g>4?53g><o779;:kff?6=,==o6<7=;o64g??032cnm7>5$55g>4?53g><o777;:kf=?6=,==o6<7=;o64g??>32cn47>5$55g>4?53g><o77n;:kf3?6=,==o6<7=;o64g??e32c:i84?:%64`?7>:2d?;n46c:9j5`2=83.?;i4>939m02e=1m10e<k<:18'02b=9080b99l:8g8?l7b:3:1(99k:0;1?k20k33m76g>e083>!20l3;2>6`;7b8b4>=n9l:1<7*;7e82=7=i<>i1m<54i0fe>5<#<>n1=4<4n55`>d4<3`;oi7>5$55g>4?53g><o7o<;:k2`a<72-><h7?62:l73f<f<21b=im50;&73a<61;1e8:m5a498m4bf290/8:j51808j11d2h<07d?k9;29 11c28397c:8c;c4?>o6l10;6):8d;3:6>h3?j0j465f1e594?"3?m0:5?5a46a9e<=<a8n=6=4+46f95<4<f==h6lo4;h3g1?6=,==o6<7=;o64g?ge32c:h94?:%64`?7>:2d?;n4nc:9j5a5=83.?;i4>939m02e=im10e<j=:18'02b=9080b99l:`g8?l7c93:1(99k:0;1?k20k3km76g>cg83>!20l3;2>6`;7b8a4>=n9jo1<7*;7e82=7=i<>i1n<54i0ag>5<#<>n1=4<4n55`>g4<3`;ho7>5$55g>4?53g><o7l<;:k2gg<72-><h7?62:l73f<e<21b=no50;&73a<61;1e8:m5b498m4e>290/8:j51808j11d2k<07d?l8;29 11c28397c:8c;`4?>o6k>0;6):8d;3:6>h3?j0i465f1b494?"3?m0:5?5a46a9f<=<a8i?6=4+46f95<4<f==h6oo4;h3`7?6=,==o6<7=;o64g?de32c:o?4?:%64`?7>:2d?;n4mc:9j5f7=83.?;i4>939m02e=jm10e<m?:18'02b=9080b99l:cg8?l7en3:1(99k:0;1?k20k3hm76g>bd83>!20l3;2>6`;7b8`4>=n9kn1<7*;7e82=7=i<>i1o<54i0``>5<#<>n1=4<4n55`>f4<3`;in7>5$55g>4?53g><o7m<;:k2f<<72-><h7?62:l73f<d<21b=o650;&73a<61;1e8:m5c498m4d0290/8:j51808j11d2j<07d?m6;29 11c28397c:8c;a4?>o6j<0;6):8d;3:6>h3?j0h465f1c694?"3?m0:5?5a46a9g<=<a8h86=4+46f95<4<f==h6no4;h3a6?6=,==o6<7=;o64g?ee32c:n<4?:%64`?7>:2d?;n4lc:9j5g6=83.?;i4>939m02e=km10e<oj:18'02b=9080b99l:bg8?l7fl3:1(99k:0;1?k20k3im76g>ab83>!20l3;2>6`;7b8g4>=n9hh1<7*;7e82=7=i<>i1h<54i0cb>5<#<>n1=4<4n55`>a4<3`;j57>5$55g>4?53g><o7j<;:k2e=<72-><h7?62:l73f<c<21b=l950;&73a<61;1e8:m5d498m4g1290/8:j51808j11d2m<07d?n5;29 11c28397c:8c;f4?>o6i:0;6):8d;3:6>h3?j0o465f1`094?"3?m0:5?5a46a9`<=<a8k:6=4+46f95<4<f==h6io4;h3b4?6=,==o6<7=;o64g?be32c:5k4?:%64`?7>:2d?;n4kc:9j5<c=83.?;i4>939m02e=lm10e<7k:18'02b=9080b99l:eg8?l7>k3:1(99k:0;1?k20k3nm76g>9c83>!20l3;2>6`;7b8f4>=n90k1<7*;7e82=7=i<>i1i<54i0;;>5<#<>n1=4<4n55`>`4<3`;2;7>5$55g>4?53g><o7k<;:k2=3<72-><h7?62:l73f<b<21b=4;50;&73a<61;1e8:m5e498m4?3290/8:j51808j11d2l<07d?7c;29 11c28397c:8c;g4?>o6080;6):8d;3:6>h3?j0n465f16494?"3?m0:5?5a46a9a<=<a8<i6=4+46f95<4<f==h6ho4;h354?6=,==o6<7=;o64g?ce32c:994?:%64`?7>:2d?;n4jc:9j51?=83.?;i4>939m02e=mm10e<=j:18'02b=9080b99l:dg8?l74;3:1(99k:0;1?k20k3om76g>2983>!20l3;2>6`;7b8e4>=n98n1<7*;7e82=7=i<>i1j<54i031>5<#<>n1=4<4n55`>c4<3`;;;7>5$55g>4?53g><o7h<;:keg?6=,==o6<7=;o64g?`332cm=7>5$55g>4?53g><o7h:;:k2a3<72-><h7?62:l73f<a>21b=il50;&73a<61;1e8:m5f698m4b7290/8:j51808j11d2o207d?l5;29 11c28397c:8c;d:?>o6jh0;6):8d;3:6>h3?j0mm65f1`d94?"3?m0:5?5a46a9bg=<a8k?6=4+46f95<4<f==h6km4;h3:=?6=,==o6<7=;o64g?`c32c:984?:%64`?7>:2d?;n4ie:9ja3<72-><h7?62:l73f<an21b8oo50;&73a<3j01e8:m50:9j0g>=83.?;i4;b89m02e=921b8o950;&73a<3j01e8:m52:9j0g0=83.?;i4;b89m02e=;21b8o;50;&73a<3j01e8:m54:9j0g2=83.?;i4;b89m02e==21b8o=50;&73a<3j01e8:m56:9j0g4=83.?;i4;b89m02e=?21 ho4?:%64`?bf3g><o7>4;*f:>5<#<>n1hl5a46a95>=,l10;6):8d;fb?k20k3807&j8:18'02b=lh1e8:m53:9(`3<72-><h7jn;o64g?2<3"n>6=4+46f9`d=i<>i1965$d283>!20l3nj7c:8c;48?.b5290/8:j5d`9m02e=?21 h<4?:%64`?bf3g><o764;*f3>5<#<>n1hl5a46a9=>=,ko0;6):8d;fb?k20k3k07&mj:18'02b=lh1e8:m5b:9(ga<72-><h7jn;o64g?e<3"ih6=4+46f9`d=i<>i1h65$cc83>!20l3nj7c:8c;g8?.ef290/8:j5d`9m02e=n21 o54?:%64`?bf3g><o7??;:)`3?6=,==o6io4n55`>47<3"i=6=4+46f9`d=i<>i1=?54+b794?"3?m0om6`;7b827>=,k=0;6):8d;fb?k20k3;?76%l3;29 11c2mk0b99l:078?.e5290/8:j5d`9m02e=9?10'n?50;&73a<ci2d?;n4>7:9(g5<72-><h7jn;o64g?7?32!ij7>5$55g>ag<f==h6<74;*g1>5<#<>n1hl5a46a95d=<#l;1<7*;7e8ge>h3?j0:n65$e183>!20l3nj7c:8c;3`?>-cn3:1(99k:ec8j11d28n07&jj:18'02b=lh1e8:m51d98/ab=83.?;i4ka:l73f<6n21 h94?:%64`?bf3g><o7<>;:)`=?6=,==o6io4n55`>74<3"hn6=4+46f9`d=i<>i1>>54i`794?=,lj0;6):8d;fb?k20k38;76l>0g494?7=83:p(9lm:02g3>N68o>0D<>k5:m73<<722wi==h::182>5<7s->in7=>2:J24c2<@8:o96a=f`83>>{e0j?1<7950;2x 1de2=<87E??f59K55b23-><47?>599'77g=?2c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::a=51=8391<7>t$5`a>13a3A;;j95G11f6?!2003;:955+33c96a2<,==n6<?>0:k051<722c8=84?::m732<722wi4n850;694?6|,=hi698?;I33b1=O99n>7):88;321==#;;k1=85f30694?=n;8?1<75f30494?=h<>=1<75rb9a7>5<4290;w):mb;66b>N68o>0D<>k5:&73=<69<20(><n:2`8m6732900e>?::188k1102900qok9c;29=?6=8r.?no4;a79K55`33A;;h85+46:9543?3-99m7<4i5194?=n<=0;66g;5;29?l212900el<50;9je0<722cn97>5;n6;5?6=3f>3>7>5;|`f34<7200;6=u+4c`90d0<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc1>5<<ah?1<75fe483>>i3080;66a;8383>>{em?k1<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9=1b?<:50;9l021=831vnoll:187>5<7s->in7:90:J24c2<@8:o96*;798250><,:8j6474$55f>47682c8=94?::k050<722c8=;4?::m732<722wii:<50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qok9d;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66sme7d94?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}cg40?6=<3:1<v*;bc81a<=O99l?7E??d49'02>=98?37)==a;38m<>=831bi84?::k7<1<722e?4<4?::aa3d=83>1<7>t$5`a>7c>3A;;j95G11f6?!2003;:955+33c95>o>03:17dk::188m1>32900c96>:188ygc003:187>50z&7fg<5mk1C==h;;I33`0=#<>21=<;7;%11e?733`336=44id794?=n<0k1<75`49394?=zjl<26=4;:183!2ej38n56F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3`>387>5;n6;5?6=3th<=n4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb63b>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0910;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:?9:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`451<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>;96=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl80d83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f26d290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<<l4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb62;>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd08?0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:>;:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`447<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>:;6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9fd83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3`d290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=j54?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7d5>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1n=0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;h=:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5b5<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?on6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9eb83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3cf290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=i54?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7g5>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1m;0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;k?:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5``<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?nh6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9d`83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3b?290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=h;4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7f7>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1l;0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;j?:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5gf<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?ij6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9c983>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3e1290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=o94?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7a1>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1k90;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;lj:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5ff<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?hj6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9b783>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3d3290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=n?4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7`3>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1il0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;ol:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5ed<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?k36=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9a783>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3g3290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=5h4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7;`>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd11h0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;77:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5=3<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?3?6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl99383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f3?7290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=4h4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7:`>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1010;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;69:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5<1<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?296=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl98183>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f31b290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=;n4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb75b>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1?10;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;99:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`537<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?=;6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl96d83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f30d290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=:l4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb74;>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1>?0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;8;:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`527<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?<;6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl95b83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f33f290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=954?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb775>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1==0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;;=:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`515<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?>n6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl94b83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f32f290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=8;4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb767>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1<;0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;:?:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`57`<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?9h6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl93`83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f35?290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=?;4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb717>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1;90;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;<j:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`56f<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?8j6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl92983>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f341290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=>94?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb701>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1:90;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;?j:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`55d<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?;36=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl91783>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f373290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th==?4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb733>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd18l0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;>l:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`54d<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?:36=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl90583>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f365290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=<=4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb4df>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd2nj0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn8hn:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`6b=<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj<l=6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl:f583>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f0`5290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th>ih4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb4g`>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd2mh0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn8k7:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`6a3<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj<o?6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl:e383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f0c7290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th>hh4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb4f`>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd2l10;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn8j9:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`6`1<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj<n96=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl:d183>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f0eb290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th>on4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb4ab>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd2k10;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn8m9:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`4g5<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>hn6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl8bb83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f2df290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<n54?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb6`5>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0j=0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:l=:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`4f5<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>kn6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl8a`83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f2g?290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<m;4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb6c7>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0i;0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:o?:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`4=`<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>3h6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl89`83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f2??290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<594?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb6;1>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0190;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:6j:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`4<f<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>2j6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl88983>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f2>1290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<494?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb6:1>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0?l0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:9l:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`43d<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>=36=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl87783>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f213290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<;?4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb653>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0>l0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:8l:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`42=<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj><=6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl86583>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f205290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<:=4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb67f>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0=j0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:;n:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`41=<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>?=6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl85383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f237290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<8h4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb66`>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0<h0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn::7:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`403<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>>?6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl84383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f227290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<?n4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb61b>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0;10;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:=9:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`471<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>996=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl83183>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f24b290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<>n4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb60b>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0:?0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:<;:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`467<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>8;6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl81d83>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f277290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=jl4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb7g7>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd1kl0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;l7:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`5e5<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj?2j6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl97583>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f33b290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th=854?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb711>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd19j0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn;>9:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`6b5<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj<nj6=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl8c383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f2gd290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<5;4?:683>5}#<kh18l:4H02e0>N68m?0(997:036<>"4:h097d:<:188m12=831b884?::k72?6=3`k>6=44id794?=h<1;1<75rb6:3>5<0290;w):mb;6b0>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa483>>ob=3:17b:71;29?xd0>h0;6:4?:1y'0gd=<h>0D<>i4:J24a3<,==36<?:8:&06d<53`>86=44i5694?=n<<0;66g;6;29?lg22900eh;50;9l0=7=831vn:;;:184>5<7s->in7:n4:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm84?::kf1?6=3f>3=7>5;|`47`<72>0;6=u+4c`90d2<@8:m86F>0e78 11?28;>46*<2`81?l242900e9:50;9j00<722c?:7>5;hc6>5<<al?1<75`49394?=zj>836=48:183!2ej3>j86F>0g68L46c=2.?;54>14:8 64f2;1b8>4?::k70?6=3`>>6=44i5494?=ni<0;66gj5;29?j2?93:17pl9a383>2<729q/8ol54`68L46a<2B:<i;4$55;>47202.8>l4=;h60>5<<a=>1<75f4483>>o3>3:17do::188m`3=831d85?50;9~f0e3290<6=4?{%6af?2f<2B:<k:4H02g1>"3?10:=864$20b>7=n<:0;66g;4;29?l222900e9850;9je0<722cn97>5;n6;5?6=3th<=i4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f27e29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl81883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>;<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`450<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:?<:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd08o0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb62g>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<<o4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f26>29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl80683>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>:>6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`446<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:>>:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1no0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7dg>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=j44?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3`029086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9f483>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?l86=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5b4<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;ki:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1mm0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7ga>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=i44?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3c029086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9e283>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?o:6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5`c<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;jk:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1lk0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7f:>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=h:4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3b229086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9d283>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?n:6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5ga<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;mm:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1k00;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7a4>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=o84?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3e429086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9c083>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?hm6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5fa<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;lm:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1j>0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7`6>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=n>4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3d629086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9ag83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?ko6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5eg<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;o6:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1i>0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7c6>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=5k4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3?c29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl99c83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?326=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5=2<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;7::180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd11:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb7;2>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=4k4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3>c29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl98883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?2<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5<0<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;6<:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1080;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb75e>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=;i4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f31e29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl97883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?=<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`536<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;9>:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1>o0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb74g>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=:o4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f30>29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl96683>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?<>6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`526<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;8>:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1=m0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb77a>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=944?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f33029086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl95483>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj??86=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`514<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;:i:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1<m0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb76a>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=8:4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f32229086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl94283>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?>:6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`57c<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;=k:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1;k0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb71:>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=?:4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f35229086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl93083>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?8m6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`56a<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;<m:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1:00;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb704>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=>84?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f34429086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl92083>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?;m6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`55g<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;?6:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd19>0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb736>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th==>4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f37629086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl90g83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?:o6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`54g<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;>6:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd18<0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb720>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=<<4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f0`a29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl:fe83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj<li6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`6b<<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn8h8:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd2n<0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb4d0>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th>ik4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f0cc29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl:ec83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj<o26=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`6a2<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn8k::180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd2m:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb4g2>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th>hk4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f0bc29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl:d883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj<n<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`6`0<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn8j<:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd2l80;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb4ae>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th>oi4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f0ee29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl:c883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj<i<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`4g4<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:li:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0jm0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb6`a>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<n44?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f2d029086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl8b483>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>h86=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`4f4<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:oi:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0ik0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb6c:>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<m:4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f2g229086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl8a283>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>k:6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`4=c<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:7k:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd01k0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb6;:>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<584?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f2?429086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl89083>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>2m6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`4<a<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:6m:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0000;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb6:4>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<484?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f2>429086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl87g83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>=o6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`43g<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:96:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0?>0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb656>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<;>4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f21629086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl86g83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj><o6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`42<<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:88:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0><0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb640>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<:<4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f23a29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl85e83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>?i6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`41<<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:;8:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0=:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb672>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<8k4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f22c29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl84c83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>>26=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`402<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn::::180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0<:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb662>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<?i4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f25e29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl83883>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>9<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`470<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:=<:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0;80;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb60e>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<>i4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f24e29086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl82683>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>8>6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`466<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:<>:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd09o0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb632>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=jo4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f3c229086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl9cg83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?h26=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`5e4<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn;6m:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1?<0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb77e>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th=844?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f35429086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl91e83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj?:<6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`6b4<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn8jm:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd0k:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb6cg>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3th<5:4?:283>5}#<kh1>h<4H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831d85?50;9~f2>629086=4?{%6af?4b:2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?j2?93:17pl86c83>6<729q/8ol52d08L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75`49394?=zj>?>6=4<:183!2ej38n>6F>0g68L46c=2.?;54>14:8 64f281b554?::kf1?6=3f>3=7>5;|`47c<72:0;6=u+4c`96`4<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9l0=7=831vn:<6:180>5<7s->in7<j2:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17b:71;29?xd1i:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,==36<?:8:&06d<63`336=44id794?=h<1;1<75rb4a6>5<4290;w):mb;0f6>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;n6;5?6=3thn4l4?:0`9g?4>sA;;h85+4c`954523S3<6<u=1;'55b12;o:7d=?7;29 11c2::=7c:8c;28?l57=3:1(99k:225?k20k3;07d=?4;29 11c2::=7c:8c;08?l57;3:1(99k:225?k20k3907d=?2;29 11c2::=7c:8c;68?l5793:1(99k:225?k20k3?07d=?0;29 11c2::=7c:8c;48?l4am3:1(99k:225?k20k3=07d<id;29 11c2::=7c:8c;:8?l4ak3:1(99k:225?k20k3307d=>0;29 11c2::=7c:8c;c8?l57n3:1(99k:225?k20k3h07d=?e;29 11c2::=7c:8c;a8?l57l3:1(99k:225?k20k3n07d=?c;29 11c2::=7c:8c;g8?l57j3:1(99k:225?k20k3l07d=?a;29 11c2::=7c:8c;33?>o4800;6):8d;132>h3?j0:=65f31:94?"3?m08<;5a46a957=<a;li6=4+46f9750<f==h6<=4;h`a>5<<a=386=44i5c:>5<<a=k36=44i5cb>5<<g=ki6=44o232>5<<j8:m:7>51;294~"3jk0:<i94H02e0>N68m?0c996:188yg77n<0;6<4?:1y'0gd=;880D<>i4:J24a3<g;lj6=44}c670?6=<3:1<v*;bc8721=O99l?7E??d49'02>=98?37do;:188m4c>2900e97n:188k13e2900qo:;5;290?6=8r.?no4;659K55`33A;;h85+46:9543?3`k?6=44i0g:>5<<a=3j6=44o57a>5<<uk>?:7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188yg23?3:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}c67<?6=<3:1<v*;bc8721=O99l?7E??d49'02>=98?37do;:188m4c>2900e97n:188k13e2900qo:;9;29e?6=8r.?no4;a69K55`33A;;h85U96826?=u-><47?>599'77g=<2cj97>5;hg6>5<<ah81<75`49394?=h<191<75f4b83>!20l3>i7c:8c;28?l2f290/8:j54c9m02e=921b844?:%64`?2e3g><o7<4;h6;>5<#<>n18o5a46a97>=n<>0;6):8d;6a?k20k3>07pl;4`83>d<729q/8ol54`58L46a<2B:<i;4Z8595~5>2t.?;54>14:8 64f2=1bm84?::kf1?6=3`k96=44o5:2>5<<g=286=44i5a94?"3?m0?n6`;7b83?>o3i3:1(99k:5`8j11d2810e9750;&73a<3j2d?;n4=;:k7<?6=,==o69l4n55`>6=<a==1<7*;7e87f>h3?j0?76sm45`94?g=83:p(9lm:5c4?M77n=1C==j:;[;4>4}413w/8:65107;?!55i3>0el;50;9ja0<722cj>7>5;n6;5?6=3f>3?7>5;h6`>5<#<>n18o5a46a94>=n<h0;6):8d;6a?k20k3;07d:6:18'02b=<k1e8:m52:9j0=<72-><h7:m;o64g?5<3`><6=4+46f90g=i<>i1865rb56`>5<f290;w):mb;6b3>N68o>0D<>k5:X:3?7|;00v(997:036<>"4:h0?7do::188m`3=831bm?4?::m7<4<722e?4>4?::k7g?6=,==o69l4n55`>5=<a=k1<7*;7e87f>h3?j0:76g;9;29 11c2=h0b99l:398m1>=83.?;i4;b:l73f<432c?;7>5$55g>1d<f==h6954}c677?6=<3:1<v*;bc81ag=O99l?7E??d49'02>=98?37)==a;37?l??2900eh;50;9j0<g=831d85?50;9~w6602909wS=?7:?70f<3k2wx?=;50;0xZ66234>?o7:n;|q041<72;qU?=:4=56`>1?<uz9;?7>52z\046=:<=i1855rs221>5<5sW9;>63;4b873>{t;9;1<7<t^222?823j3>h7p}<0183>7}Y;9:019:m:5c8yv4am3:1>vP=fd9>01d=<01v?hk:181[4al27?8o4;8:p6ce=838pR?hl;<67f?203ty8==4?:3y]746<5=>j69m4}r13b?6=:rT8<k5245c90d=z{::n6=4={_13a>;3<h0?56s|31f94?4|V::o70:;a;6;?xu48j0;6?uQ31a8912f2==0q~=?b;296~X48k1689754b9~w66f2909wS=?a:?70<<3i2wx?=750;0xZ66>34>?57:6;|q04=<72;qU?=64=56:>1><uz8mn7>52z\1bg=:<=318:5rsc`94?4|Vkh019:<:8:8yv2>;3:1>vP;929>015=<0k0q~:n9;291~X3i01689m5a49>01d=i<1689o5a49>01?=i<1v9o7:186[2f027?8n4n2:?70g<f:27?8l4n2:?70<<f:2wx8lo50;0xZ1gf34>?o7k:;|q7eg<72;qU8ll4=56:>1>43ty8=<4?:3y]747<5=>8696>;|q2511=839p1<>i6;64=>;3<<0j863;4587=d=z{=<<6=49{<33b0<5nh1689651d;8912028o270:;6;3f=>;3<<0:i45245695`?<uz>>87>52z?706<b=27?894;5c9~w1372909w0:;6;c7?823=3>>n6s|44394?4|5=><6l:4=565>13e3ty?9?4?:3y>01>=i=16899544`8yv22;3:1>v3;49871g=:<=>1m95rs576>5<5s4>?57:71:?700<31h1v9:k:181823i3>3?63;488f1>{t<<<1<7<t=56b>1>634>?:7:6a:p01c=838p19:m:5:0?823i3o>7p};5683>7}:<=h185?4=564>1?f3ty?8k4?:3y>01e=<19019:m:d78yv2203:1>v3;4b87<4=:<=2184o4}|`g`1<72:0;6=u+4c`900`<@8:m86F>0e78 11?28;>46*<2`82b>"3?l0:==j4i237>5<<a:;>6=44o554>5<<ukn2h7>55;294~"3jk0?:<5G11d7?M77l<1/8:65107;?!55i3n0(99j:02e`>o49=0;66g<1483>>o49?0;66g<1683>>i3?>0;66smd8d94?3=83:p(9lm:542?M77n=1C==j:;%64<?76=11/??o52e48m6732900e>?::188m6712900e>?8:188k1102900qojn0;291?6=8r.?no4;609K55`33A;;h85+46:9543?3-99m7=?;%64a?76811b?<:50;9j743=831b?<850;9j741=831d8:950;9~fag6290>6=4?{%6af?2192B:<k:4H02g1>"3?10:=864$20b>7c73-><i7?>099j742=831b?<;50;9j740=831b?<950;9l021=831vnio=:186>5<7s->in7:91:J24c2<@8:o96*;798250><,:8j6?jj;%64a?77nm1b?<:50;9j743=831b?<850;9j741=831d8:950;9~fag4290>6=4?{%6af?2192B:<k:4H02g1>"3?10:=864$20b>47<a:;?6=44i236>5<<a:;=6=44i234>5<<g==<6=44}cfb0?6==3:1<v*;bc8724=O99l?7E??d49'02>=98?37)==a;08m6732900e>?::188m6712900e>?8:188k1102900qojn5;291?6=8r.?no4;609K55`33A;;h85+46:9543?3-99m774$55f>47692c8=94?::k050<722c8=;4?::k052<722e?;:4?::a`d0=83?1<7>t$5`a>1063A;;j95G11f6?!2003;:955+33c96c7<,==n6<?>2:k051<722c8=84?::k053<722c8=:4?::m732<722wihl950;794?6|,=hi698>;I33b1=O99n>7):88;321==#;;k1i6*;7d82544<a:;?6=44i236>5<<a:;=6=44i234>5<<g==<6=44}cf:a?6==3:1<v*;bc8724=O99l?7E??d49'02>=98?37)==a;c8 11b28;:=6g<1583>>o49<0;66g<1783>>o49>0;66a;7683>>{em921<7<50;2x 1de2:kn7E??f59K55b23-><47?>599j745=831d8:950;9~fac429086=4?{%6af?22n2B:<k:4H02g1>"3?10:=864$20b>4`<a:;?6=44i236>5<<g==<6=44}cfg7?6=;3:1<v*;bc871c=O99l?7E??d49'02>=98?37)==a;0f?!20m3;:<=5f30694?=n;8?1<75`46594?=zjl:<6=4<:183!2ej3>>j6F>0g68L46c=2.?;54>14:8 64f2;n?7):8e;324a=n;8>1<75f30794?=h<>=1<75rbd23>5<2290;w):mb;655>N68o>0D<>k5:&73=<69<20(><n:018 11b28;;<6g<1583>>o49<0;66g<1783>>o49>0;66a;7683>>{elm;1<7950;2x 1de2=<87E??f59K55b23-99m7<j3:k051<722c8=84?::k053<722c8=:4?::k05=<722c8=44?::m732<722wihi<50;594?6|,=hi698<;I33b1=O99n>7)==a;0f7>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66smde294?2=83:p(9lm:546?M77n=1C==j:;h120?6=3`9:97>5;h6:e?6=3f><;7>5;|`f47<72=0;6=u+4c`96`0<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722e?4<4?::aa55=83>1<7>t$5`a>7c13A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831d85?50;9~f`66290?6=4?{%6af?4b12B:<k:4H02g1>"3?10:=864$20b>4=n110;66gj5;29?l2?<3:17b:71;29?xdclh0;684?:1y'0gd=:l?0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=njj0;66gj5;29?j2?93:17plkd483>1<729q/8ol52d;8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<al?1<75f49694?=h<1;1<75rbef:>5<2290;w):mb;0f1>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;h``>5<<al?1<75`49394?=zjmn36=4;:183!2ej38n:6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44o5:2>5<<uko?j7>54;294~"3jk09i;5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;n6;5?6=3thook4?:583>5}#<kh18874H02e0>N68m?0(997:036<>"4:h0:7dll:188m`3=831b>ko50;9l0=7=831vnh>6:186>5<7s->in7<ja:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188m1>32900c96>:188ygc7i3:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17plka983>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{elhn1<7;50;2x 1de2;oj7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75f49694?=h<1;1<75rbecf>5<2290;w):mb;0fe>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<a=2?6=44o5:2>5<<uknjj7>55;294~"3jk09il5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;h6;0?6=3f>3=7>5;|`gf5<72<0;6=u+4c`96`g<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722c?494?::m7<4<722wiho?50;794?6|,=hi6?kn;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9j0=2=831d85?50;9~fad5290>6=4?{%6af?4bi2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900e96;:188k1>62900qojm3;291?6=8r.?no4=e`9K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?l2?<3:17b:71;29?xdcj=0;684?:1y'0gd=:lk0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66g;8583>>i3080;66smdc794?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjmk26=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}cfbe?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3thomo4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::a`de=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vniml:187>5<7s->in7<j6:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188k1>62900qojj2;290?6=8r.?no4=e79K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?j2?93:17plke083>1<729q/8ol52d48L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>i3080;66sme3394?5=83:p(9lm:02e7>N68o>0D<>k5:&73=<69<20el:50;9j00g=831d8:950;9~f`7b290?6=4?{%6af?21<2B:<k:4H02g1>"3?10:=864i`694?=n9l31<75f48c94?=h<<h1<75rbd06>5<4290;w):mb;33b6=O99l?7E??d49'02>=98?37do;:188m13f2900c998:188ygc5:3:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}cg1=?6=;3:1<v*;bc824c5<@8:m86F>0e78 11?28;>46gn4;29?l22i3:17b:87;29?xdb:?0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|`f6a<72:0;6=u+4c`955`43A;;j95G11f6?!2003;:955fa583>>o3=h0;66a;7683>>{em;k1<7:50;2x 1de2=<?7E??f59K55b23-><47?>599je1<722c:i44?::k7=d<722e?9o4?::aa67=8391<7>t$5`a>46a;2B:<k:4H02g1>"3?10:=864i`694?=n<<k1<75`46594?=zjl8n6=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~f`5229086=4?{%6af?77n:1C==h;;I33`0=#<>21=<;7;hc7>5<<a=?j6=44o554>5<<uko8>7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188ygc413:1?7>50z&7fg<68o90D<>i4:J24a3<,==36<?:8:kb0?6=3`>>m7>5;n643?6=3thn?;4?:583>5}#<kh18;:4H02e0>N68m?0(997:036<>of<3:17d?j9;29?l2>i3:17b::b;29?xdb;m0;6>4?:1y'0gd=99l87E??f59K55b23-><47?>599je1<722c?9l4?::m732<722wii>o50;694?6|,=hi698;;I33b1=O99n>7):88;321==ni=0;66g>e883>>o31h0;66a;5c83>>{em=;1<7=50;2x 1de28:m?6F>0g68L46c=2.?;54>14:8md2=831b88o50;9l021=831vnh=j:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zjl>?6=4<:183!2ej3;;j>5G11d7?M77l<1/8:65107;?lg32900e9;n:188k1102900qok=0;296?6=8r.?no4;5d9K55`33A;;h85+46:9543?3-99m7?i;h120?6=3f><;7>5;|`f61<72;0;6=u+4c`900c<@8:m86F>0e78 11?28;>46*<2`82b>o49=0;66a;7683>>{em;21<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9o1b?<:50;9l021=831vnh<l:181>5<7s->in7::e:J24c2<@8:o96*;798250><,:8j6<h4i237>5<<g==<6=44}cg04?6=:3:1<v*;bc871`=O99l?7E??d49'02>=98?37)==a;3e?l56<3:17b:87;29?xdb;=0;6?4?:1y'0gd=<<o0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::m732<722wii>650;094?6|,=hi69;j;I33b1=O99n>7):88;321==#;;k1=k5f30694?=h<>=1<75rbd1`>5<5290;w):mb;66a>N68o>0D<>k5:&73=<69<20(><n:0d8m6732900c998:188ygc383:1>7>50z&7fg<3=l1C==h;;I33`0=#<>21=<;7;%11e?7a3`9:87>5;n643?6=3thn8>4?:383>5}#<kh188k4H02e0>N68m?0(997:036<>"4:h0:j6g<1583>>i3?>0;66sme0d94?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjl886=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}cg13?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3thn>o4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::aa7`=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vnh=<:186>5<7s->in7<ja:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188m1>32900c96>:188ygc4?3:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17plj3c83>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{em:l1<7;50;2x 1de2;oj7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75f49694?=h<1;1<75rbd61>5<2290;w):mb;0fe>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<a=2?6=44o5:2>5<<ukn3o7>53;294~"3jk0?9k5G11d7?M77l<1/8:65107;?!55i38n7):8e;324c=n;8>1<75f30794?=h<>=1<75rbe:a>5<3290;w):mb;654>N68o>0D<>k5:&73=<69<20(><n:3f4?l56<3:17d=>5;29?l56>3:17b:87;29?xdc0h0;684?:1y'0gd=<?;0D<>i4:J24a3<,==36<?:8:&06d<5n;1/8:k5102f?l56<3:17d=>5;29?l56>3:17d=>7;29?j20?3:17plk6383>1<729q/8ol52d`8L46a<2B:<i;4$55;>47202.8>l4>4:k:<?6=3`o>6=44i5;b>5<<g=2:6=44}cf55?6=<3:1<v*;bc81ag=O99l?7E??d49'02>=98?37)==a;37?l??2900eh;50;9j0<g=831d85?50;9~fa07290?6=4?{%6af?4bj2B:<k:4H02g1>"3?10:=864$20b>42<a021<75fe483>>o31h0;66a;8083>>{el<l1<7:50;2x 1de2;oi7E??f59K55b23-><47?>599'77g=9=1b554?::kf1?6=3`>2m7>5;n6;5?6=3tho9h4?:583>5}#<kh1>hl4H02e0>N68m?0(997:036<>"4:h0:86g68;29?lc22900e97n:188k1>62900qoj:d;290?6=8r.?no4=ec9K55`33A;;h85+46:9543?3-99m7?;;h;;>5<<al?1<75f48c94?=h<1;1<75rbe7`>5<3290;w):mb;0ff>N68o>0D<>k5:&73=<69<20(><n:068m<>=831bi84?::k7=d<722e?4<4?::a`0d=83>1<7>t$5`a>7ce3A;;j95G11f6?!2003;:955+33c951=n110;66gj5;29?l2>i3:17b:71;29?xdc?h0;6>4?:1y'0gd=<<l0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::k050<722e?;:4?::a`2`=83>1<7>t$5`a>7c13A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831d85?50;9~fa1b290?6=4?{%6af?4b>2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900c96>:188ygb?83:197>50z&7fg<5m<1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>oek3:17dk::188k1>62900qoj8b;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66smd9394?3=83:p(9lm:3g6?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44ica94?=nm<0;66a;8083>>{el?=1<7=50;2x 1de2=?m7E??f59K55b23-><47?>599'77g=9o1b?<:50;9j743=831d8:950;9~fa0b290?6=4?{%6af?4b>2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900c96>:188ygb1l3:187>50z&7fg<5m?1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17b:71;29?xdc>j0;694?:1y'0gd=:l<0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66a;8083>>{el?h1<7:50;2x 1de2;o=7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75`49394?=zjm<m6=4::183!2ej38n96F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`hh6=44id794?=h<1;1<75rbe4;>5<3290;w):mb;0f=>N68o>0D<>k5:&73=<69<20(><n:09j==<722cn97>5;h6;0?6=3f>3=7>5;|`g35<72<0;6=u+4c`96`3<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9jff<722cn97>5;n6;5?6=3thij>4?:`83>5}#<kh18l94H02e0>N68m?0V4951z1:>x"3?10:=864$20b>1=ni<0;66gj5;29?lg52900c96>:188k1>42900e9m50;&73a<3j2d?;n4?;:k7e?6=,==o69l4n55`>4=<a=31<7*;7e87f>h3?j0976g;8;29 11c2=h0b99l:298m11=83.?;i4;b:l73f<332winkm50;c94?6|,=hi69o8;I33b1=O99n>7W78:0y0=?{#<>21=<;7;%11e?2<ah?1<75fe483>>of:3:17b:71;29?j2?;3:17d:l:18'02b=<k1e8:m50:9j0d<72-><h7:m;o64g?7<3`>26=4+46f90g=i<>i1>65f4983>!20l3>i7c:8c;18?l20290/8:j54c9m02e=<21vnohm:18b>5<7s->in7:n7:J24c2<@8:o96T67;3x7<<z,==36<?:8:&06d<33`k>6=44id794?=ni;0;66a;8083>>i30:0;66g;c;29 11c2=h0b99l:198m1g=83.?;i4;b:l73f<632c?57>5$55g>1d<f==h6?54i5:94?"3?m0?n6`;7b80?>o3?3:1(99k:5`8j11d2=10qoll3;29e?6=8r.?no4;a69K55`33A;;h85U96826?=u-><47?>599'77g=<2cj97>5;hg6>5<<ah81<75`49394?=h<191<75f4b83>!20l3>i7c:8c;28?l2f290/8:j54c9m02e=921b844?:%64`?2e3g><o7<4;h6;>5<#<>n18o5a46a97>=n<>0;6):8d;6a?k20k3>07plmc383>d<729q/8ol54`58L46a<2B:<i;4Z8595~5>2t.?;54>14:8 64f2=1bm84?::kf1?6=3`k96=44o5:2>5<<g=286=44i5a94?"3?m0?n6`;7b83?>o3i3:1(99k:5`8j11d2810e9750;&73a<3j2d?;n4=;:k7<?6=,==o69l4n55`>6=<a==1<7*;7e87f>h3?j0?76smbb394?2=83:p(9lm:546?M77n=1C==j:;%64<?76=11b?<:50;9j743=831b84o50;9l021=831vnoh9:18b>5<7s->in7:n7:J24c2<@8:o96T67;3x7<<z,==36<?:8:&06d<33`k>6=44id794?=ni;0;66a;8083>>i30:0;66g;c;29 11c2=h0b99l:198m1g=83.?;i4;b:l73f<632c?57>5$55g>1d<f==h6?54i5:94?"3?m0?n6`;7b80?>o3?3:1(99k:5`8j11d2=10qoli5;29e?6=8r.?no4;a69K55`33A;;h85U96826?=u-><47?>599'77g=<2cj97>5;hg6>5<<ah81<75`49394?=h<191<75f4b83>!20l3>i7c:8c;28?l2f290/8:j54c9m02e=921b844?:%64`?2e3g><o7<4;h6;>5<#<>n18o5a46a97>=n<>0;6):8d;6a?k20k3>07plmf583>1<729q/8ol54778L46a<2B:<i;4$55;>47202c8=94?::k050<722c?5l4?::m732<722winno50;c94?6|,=hi69o8;I33b1=O99n>7W78:0y0=?{#<>21=<;7;%11e?2<ah?1<75fe483>>of:3:17b:71;29?j2?;3:17d:l:18'02b=<k1e8:m50:9j0d<72-><h7:m;o64g?7<3`>26=4+46f90g=i<>i1>65f4983>!20l3>i7c:8c;18?l20290/8:j54c9m02e=<21vnom6:18b>5<7s->in7:n7:J24c2<@8:o96T67;3x7<<z,==36<?:8:&06d<33`k>6=44id794?=ni;0;66a;8083>>i30:0;66g;c;29 11c2=h0b99l:198m1g=83.?;i4;b:l73f<632c?57>5$55g>1d<f==h6?54i5:94?"3?m0?n6`;7b80?>o3?3:1(99k:5`8j11d2=10qoll8;290?6=8r.?no4;649K55`33A;;h85+46:9543?3`9:87>5;h121?6=3`>2m7>5;n643?6=3thii:4?:`83>5}#<kh18l94H02e0>N68m?0V4951z1:>x"3?10:=864$20b>1=ni<0;66gj5;29?lg52900c96>:188k1>42900e9m50;&73a<3j2d?;n4?;:k7e?6=,==o69l4n55`>4=<a=31<7*;7e87f>h3?j0976g;8;29 11c2=h0b99l:298m11=83.?;i4;b:l73f<332winh850;c94?6|,=hi69o8;I33b1=O99n>7W78:0y0=?{#<>21=<;7;%11e?2<ah?1<75fe483>>of:3:17b:71;29?j2?;3:17d:l:18'02b=<k1e8:m50:9j0d<72-><h7:m;o64g?7<3`>26=4+46f90g=i<>i1>65f4983>!20l3>i7c:8c;18?l20290/8:j54c9m02e=<21vnok::18b>5<7s->in7:n7:J24c2<@8:o96T67;3x7<<z,==36<?:8:&06d<33`k>6=44id794?=ni;0;66a;8083>>i30:0;66g;c;29 11c2=h0b99l:198m1g=83.?;i4;b:l73f<632c?57>5$55g>1d<f==h6?54i5:94?"3?m0?n6`;7b80?>o3?3:1(99k:5`8j11d2=10qolj4;29e?6=8r.?no4;a69K55`33A;;h85U96826?=u-><47?>599'77g=<2cj97>5;hg6>5<<ah81<75`49394?=h<191<75f4b83>!20l3>i7c:8c;28?l2f290/8:j54c9m02e=921b844?:%64`?2e3g><o7<4;h6;>5<#<>n18o5a46a97>=n<>0;6):8d;6a?k20k3>07plme083>1<729q/8ol54778L46a<2B:<i;4$55;>47202c8=94?::k050<722c?5l4?::m732<722winh<50;694?6|,=hi698:;I33b1=O99n>7):88;321==n;8>1<75f30794?=n<0k1<75`46594?=zjko86=4;:183!2ej3>=:6F>0g68L46c=2.?;54>14:8m6732900e>?::188m1?f2900c998:188ygdc=3:1m7>50z&7fg<3i>1C==h;;I33`0=]1>0:w>75}%64<?76=11/??o54:kb1?6=3`o>6=44i`094?=h<1;1<75`49194?=n<j0;6):8d;6a?k20k3:07d:n:18'02b=<k1e8:m51:9j0<<72-><h7:m;o64g?4<3`>36=4+46f90g=i<>i1?65f4683>!20l3>i7c:8c;68?xdel=0;6l4?:1y'0gd=<h=0D<>i4:J24a3<R0=1=v=6:|&73=<69<20(><n:59je0<722cn97>5;hc1>5<<g=2:6=44o5:0>5<<a=i1<7*;7e87f>h3?j0;76g;a;29 11c2=h0b99l:098m1?=83.?;i4;b:l73f<532c?47>5$55g>1d<f==h6>54i5594?"3?m0?n6`;7b87?>{ejm91<7o50;2x 1de2=k<7E??f59K55b23S3<6<u<9;'02>=98?37)==a;68md3=831bi84?::kb6?6=3f>3=7>5;n6;7?6=3`>h6=4+46f90g=i<>i1<65f4`83>!20l3>i7c:8c;38?l2>290/8:j54c9m02e=:21b854?:%64`?2e3g><o7=4;h64>5<#<>n18o5a46a90>=zjkn96=4n:183!2ej3>j;6F>0g68L46c=2P2;7?t388~ 11?28;>46*<2`87?lg22900eh;50;9je7<722e?4<4?::m7<6<722c?o7>5$55g>1d<f==h6=54i5c94?"3?m0?n6`;7b82?>o313:1(99k:5`8j11d2;10e9650;&73a<3j2d?;n4<;:k73?6=,==o69l4n55`>1=<ukhhj7>54;294~"3jk0?:85G11d7?M77l<1/8:65107;?l56<3:17d=>5;29?l2>i3:17b:87;29?xdel90;694?:1y'0gd=<??0D<>i4:J24a3<,==36<?:8:k051<722c8=84?::k7=d<722e?;:4?::afa7=83>1<7>t$5`a>1013A;;j95G11f6?!2003;:955f30694?=n;8?1<75f48c94?=h<>=1<75rbcdb>5<>290;w):mb;6b2>N68o>0D<>k5:&73=<69<20(><n:39j06<722c?87>5;h66>5<<a=<1<75fa383>>of=3:17dk::188k1>62900c96=:188ygda:3:157>50z&7fg<3i?1C==h;;I33`0=#<>21=<;7;%11e?4<a=91<75f4583>>o3=3:17d:9:188md4=831bm84?::kf1?6=3f>3=7>5;n6;6?6=3tho8?4?:583>5}#<kh18;>4H02e0>N68m?0(997:036<>"4:h09<6g<1583>>o49<0;66g<1783>>i3?>0;66smbb294?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}c`f4?6=<3:1<v*;bc81a<=O99l?7E??d49'02>=98?37)==a;38m<>=831bi84?::k7<1<722e?4<4?::affc=83>1<7>t$5`a>7c>3A;;j95G11f6?!2003;:955+33c95>o>03:17dk::188m1>32900c96>:188ygb3<3:187>50z&7fg<5m01C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fe483>>o30=0;66a;8083>>{el=91<7:50;2x 1de2;o27E??f59K55b23-><47?>599'77g=92c247>5;hg6>5<<a=2?6=44o5:2>5<<ukhij7>54;294~"3jk09i45G11d7?M77l<1/8:65107;?!55i3;0e4650;9ja0<722c?494?::m7<4<722winok50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qoj;1;291?6=8r.?no4=e`9K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?l2?<3:17b:71;29?xdc<?0;684?:1y'0gd=:lk0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66g;8583>>i3080;66smd5794?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjj8n6=4;:183!2ej3>=<6F>0g68L46c=2.?;54>14:8 64f2030e>?;:188m6722900e>?9:188k1102900qom=b;290?6=8r.?no4;619K55`33A;;h85+46:9543?3-99m776;h120?6=3`9:97>5;h122?6=3f><;7>5;|``6=<72=0;6=u+4c`9036<@8:m86F>0e78 11?28;>46*<2`8:=>o49=0;66g<1483>>o49?0;66a;7683>>{ek;?1<7:50;2x 1de2=<;7E??f59K55b23-><47?>599'77g=101b?<:50;9j743=831b?<850;9l021=831vnn:6:187>5<7s->in7:90:J24c2<@8:o96*;798250><,:8j6474i237>5<<a:;>6=44i235>5<<g==<6=44}ca72?6=<3:1<v*;bc8725=O99l?7E??d49'02>=98?37)==a;;:?l56<3:17d=>5;29?l56>3:17b:87;29?xdd<:0;694?:1y'0gd=<?:0D<>i4:J24a3<,==36<?:8:&06d<>12c8=94?::k050<722c8=;4?::m732<722wio9>50;694?6|,=hi698?;I33b1=O99n>7):88;321==#;;k1545f30694?=n;8?1<75f30494?=h<>=1<75rbb1g>5<3290;w):mb;654>N68o>0D<>k5:&73=<69<20(><n:8;8m6732900e>?::188m6712900c998:188yge4i3:187>50z&7fg<3>91C==h;;I33`0=#<>21=<;7;%11e??>3`9:87>5;h121?6=3`9::7>5;n643?6=3thh?:4?:583>5}#<kh18;>4H02e0>N68m?0(997:036<>"4:h0256g<1583>>o49<0;66g<1783>>i3?>0;66smc2694?2=83:p(9lm:543?M77n=1C==j:;%64<?76=11/??o5989j742=831b?<;50;9j740=831d8:950;9~ff56290?6=4?{%6af?2182B:<k:4H02g1>"3?10:=864$20b><?<a:;?6=44i236>5<<a:;=6=44o554>5<<uki9>7>54;294~"3jk0?:=5G11d7?M77l<1/8:65107;?!55i3327d=>4;29?l56=3:17d=>6;29?j20?3:17plk0b83>6<729q/8ol544d8L46a<2B:<i;4$55;>47202.8>l4<b:&73`<699i0e>?;:188m6722900c998:188ygb7j3:1?7>50z&7fg<3=o1C==h;;I33`0=#<>21=<;7;%11e?5e3-><i7?>0b9j742=831b?<;50;9l021=831vnn<?:18;>5<7s->in7:n5:J24c2<@8:o96*;798250><,:8j6?5f4283>>o3<3:17d:::188m10=831bm?4?::kb1?6=3`o>6=44o5:2>5<<uki::7>54;294~"3jk09i;5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;n6;5?6=3thh=84?:583>5}#<kh1>h84H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::m7<4<722wio<:50;694?6|,=hi6?k9;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9l0=7=831vnn?<:187>5<7s->in7<j6:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188k1>62900qom>2;290?6=8r.?no4=e79K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?j2?93:17pll1083>1<729q/8ol52d48L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>i3080;66smc0d94?2=83:p(9lm:3g5?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=h<1;1<75rbb3f>5<3290;w):mb;0f2>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<g=2:6=44}ca2`?6=<3:1<v*;bc81a3=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3f>3=7>5;|``5f<72=0;6=u+4c`96`0<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722e?4<4?::ag4d=83>1<7>t$5`a>7c13A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831d85?50;9~ff7f290?6=4?{%6af?4b>2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900c96>:188yge613:187>50z&7fg<5m?1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17b:71;29?xdd910;694?:1y'0gd=:l<0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66a;8083>>{ek8=1<7:50;2x 1de2;o=7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75`49394?=zjj;;6=4;:183!2ej38n:6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44o5:2>5<<uki9h7>54;294~"3jk09i45G11d7?M77l<1/8:65107;?!55i3;0e4650;9ja0<722c?494?::m7<4<722wio?h50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qom=a;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66smc3a94?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}ca13?6=<3:1<v*;bc81a<=O99l?7E??d49'02>=98?37)==a;38m<>=831bi84?::k7<1<722e?4<4?::ag7?=83>1<7>t$5`a>7c>3A;;j95G11f6?!2003;:955+33c95>o>03:17dk::188m1>32900c96>:188yge5<3:187>50z&7fg<5m01C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fe483>>o30=0;66a;8083>>{ek;<1<7:50;2x 1de2;o27E??f59K55b23-><47?>599'77g=92c247>5;hg6>5<<a=2?6=44o5:2>5<<uki?47>54;294~"3jk09i45G11d7?M77l<1/8:65107;?!55i3;0e4650;9ja0<722c?494?::m7<4<722wio9o50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qom;5;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66smc5594?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}ca76?6=<3:1<v*;bc81a<=O99l?7E??d49'02>=98?37)==a;38m<>=831bi84?::k7<1<722e?4<4?::ag12=83>1<7>t$5`a>7c>3A;;j95G11f6?!2003;:955+33c95>o>03:17dk::188m1>32900c96>:188yge4n3:187>50z&7fg<5m01C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fe483>>o30=0;66a;8083>>{ek=;1<7:50;2x 1de2;o27E??f59K55b23-><47?>599'77g=92c247>5;hg6>5<<a=2?6=44o5:2>5<<uki8o7>54;294~"3jk09i45G11d7?M77l<1/8:65107;?!55i3;0e4650;9ja0<722c?494?::m7<4<722wio>k50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qom<9;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66smc2`94?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}ca02?6=<3:1<v*;bc81a<=O99l?7E??d49'02>=98?37)==a;38m<>=831bi84?::k7<1<722e?4<4?::ag6>=83>1<7>t$5`a>7c>3A;;j95G11f6?!2003;:955+33c95>o>03:17dk::188m1>32900c96>:188yge4;3:187>50z&7fg<5m01C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fe483>>o30=0;66a;8083>>{ek:?1<7:50;2x 1de2;o27E??f59K55b23-><47?>599'77g=92c247>5;hg6>5<<a=2?6=44o5:2>5<<uki8<7>54;294~"3jk09i45G11d7?M77l<1/8:65107;?!55i3;0e4650;9ja0<722c?494?::m7<4<722wio><50;694?6|,=hi6?k6;I33b1=O99n>7):88;321==#;;k1=6g68;29?lc22900e96;:188k1>62900qom=1;290?6=8r.?no4=e89K55`33A;;h85+46:9543?3-99m7?4i8:94?=nm<0;66g;8583>>i3080;66smc3194?2=83:p(9lm:3g:?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`o>6=44i5:7>5<<g=2:6=44}ca`=?6=;3:1<v*;bc824c5<@8:m86F>0e78 11?28;>46gn4;29?l22i3:17b:87;29?xddk?0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|```f<72:0;6=u+4c`955`43A;;j95G11f6?!2003;:955fa583>>o3=h0;66a;7683>>{ekm31<7:50;2x 1de2=<?7E??f59K55b23-><47?>599je1<722c:i44?::k7=d<722e?9o4?::ag`6=8391<7>t$5`a>46a;2B:<k:4H02g1>"3?10:=864i`694?=n<<k1<75`46594?=zjjno6=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~ffc329086=4?{%6af?77n:1C==h;;I33`0=#<>21=<;7;hc7>5<<a=?j6=44o554>5<<ukin=7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188ygeb03:1?7>50z&7fg<68o90D<>i4:J24a3<,==36<?:8:kb0?6=3`>>m7>5;n643?6=3thhi84?:583>5}#<kh18;:4H02e0>N68m?0(997:036<>of<3:17d?j9;29?l2>i3:17b::b;29?xddmj0;6>4?:1y'0gd=99l87E??f59K55b23-><47?>599je1<722c?9l4?::m732<722wioh750;694?6|,=hi698;;I33b1=O99n>7):88;321==ni=0;66g>e883>>o31h0;66a;5c83>>{eko:1<7=50;2x 1de28:m?6F>0g68L46c=2.?;54>14:8md2=831b88o50;9l021=831vnnkk:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zjjl?6=4<:183!2ej3;;j>5G11d7?M77l<1/8:65107;?lg32900e9;n:188k1102900qomi1;290?6=8r.?no4;659K55`33A;;h85+46:9543?3`k?6=44i0g:>5<<a=3j6=44o57a>5<<ukim47>53;294~"3jk0:<k=4H02e0>N68m?0(997:036<>of<3:17d::a;29?j20?3:17pllf483>1<729q/8ol54768L46a<2B:<i;4$55;>47202cj87>5;h3f=?6=3`>2m7>5;n66f?6=3thhjn4?:283>5}#<kh1==h<;I33b1=O99n>7):88;321==ni=0;66g;5`83>>i3?>0;66smcg;94?2=83:p(9lm:547?M77n=1C==j:;%64<?76=11bm94?::k2a<<722c?5l4?::m71g<722wionj50;194?6|,=hi6<>i3:J24c2<@8:o96*;798250><ah>1<75f44c94?=h<>=1<75rbbab>5<3290;w):mb;650>N68o>0D<>k5:&73=<69<20el:50;9j5`?=831b84o50;9l00d=831vnnj>:180>5<7s->in7??f29K55`33A;;h85+46:9543?3`k?6=44i57b>5<<g==<6=44}ca`a?6=<3:1<v*;bc8721=O99l?7E??d49'02>=98?37do;:188m4c>2900e97n:188k13e2900qomk5;297?6=8r.?no4>0g18L46a<2B:<i;4$55;>47202cj87>5;h66e?6=3f><;7>5;|```7<72=0;6=u+4c`9032<@8:m86F>0e78 11?28;>46gn4;29?l7b13:17d:6a;29?j22j3:17plld983>6<729q/8ol511d0?M77n=1C==j:;%64<?76=11bm94?::k71d<722e?;:4?::agf>=8381<7>t$5`a>13b3A;;j95G11f6?!2003;:955+33c95c=n;8>1<75`46594?=zjjni6=4=:183!2ej3>>i6F>0g68L46c=2.?;54>14:8 64f28l0e>?;:188k1102900qomkf;296?6=8r.?no4;5d9K55`33A;;h85+46:9543?3-99m7?i;h120?6=3f><;7>5;|``a6<72;0;6=u+4c`900c<@8:m86F>0e78 11?28;>46*<2`82b>o49=0;66a;7683>>{ekl=1<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9o1b?<:50;9l021=831vnnkm:181>5<7s->in7::e:J24c2<@8:o96*;798250><,:8j6<h4i237>5<<g==<6=44}cafb?6=:3:1<v*;bc871`=O99l?7E??d49'02>=98?37)==a;3e?l56<3:17b:87;29?xddn:0;6?4?:1y'0gd=<<o0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::m732<722wiok950;094?6|,=hi69;j;I33b1=O99n>7):88;321==#;;k1=k5f30694?=h<>=1<75rbbda>5<5290;w):mb;66a>N68o>0D<>k5:&73=<69<20(><n:0d8m6732900c998:188ygedk3:1>7>50z&7fg<3=l1C==h;;I33`0=#<>21=<;7;%11e?7a3`9:87>5;n643?6=3thhh=4?:383>5}#<kh188k4H02e0>N68m?0(997:036<>"4:h0:j6g<1583>>i3?>0;66smce694?4=83:p(9lm:57f?M77n=1C==j:;%64<?76=11/??o51g9j742=831d8:950;9~ffb029096=4?{%6af?22m2B:<k:4H02g1>"3?10:=864$20b>4`<a:;?6=44o554>5<<ukih;7>55;294~"3jk09il5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;h6;0?6=3f>3=7>5;|```d<72<0;6=u+4c`96`g<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722c?494?::m7<4<722wioik50;794?6|,=hi6?kn;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9j0=2=831d85?50;9~ffc5290>6=4?{%6af?4bi2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900e96;:188k1>62900qomj6;291?6=8r.?no4=e`9K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?l2?<3:17b:71;29?xddmh0;684?:1y'0gd=:lk0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66g;8583>>i3080;66smcdg94?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjjl96=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}cae2?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3thhjl4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::agfd=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vnnmi:186>5<7s->in7<ja:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188m1>32900c96>:188ygec;3:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17plld783>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{ek?31<7=50;2x 1de28:m?6F>0g68L46c=2.?;54>14:8md2=831b88o50;9l021=831vnn89:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zjj=h6=4<:183!2ej3;;j>5G11d7?M77l<1/8:65107;?lg32900e9;n:188k1102900qom89;290?6=8r.?no4;659K55`33A;;h85+46:9543?3`k?6=44i0g:>5<<a=3j6=44o57a>5<<uki3<7>53;294~"3jk0:<k=4H02e0>N68m?0(997:036<>of<3:17d::a;29?j20?3:17pll7e83>1<729q/8ol54768L46a<2B:<i;4$55;>47202cj87>5;h3f=?6=3`>2m7>5;n66f?6=3thh494?:283>5}#<kh1==h<;I33b1=O99n>7):88;321==ni=0;66g;5`83>>i3?>0;66smc9394?2=83:p(9lm:547?M77n=1C==j:;%64<?76=11bm94?::k2a<<722c?5l4?::m71g<722wio5650;194?6|,=hi6<>i3:J24c2<@8:o96*;798250><ah>1<75f44c94?=h<>=1<75rbb:6>5<3290;w):mb;650>N68o>0D<>k5:&73=<69<20el:50;9j5`?=831b84o50;9l00d=831vnn6l:180>5<7s->in7??f29K55`33A;;h85+46:9543?3`k?6=44i57b>5<<g==<6=44}ca;=?6=<3:1<v*;bc8721=O99l?7E??d49'02>=98?37do;:188m4c>2900e97n:188k13e2900qom60;297?6=8r.?no4>0g18L46a<2B:<i;4$55;>47202cj87>5;h66e?6=3f><;7>5;|``<a<72=0;6=u+4c`9032<@8:m86F>0e78 11?28;>46gn4;29?l7b13:17d:6a;29?j22j3:17pll9583>6<729q/8ol511d0?M77n=1C==j:;%64<?76=11bm94?::k71d<722e?;:4?::ag<7=83>1<7>t$5`a>1033A;;j95G11f6?!2003;:955fa583>>o6m00;66g;9`83>>i3=k0;66smc8:94?5=83:p(9lm:02e7>N68o>0D<>k5:&73=<69<20el:50;9j00g=831d8:950;9~ff?2290?6=4?{%6af?21<2B:<k:4H02g1>"3?10:=864i`694?=n9l31<75f48c94?=h<<h1<75rbb;`>5<4290;w):mb;33b6=O99l?7E??d49'02>=98?37do;:188m13f2900c998:188yge>13:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}ca5`?6=;3:1<v*;bc824c5<@8:m86F>0e78 11?28;>46gn4;29?l22i3:17b:87;29?xdd>h0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|``34<72:0;6=u+4c`955`43A;;j95G11f6?!2003;:955fa583>>o3=h0;66a;7683>>{ek?o1<7:50;2x 1de2=<?7E??f59K55b23-><47?>599je1<722c:i44?::k7=d<722e?9o4?::ag23=8391<7>t$5`a>46a;2B:<k:4H02g1>"3?10:=864i`694?=n<<k1<75`46594?=zjj=96=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~ff1?29086=4?{%6af?77n:1C==h;;I33`0=#<>21=<;7;hc7>5<<a=?j6=44o554>5<<uki=47>52;294~"3jk0?9h5G11d7?M77l<1/8:65107;?!55i3;m7d=>4;29?j20?3:17pll7c83>7<729q/8ol544g8L46a<2B:<i;4$55;>47202.8>l4>f:k051<722e?;:4?::ag2`=8381<7>t$5`a>13b3A;;j95G11f6?!2003;:955+33c95c=n;8>1<75`46594?=zjj286=4=:183!2ej3>>i6F>0g68L46c=2.?;54>14:8 64f28l0e>?;:188k1102900qom77;296?6=8r.?no4;5d9K55`33A;;h85+46:9543?3-99m7?i;h120?6=3f><;7>5;|``<g<72;0;6=u+4c`900c<@8:m86F>0e78 11?28;>46*<2`82b>o49=0;66a;7683>>{ek1l1<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9o1b?<:50;9l021=831vnn7<:181>5<7s->in7::e:J24c2<@8:o96*;798250><,:8j6<h4i237>5<<g==<6=44}ca:3?6=:3:1<v*;bc871`=O99l?7E??d49'02>=98?37)==a;3e?l56<3:17b:87;29?xdd1k0;6?4?:1y'0gd=<<o0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::m732<722wio;m50;094?6|,=hi69;j;I33b1=O99n>7):88;321==#;;k1=k5f30694?=h<>=1<75rbb53>5<5290;w):mb;66a>N68o>0D<>k5:&73=<69<20(><n:0d8m6732900c998:188yge0<3:1>7>50z&7fg<3=l1C==h;;I33`0=#<>21=<;7;%11e?7a3`9:87>5;n643?6=3thh;:4?:383>5}#<kh188k4H02e0>N68m?0(997:036<>"4:h0:j6g<1583>>i3?>0;66smc7594?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjj=j6=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}ca4a?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3thh4?4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::ag=0=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vnn6n:186>5<7s->in7<ja:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188m1>32900c96>:188yge?m3:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17pll9383>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{ek0<1<7;50;2x 1de2;oj7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75f49694?=h<1;1<75rbb;b>5<2290;w):mb;0fe>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<a=2?6=44o5:2>5<<uki=n7>55;294~"3jk09il5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;h6;0?6=3f>3=7>5;|``2c<72<0;6=u+4c`96`g<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722c?494?::m7<4<722wio:=50;794?6|,=hi6?kn;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9j0=2=831d85?50;9~ff11290>6=4?{%6af?4bi2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900e96;:188k1>62900qoo:8;297?6=8r.?no4>0g18L46a<2B:<i;4$55;>47202cj87>5;h66e?6=3f><;7>5;|`b10<72=0;6=u+4c`9032<@8:m86F>0e78 11?28;>46gn4;29?l7b13:17d:6a;29?j22j3:17pln6c83>6<729q/8ol511d0?M77n=1C==j:;%64<?76=11bm94?::k71d<722e?;:4?::ae3>=83>1<7>t$5`a>1033A;;j95G11f6?!2003;:955fa583>>o6m00;66g;9`83>>i3=k0;66sma7d94?5=83:p(9lm:02e7>N68o>0D<>k5:&73=<69<20el:50;9j00g=831d8:950;9~fd0d290?6=4?{%6af?21<2B:<k:4H02g1>"3?10:=864i`694?=n9l31<75f48c94?=h<<h1<75rb`50>5<4290;w):mb;33b6=O99l?7E??d49'02>=98?37do;:188m13f2900c998:188ygg083:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}cc43?6=;3:1<v*;bc824c5<@8:m86F>0e78 11?28;>46gn4;29?l22i3:17b:87;29?xdf?=0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|`b3g<72:0;6=u+4c`955`43A;;j95G11f6?!2003;:955fa583>>o3=h0;66a;7683>>{ei>21<7:50;2x 1de2=<?7E??f59K55b23-><47?>599je1<722c:i44?::k7=d<722e?9o4?::ae2`=8391<7>t$5`a>46a;2B:<k:4H02g1>"3?10:=864i`694?=n<<k1<75`46594?=zjh=h6=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~fd>429086=4?{%6af?77n:1C==h;;I33`0=#<>21=<;7;hc7>5<<a=?j6=44o554>5<<ukk3<7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188ygg??3:1?7>50z&7fg<68o90D<>i4:J24a3<,==36<?:8:kb0?6=3`>>m7>5;n643?6=3thj494?:583>5}#<kh18;:4H02e0>N68m?0(997:036<>of<3:17d?j9;29?l2>i3:17b::b;29?xdf0k0;6>4?:1y'0gd=99l87E??f59K55b23-><47?>599je1<722c?9l4?::m732<722wim5650;694?6|,=hi698;;I33b1=O99n>7):88;321==ni=0;66g>e883>>o31h0;66a;5c83>>{ei<i1<7=50;2x 1de28:m?6F>0g68L46c=2.?;54>14:8md2=831b88o50;9l021=831vnl;6:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zjh<;6=4<:183!2ej3;;j>5G11d7?M77l<1/8:65107;?lg32900e9;n:188k1102900qoo:d;290?6=8r.?no4;659K55`33A;;h85+46:9543?3`k?6=44i0g:>5<<a=3j6=44o57a>5<<ukk=87>53;294~"3jk0:<k=4H02e0>N68m?0(997:036<>of<3:17d::a;29?j20?3:17pln6083>1<729q/8ol54768L46a<2B:<i;4$55;>47202cj87>5;h3f=?6=3`>2m7>5;n66f?6=3thj::4?:283>5}#<kh1==h<;I33b1=O99n>7):88;321==ni=0;66g;5`83>>i3?>0;66sma4594?4=83:p(9lm:57f?M77n=1C==j:;%64<?76=11/??o51g9j742=831d8:950;9~fd0f29096=4?{%6af?22m2B:<k:4H02g1>"3?10:=864$20b>4`<a:;?6=44o554>5<<ukk=i7>52;294~"3jk0?9h5G11d7?M77l<1/8:65107;?!55i3;m7d=>4;29?j20?3:17pln7383>7<729q/8ol544g8L46a<2B:<i;4$55;>47202.8>l4>f:k051<722e?;:4?::ae20=8381<7>t$5`a>13b3A;;j95G11f6?!2003;:955+33c95c=n;8>1<75`46594?=zjh=j6=4=:183!2ej3>>i6F>0g68L46c=2.?;54>14:8 64f28l0e>?;:188k1102900qoo8e;296?6=8r.?no4;5d9K55`33A;;h85+46:9543?3-99m7?i;h120?6=3f><;7>5;|`b<7<72;0;6=u+4c`900c<@8:m86F>0e78 11?28;>46*<2`82b>o49=0;66a;7683>>{ei1<1<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9o1b?<:50;9l021=831vnl6n:181>5<7s->in7::e:J24c2<@8:o96*;798250><,:8j6<h4i237>5<<g==<6=44}cc6f?6=:3:1<v*;bc871`=O99l?7E??d49'02>=98?37)==a;3e?l56<3:17b:87;29?xdf=o0;6?4?:1y'0gd=<<o0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::m732<722wim;=50;094?6|,=hi69;j;I33b1=O99n>7):88;321==#;;k1=k5f30694?=h<>=1<75rb`45>5<5290;w):mb;66a>N68o>0D<>k5:&73=<69<20(><n:0d8m6732900c998:188ygg2>3:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17pln6883>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{ei?n1<7;50;2x 1de2;oj7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75f49694?=h<1;1<75rb`52>5<2290;w):mb;0fe>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<a=2?6=44o5:2>5<<ukk<97>55;294~"3jk09il5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;h6;0?6=3f>3=7>5;|`b3<<72<0;6=u+4c`96`g<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722c?494?::m7<4<722wim:j50;794?6|,=hi6?kn;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9j0=2=831d85?50;9~fd>6290>6=4?{%6af?4bi2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900e96;:188k1>62900qoo75;291?6=8r.?no4=e`9K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?l2?<3:17b:71;29?xdf000;684?:1y'0gd=:lk0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66g;8583>>i3080;66sma4c94?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zjh?n6=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}cc56?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3thj:84?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::a`5b=83;>6o4=0zJ24a3<,=hi6<?<6:X:3?7|910v(<>k6;0f5>o4890;6):8d;0eb>h3?j0;76g=fd83>!20l38mj6`;7b82?>o5nm0;6):8d;0eb>h3?j0976g=fb83>!20l38mj6`;7b80?>o4990;6):8d;0eb>h3?j0?76g<0g83>!20l38mj6`;7b86?>o48l0;6):8d;0eb>h3?j0=76g<0e83>!20l38mj6`;7b84?>o48j0;6):8d;0eb>h3?j0376g<0c83>!20l38mj6`;7b8:?>o48h0;6):8d;0eb>h3?j0j76g<0883>!20l38mj6`;7b8a?>o4810;6):8d;0eb>h3?j0h76g=fc83>!20l38mj6`;7b8g?>oej3:17d:63;29?l2f13:17d:n8;29?l2fi3:17b:nb;29?j5693:17o??f783>4<729q/8ol511f4?M77n=1C==j:;n64=?6=3th:<k;50;394?6|,=hi6>?=;I33b1=O99n>7b<ia;29?xd3:o0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|`775<72=0;6=u+4c`9032<@8:m86F>0e78 11?28;>46gn4;29?l7b13:17d:6a;29?j22j3:17pl;3083>1<729q/8ol54768L46a<2B:<i;4$55;>47202cj87>5;h3f=?6=3`>2m7>5;n66f?6=3th???4?:583>5}#<kh18;:4H02e0>N68m?0(997:036<>of<3:17d?j9;29?l2>i3:17b::b;29?xd3;:0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|`771<72h0;6=u+4c`90d1<@8:m86F>0e78^<1=9r926p*;798250><,:8j695fa483>>ob=3:17do=:188k1>62900c96<:188m1e=83.?;i4;b:l73f<732c?m7>5$55g>1d<f==h6<54i5;94?"3?m0?n6`;7b81?>o303:1(99k:5`8j11d2:10e9950;&73a<3j2d?;n4;;:a063=83k1<7>t$5`a>1g03A;;j95G11f6?_?028q857s+46:9543?3-99m7:4i`794?=nm<0;66gn2;29?j2?93:17b:73;29?l2d290/8:j54c9m02e=821b8l4?:%64`?2e3g><o7?4;h6:>5<#<>n18o5a46a96>=n<10;6):8d;6a?k20k3907d:8:18'02b=<k1e8:m54:9~f151290j6=4?{%6af?2f?2B:<k:4H02g1>\>?3;p?44r$55;>47202.8>l4;;hc6>5<<al?1<75fa383>>i3080;66a;8283>>o3k3:1(99k:5`8j11d2910e9o50;&73a<3j2d?;n4>;:k7=?6=,==o69l4n55`>7=<a=21<7*;7e87f>h3?j0876g;7;29 11c2=h0b99l:598yg24?3:1m7>50z&7fg<3i>1C==h;;I33`0=]1>0:w>75}%64<?76=11/??o54:kb1?6=3`o>6=44i`094?=h<1;1<75`49194?=n<j0;6):8d;6a?k20k3:07d:n:18'02b=<k1e8:m51:9j0<<72-><h7:m;o64g?4<3`>36=4+46f90g=i<>i1?65f4683>!20l3>i7c:8c;68?xu4890;6?uQ312891512=k0q~<ie;296~X5nl168>85489~w7`c2909wS<id:?773<302wx>km50;0xZ7`d34>8:7:8;|q055<72;qU?<>4=516>1e<uz9;j7>52z\04c=:<:?18l5rs22f>5<5sW9;i63;3487=>{t;9n1<7<t^22g?824=3>37p}<0b83>7}Y;9i019=::558yv57j3:1>vP<0c9>062=<j1v>>n:181[57i27??94;a:p75?=838pR>>6;<600?2>3ty8<54?:3y]75><5=9?6964}r0ef?6=:rT9jo52426902=z{=k26=4:{_6b=>;3;>0j963;378b1>;3;<0j963;358b1>{t<h21<7;t^5c;?824?3k970:<6;c1?824=3k970:<4;c1?xu3ih0;6?uQ4`c891502l?0q~:nb;296~X3ik168>:54918yv5693:1>vP<109>07`=<<h0q~?>4683><}:99l=6996;<602?2d34>8;7:8;<603?2?34>8;7:6;<603?2f34>8;7:l;<604?g334>9j7:6a:p031=83<p1<>i5;0ee>;3;:0:i45242095`?<5=9:6<k6;<604?7b127?>k4>e89~w15e2909w0:<1;c7?82483>>n6s|42a94?4|5=996l:4=512>13e3ty??i4?:3y>065=i=168><544`8yv24m3:1>v3;32871g=:<;l1m95rs51e>5<5s4>887:71:?775<31h1v9=7:181824=3>3?63;358f1>{t<=:1<7<t=516>1>634>8=7:6a:p06?=838p19=9:5:0?824=3o>7p};4083>7}:<:<185?4=511>1?f3ty??l4?:3y>061=<19019=9:d78yv23:3:1>v3;3687<4=:<:9184o4}|`g4`<728?1n7<?{I33`0=#<kh1=<=9;[;4>4}603w/==j9:3g2?l5783:1(99k:3de?k20k3:07d<ie;29 11c2;lm7c:8c;38?l4al3:1(99k:3de?k20k3807d<ic;29 11c2;lm7c:8c;18?l5683:1(99k:3de?k20k3>07d=?f;29 11c2;lm7c:8c;78?l57m3:1(99k:3de?k20k3<07d=?d;29 11c2;lm7c:8c;58?l57k3:1(99k:3de?k20k3207d=?b;29 11c2;lm7c:8c;;8?l57i3:1(99k:3de?k20k3k07d=?9;29 11c2;lm7c:8c;`8?l5703:1(99k:3de?k20k3i07d<ib;29 11c2;lm7c:8c;f8?lde2900e97<:188m1g>2900e9o7:188m1gf2900c9om:188k6762900n<>i6;295?6=8r.?no4>0e58L46a<2B:<i;4o55:>5<<uk;;j84?:083>5}#<kh1?<<4H02e0>N68m?0c?hn:188yg25n3:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}c604?6=<3:1<v*;bc8721=O99l?7E??d49'02>=98?37do;:188m4c>2900e97n:188k13e2900qo:<1;290?6=8r.?no4;659K55`33A;;h85+46:9543?3`k?6=44i0g:>5<<a=3j6=44o57a>5<<uk>8>7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188yg24;3:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}c600?6=i3:1<v*;bc87e2=O99l?7E??d49Y=2<6s:31q):88;321==#;;k186gn5;29?lc22900el<50;9l0=7=831d85=50;9j0f<72-><h7:m;o64g?6<3`>j6=4+46f90g=i<>i1=65f4883>!20l3>i7c:8c;08?l2?290/8:j54c9m02e=;21b8:4?:%64`?2e3g><o7:4;|`770<72h0;6=u+4c`90d1<@8:m86F>0e78^<1=9r926p*;798250><,:8j695fa483>>ob=3:17do=:188k1>62900c96<:188m1e=83.?;i4;b:l73f<732c?m7>5$55g>1d<f==h6<54i5;94?"3?m0?n6`;7b81?>o303:1(99k:5`8j11d2:10e9950;&73a<3j2d?;n4;;:a060=83k1<7>t$5`a>1g03A;;j95G11f6?_?028q857s+46:9543?3-99m7:4i`794?=nm<0;66gn2;29?j2?93:17b:73;29?l2d290/8:j54c9m02e=821b8l4?:%64`?2e3g><o7?4;h6:>5<#<>n18o5a46a96>=n<10;6):8d;6a?k20k3907d:8:18'02b=<k1e8:m54:9~f150290j6=4?{%6af?2f?2B:<k:4H02g1>\>?3;p?44r$55;>47202.8>l4;;hc6>5<<al?1<75fa383>>i3080;66a;8283>>o3k3:1(99k:5`8j11d2910e9o50;&73a<3j2d?;n4>;:k7=?6=,==o69l4n55`>7=<a=21<7*;7e87f>h3?j0876g;7;29 11c2=h0b99l:598yv5783:1>vP<019>060=<h1v?hj:181[4am27??;4;9:p6cb=838pR?hk;<602?2?3ty9jn4?:3y]6ce<5=9=6994}r124?6=:rT8==5242790f=z{::m6=4={_13b>;3;<0?m6s|31g94?4|V::n70:<5;6:?xu48m0;6?uQ31f891522=20q~=?c;296~X48j168>;5469~w66e2909wS=?b:?771<3k2wx?=o50;0xZ66f34>887:n;|q04<<72;qU?=74=517>1?<uz9;47>52z\04==:<:>1855rs3da>5<5sW8mn63;35873>{t<h31<7;t^5c:?824?3k>70:<6;c6?824=3k>70:<4;c6?xu3i10;68uQ4`:891502h8019=9:`0891522h8019=;:`08yv2fi3:1>vP;a`9>061=m<1v9om:181[2fj27??94;829~w6762909wS=>1:?76c<3=k1v<?;7;29=~;68o<18:74=515>1e<5=9<6994=514>1><5=9<6974=514>1g<5=9<69m4=513>d2<5=8m697n;|q722<72?q6==h::3db?824;3;n563;3382a<=:<:;1=h74=513>4c>34>9j7?j9:p06d=838p19=>:`6891572=?i7p};3b83>7}:<:81m952423900d<uz>8h7>52z?776<f<27???4;5c9~w15b2909w0:<3;66f>;3:o0j86s|42d94?4|5=9?696>;<604?2>i2wx8>650;0x91522=2870:<4;g6?xu3<90;6?u242790=7<5=9:697n;|q77<<72;q68>85491891522l?0q~:;1;296~;3;?0?4<5242090<g<uz>8m7>52z?772<30:168>85e49~w1252909w0:<7;6;5>;3;:0?5l5r}cf3b?6=9<0i6?>tH02g1>"3jk0:=>84Z8595~7?2t.:<i852d38m667290/8:j52gd8j11d2910e?hj:18'02b=:ol0b99l:098m7`c290/8:j52gd8j11d2;10e?hl:18'02b=:ol0b99l:298m677290/8:j52gd8j11d2=10e>>i:18'02b=:ol0b99l:498m66b290/8:j52gd8j11d2?10e>>k:18'02b=:ol0b99l:698m66d290/8:j52gd8j11d2110e>>m:18'02b=:ol0b99l:898m66f290/8:j52gd8j11d2h10e>>6:18'02b=:ol0b99l:c98m66?290/8:j52gd8j11d2j10e?hm:18'02b=:ol0b99l:e98mgd=831b84=50;9j0d?=831b8l650;9j0dg=831d8ll50;9l747=831i==h9:182>5<7s->in7??d69K55`33A;;h85`46;94?=zj8:m97>51;294~"3jk08=?5G11d7?M77l<1d>ko50;9~f14a290?6=4?{%6af?21<2B:<k:4H02g1>"3?10:=864i`694?=n9l31<75f48c94?=h<<h1<75rb513>5<3290;w):mb;650>N68o>0D<>k5:&73=<69<20el:50;9j5`?=831b84o50;9l00d=831vn9=>:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zj=996=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~f154290?6=4?{%6af?21<2B:<k:4H02g1>"3?10:=864i`694?=n9l31<75f48c94?=h<<h1<75rb517>5<f290;w):mb;6b3>N68o>0D<>k5:X:3?7|;00v(997:036<>"4:h0?7do::188m`3=831bm?4?::m7<4<722e?4>4?::k7g?6=,==o69l4n55`>5=<a=k1<7*;7e87f>h3?j0:76g;9;29 11c2=h0b99l:398m1>=83.?;i4;b:l73f<432c?;7>5$55g>1d<f==h6954}c601?6=i3:1<v*;bc87e2=O99l?7E??d49Y=2<6s:31q):88;321==#;;k186gn5;29?lc22900el<50;9l0=7=831d85=50;9j0f<72-><h7:m;o64g?6<3`>j6=4+46f90g=i<>i1=65f4883>!20l3>i7c:8c;08?l2?290/8:j54c9m02e=;21b8:4?:%64`?2e3g><o7:4;|`773<72h0;6=u+4c`90d1<@8:m86F>0e78^<1=9r926p*;798250><,:8j695fa483>>ob=3:17do=:188k1>62900c96<:188m1e=83.?;i4;b:l73f<732c?m7>5$55g>1d<f==h6<54i5;94?"3?m0?n6`;7b81?>o303:1(99k:5`8j11d2:10e9950;&73a<3j2d?;n4;;:a061=83k1<7>t$5`a>1g03A;;j95G11f6?_?028q857s+46:9543?3-99m7:4i`794?=nm<0;66gn2;29?j2?93:17b:73;29?l2d290/8:j54c9m02e=821b8l4?:%64`?2e3g><o7?4;h6:>5<#<>n18o5a46a96>=n<10;6):8d;6a?k20k3907d:8:18'02b=<k1e8:m54:9~w6672909wS=?0:?773<3i2wx>kk50;0xZ7`b34>8:7:6;|q1ba<72;qU>kj4=515>1><uz8mo7>52z\1bf=:<:<18:5rs233>5<5sW9:<63;3487g>{t;9l1<7<t^22e?824=3>j7p}<0d83>7}Y;9o019=::5;8yv57l3:1>vP<0e9>063=<11v>>l:181[57k27??84;7:p75d=838pR>>m;<600?2d3ty8<l4?:3y]75g<5=9?69o4}r13=?6=:rT8<45242690<=z{::36=4={_13<>;3;=0?46s|2g`94?4|V;li70:<4;64?xu3i00;68uQ4`;891502h?019=9:`7891522h?019=;:`78yv2f03:19vP;a99>061=i;168>85a39>063=i;168>:5a39~w1gf2909wS:na:?772<b=2wx8ll50;0xZ1ge34>887:73:p747=838pR>?>;<61b?22j2wx=<:8:18:877n?0?;45242490f=:<:=18:5242590==:<:=1845242590d=:<:=18n524229e1=:<;l184o4}r653?6=>r7:<k;52gc8915428o270:<2;3f=>;3;80:i45242295`?<5=8m6<k6;|q77g<72;q68>?5a59>066=<<h0q~:<c;296~;3;;0j863;30871g=z{=9o6=4={<607?g334>8>7::b:p06c=838p19=<:57a?825n3k?7p};3g83>7}:<:>185?4=513>1?f3ty??54?:3y>063=<19019=;:d78yv2383:1>v3;3487<4=:<:;184o4}r60=?6=:r7??;4;829>063=m<1v9:>:181824>3>3=63;3387=d=z{=9j6=4={<603?2?;27??;4j5:p014=838p19=8:5:2?824;3>2m6srb9ff>5<4290;w):mb;33b6=O99l?7E??d49'02>=98?37do;:188m13f2900c998:188yg>cj3:187>50z&7fg<3>=1C==h;;I33`0=#<>21=<;7;hc7>5<<a8o26=44i5;b>5<<g=?i6=44}c:f6?6=;3:1<v*;bc824c5<@8:m86F>0e78 11?28;>46gn4;29?l22i3:17b:87;29?xd?lo0;694?:1y'0gd=<?>0D<>i4:J24a3<,==36<?:8:kb0?6=3`;n57>5;h6:e?6=3f>>n7>5;|`;a3<72:0;6=u+4c`955`43A;;j95G11f6?!2003;:955fa583>>o3=h0;66a;7683>>{e0l91<7:50;2x 1de2=<?7E??f59K55b23-><47?>599je1<722c:i44?::k7=d<722e?9o4?::a<`g=8391<7>t$5`a>46a;2B:<k:4H02g1>"3?10:=864i`694?=n<<k1<75`46594?=zj1o<6=4;:183!2ej3>=86F>0g68L46c=2.?;54>14:8md2=831b=h750;9j0<g=831d88l50;9~f=cb29086=4?{%6af?77n:1C==h;;I33`0=#<>21=<;7;hc7>5<<a=?j6=44o554>5<<uk2nn7>54;294~"3jk0?:95G11d7?M77l<1/8:65107;?lg32900e<k6:188m1?f2900c9;m:188yg>a:3:1?7>50z&7fg<68o90D<>i4:J24a3<,==36<?:8:kb0?6=3`>>m7>5;n643?6=3th3ik4?:583>5}#<kh18;:4H02e0>N68m?0(997:036<>of<3:17d?j9;29?l2>i3:17b::b;29?xd?n?0;6>4?:1y'0gd=99l87E??f59K55b23-><47?>599je1<722c?9l4?::m732<722wi4k=50;694?6|,=hi698;;I33b1=O99n>7):88;321==ni=0;66g>e883>>o31h0;66a;5c83>>{e0ok1<7=50;2x 1de28:m?6F>0g68L46c=2.?;54>14:8md2=831b88o50;9l021=831vn5h8:187>5<7s->in7:94:J24c2<@8:o96*;798250><ah>1<75f1d;94?=n<0k1<75`44`94?=zj1lo6=4<:183!2ej3;;j>5G11d7?M77l<1/8:65107;?lg32900e9;n:188k1102900qo6kd;296?6=8r.?no4;5d9K55`33A;;h85+46:9543?3-99m7?i;h120?6=3f><;7>5;|`;a4<72;0;6=u+4c`900c<@8:m86F>0e78 11?28;>46*<2`82b>o49=0;66a;7683>>{e0l?1<7<50;2x 1de2=?n7E??f59K55b23-><47?>599'77g=9o1b?<:50;9l021=831vn5k6:181>5<7s->in7::e:J24c2<@8:o96*;798250><,:8j6<h4i237>5<<g==<6=44}c:f`?6=:3:1<v*;bc871`=O99l?7E??d49'02>=98?37)==a;3e?l56<3:17b:87;29?xd?n80;6?4?:1y'0gd=<<o0D<>i4:J24a3<,==36<?:8:&06d<6n2c8=94?::m732<722wi4k;50;094?6|,=hi69;j;I33b1=O99n>7):88;321==#;;k1=k5f30694?=h<>=1<75rb9d:>5<5290;w):mb;66a>N68o>0D<>k5:&73=<69<20(><n:0d8m6732900c998:188yg>ak3:1>7>50z&7fg<3=l1C==h;;I33`0=#<>21=<;7;%11e?7a3`9:87>5;n643?6=3th3hn4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::a<`6=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vn5k;:186>5<7s->in7<ja:J24c2<@8:o96*;798250><,:8j6<5f9983>>of:3:17dk::188m1>32900c96>:188yg>b03:197>50z&7fg<5mh1C==h;;I33`0=#<>21=<;7;%11e?7<a021<75fa383>>ob=3:17d:74;29?j2?93:17pl7eb83>0<729q/8ol52dc8L46a<2B:<i;4$55;>47202.8>l4>;h;;>5<<ah81<75fe483>>o30=0;66a;8083>>{e0o:1<7;50;2x 1de2;oj7E??f59K55b23-><47?>599'77g=92c247>5;hc1>5<<al?1<75f49694?=h<1;1<75rb9d7>5<2290;w):mb;0fe>N68o>0D<>k5:&73=<69<20(><n:09j==<722cj>7>5;hg6>5<<a=2?6=44o5:2>5<<uk2m47>55;294~"3jk09il5G11d7?M77l<1/8:65107;?!55i3;0e4650;9je7<722cn97>5;h6;0?6=3f>3=7>5;|`;bg<72<0;6=u+4c`96`g<@8:m86F>0e78 11?28;>46*<2`82?l??2900el<50;9ja0<722c?494?::m7<4<722wi5=650;794?6|,=hi6?kn;I33b1=O99n>7):88;321==#;;k1=6g68;29?lg52900eh;50;9j0=2=831d85?50;9~f<6>290>6=4?{%6af?4bi2B:<k:4H02g1>"3?10:=864$20b>4=n110;66gn2;29?lc22900e96;:188k1>62900qo7?a;291?6=8r.?no4=e`9K55`33A;;h85+46:9543?3-99m7?4i8:94?=ni;0;66gj5;29?l2?<3:17b:71;29?xd>8k0;684?:1y'0gd=:lk0D<>i4:J24a3<,==36<?:8:&06d<63`336=44i`094?=nm<0;66g;8583>>i3080;66sm91a94?3=83:p(9lm:3gb?M77n=1C==j:;%64<?76=11/??o51:k:<?6=3`k96=44id794?=n<1>1<75`49394?=zj0:o6=4::183!2ej38nm6F>0g68L46c=2.?;54>14:8 64f281b554?::kb6?6=3`o>6=44i5:7>5<<g=2:6=44}c;3a?6==3:1<v*;bc81ad=O99l?7E??d49'02>=98?37)==a;38m<>=831bm?4?::kf1?6=3`>387>5;n6;5?6=3th2<k4?:483>5}#<kh1>ho4H02e0>N68m?0(997:036<>"4:h0:7d77:188md4=831bi84?::k7<1<722e?4<4?::a=46=83?1<7>t$5`a>7cf3A;;j95G11f6?!2003;:955+33c95>o>03:17do=:188m`3=831b85:50;9l0=7=831vn4o=:187>5<7s->in7:90:J24c2<@8:o96*<2`824>"3?l0:=<;4i237>5<<a:;>6=44i235>5<<g==<6=44}c;a6?6=<3:1<v*;bc8725=O99l?7E??d49'77g=991/8:k511df?l56<3:17d=>5;29?l56>3:17b:87;29?xd>j90;694?:1y'0gd=<?:0D<>i4:J24a3<,:8j6<>4$55f>476?2c8=94?::k050<722c8=;4?::m732<722wi5l>50;694?6|,=hi698?;I33b1=O99n>7)==a;33?!20m3;:=55f30694?=n;8?1<75f30494?=h<>=1<75rb8cf>5<3290;w):mb;654>N68o>0D<>k5:&06d<5n2.?;h4>1058m6732900e>?::188m6712900c998:188yg?>m3:187>50z&7fg<3>91C==h;;I33`0=#;;k1>k5+46g954733`9:87>5;h121?6=3`9::7>5;n643?6=3th2mn4?:583>5}#<kh18;>4H02e0>N68m?0(><n:028 11b28;::6g<1583>>o49<0;66g<1783>>i3?>0;66sm98a94?2=83:p(9lm:543?M77n=1C==j:;%11e?773-><i7?>159j742=831b?<;50;9j740=831d8:950;9~f<gf290?6=4?{%6af?2182B:<k:4H02g1>"4:h09j6*;7d82540<a:;?6=44i236>5<<a:;=6=44o554>5<<uk32m7>54;294~"3jk0?:=5G11d7?M77l<1/??o52g9'02c=98;37d=>4;29?l56=3:17d=>6;29?j20?3:17pl6a983>1<729q/8ol54728L46a<2B:<i;4$20b>7`<,==n6<>if:k051<722c8=84?::k053<722e?;:4?::a=<>=83>1<7>t$5`a>1073A;;j95G11f6?!55i38m7):8e;3256=n;8>1<75f30794?=n;8<1<75`46594?=zj0k=6=4;:183!2ej3>=<6F>0g68L46c=2.8>l4l;%64a?77nl1b?<:50;9j743=831b?<850;9l021=831vn479:187>5<7s->in7:90:J24c2<@8:o96*<2`80g>"3?l0:=<=4i237>5<<a:;>6=44i235>5<<g==<6=44}c;b0?6=<3:1<v*;bc8725=O99l?7E??d49'77g=;j1/8:k511de?l56<3:17d=>5;29?l56>3:17b:87;29?xd>1=0;694?:1y'0gd=<?:0D<>i4:J24a3<,:8j6n5+46g954723`9:87>5;h121?6=3`9::7>5;n643?6=3thon;4?:583>5}#<kh18;>4H02e0>N68m?0(><n:3f6?l56<3:17d=>5;29?l56>3:17b:87;29?xd>j=0;6:4?:1y'0gd=<?90D<>i4:J24a3<,:8j655f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0o;6=48:183!2ej3>=?6F>0g68L46c=2.8>l4;2:k051<722c8=84?::k053<722c8=:4?::k05=<722c8=44?::m732<722wi5hl50;594?6|,=hi698<;I33b1=O99n>7)==a;1;?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17d=>9;29?j20?3:17pl6f483>1<729q/8ol54728L46a<2B:<i;4$20b>7`<,==n6<??b:k051<722c8=84?::k053<722e?;:4?::ae55=83=1<7>t$5`a>1043A;;j95G11f6?!55i38m?6g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{ei9o1<7950;2x 1de2=<87E??f59K55b23-99m7:=;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3`9:57>5;n643?6=3thj=44?:683>5}#<kh18;=4H02e0>N68m?0(><n:2:8m6732900e>?::188m6712900e>?8:188m67?2900e>?6:188k1102900qoo=4;290?6=8r.?no4;619K55`33A;;h85+33c96c=#<>o1=<>;;h120?6=3`9:97>5;h122?6=3f><;7>5;|`b6c<72>0;6=u+4c`9035<@8:m86F>0e78 64f2;l87d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xd>j<0;6:4?:1y'0gd=<?90D<>i4:J24a3<,:8j69<4i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<uk3h<7>57;294~"3jk0?:>5G11d7?M77l<1/??o5399j742=831b?<;50;9j740=831b?<950;9j74>=831b?<750;9l021=831vn4mm:187>5<7s->in7:90:J24c2<@8:o96*<2`81b>"3?l0:==:4i237>5<<a:;>6=44i235>5<<g==<6=44}c;g2?6=?3:1<v*;bc8726=O99l?7E??d49'77g=:o90e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?ci3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k15;5f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0ni6=48:183!2ej3>=?6F>0g68L46c=2.8>l466:k051<722c8=84?::k053<722c8=:4?::k05=<722c8=44?::m732<722wi5im50;594?6|,=hi698<;I33b1=O99n>7)==a;62?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17d=>9;29?j20?3:17pl6de83>2<729q/8ol54718L46a<2B:<i;4$20b>6b<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;ga?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<ba290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1l;1<7:50;2x 1de2=<;7E??f59K55b23-99m7<i;%64a?768k1b?<:50;9j743=831b?<850;9l021=831vn4k=:184>5<7s->in7:93:J24c2<@8:o96*<2`81b6=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb8g0>5<0290;w):mb;657>N68o>0D<>k5:&06d<3:2c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::a=`2=83=1<7>t$5`a>1043A;;j95G11f6?!55i3937d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xd>m<0;694?:1y'0gd=<?:0D<>i4:J24a3<,:8j6?h4$55f>477;2c8=94?::k050<722c8=;4?::m732<722wi5h850;594?6|,=hi698<;I33b1=O99n>7)==a;0e7>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sm9d594?1=83:p(9lm:540?M77n=1C==j:;%11e?253`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`:a=<72>0;6=u+4c`9035<@8:m86F>0e78 64f2:20e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?b13:187>50z&7fg<3>91C==h;;I33`0=#;;k1>k5+46g954643`9:87>5;h121?6=3`9::7>5;n643?6=3th2il4?:683>5}#<kh18;=4H02e0>N68m?0(><n:3d0?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17d=>9;29?j20?3:17pl6eb83>2<729q/8ol54718L46a<2B:<i;4$20b><0<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;f`?6=?3:1<v*;bc8726=O99l?7E??d49'77g=1?1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<cb290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0?=6g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1ll1<7950;2x 1de2=<87E??f59K55b23-99m7l4i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<uk3m<7>57;294~"3jk0?:>5G11d7?M77l<1/??o5439j742=831b?<;50;9j740=831b?<950;9j74>=831b?<750;9l021=831vn4h>:184>5<7s->in7:93:J24c2<@8:o96*<2`80<>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sm9g094?2=83:p(9lm:543?M77n=1C==j:;%11e?4a3-><i7?>0`9j742=831b?<;50;9j740=831d8:950;9~f<`4290<6=4?{%6af?21;2B:<k:4H02g1>"4:h09j>5f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0l26=49:183!2ej3>=>6F>0g68L46c=2.8>l4<e:k051<722c8=84?::k053<722c8=:4?::k05=<722e?;:4?::a=cg=83=1<7>t$5`a>1043A;;j95G11f6?!55i3>97d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xd>nk0;6:4?:1y'0gd=<?90D<>i4:J24a3<,:8j6>64i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<uk3mo7>54;294~"3jk0?:=5G11d7?M77l<1/??o52g9'02c=98:j7d=>4;29?l56=3:17d=>6;29?j20?3:17pl6fe83>2<729q/8ol54718L46a<2B:<i;4$20b>7`43`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`b47<72?0;6=u+4c`9034<@8:m86F>0e78 64f2:o0e>?;:188m6722900e>?9:188m6702900e>?7:188k1102900qoo?4;293?6=8r.?no4;629K55`33A;;h85+33c907=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb`26>5<0290;w):mb;657>N68o>0D<>k5:&06d<402c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::ae50=83>1<7>t$5`a>1073A;;j95G11f6?!55i38m7):8e;324<=n;8>1<75f30794?=n;8<1<75`46594?=zjh:<6=48:183!2ej3>=?6F>0g68L46c=2.8>l4=f29j742=831b?<;50;9j740=831b?<950;9j74>=831b?<750;9l021=831vnl>7:184>5<7s->in7:93:J24c2<@8:o96*<2`876>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sma1;94?1=83:p(9lm:540?M77n=1C==j:;%11e?5?3`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`b4g<72?0;6=u+4c`9034<@8:m86F>0e78 64f2:o0e>?;:188m6722900e>?9:188m6702900e>?7:188k1102900qoo?c;293?6=8r.?no4;629K55`33A;;h85+33c907=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb`2g>5<0290;w):mb;657>N68o>0D<>k5:&06d<402c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::ae5`=83>1<7>t$5`a>1073A;;j95G11f6?!55i38m7):8e;324<=n;8>1<75f30794?=n;8<1<75`46594?=zjh;;6=48:183!2ej3>=?6F>0g68L46c=2.8>l4=f29j742=831b?<;50;9j740=831b?<950;9j74>=831b?<750;9l021=831vnl?>:184>5<7s->in7:93:J24c2<@8:o96*<2`876>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sma0094?1=83:p(9lm:540?M77n=1C==j:;%11e?5?3`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`b51<72?0;6=u+4c`9034<@8:m86F>0e78 64f2:o0e>?;:188m6722900e>?9:188m6702900e>?7:188k1102900qoo>5;293?6=8r.?no4;629K55`33A;;h85+33c96`c<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}cc22?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~fd70290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{ei821<7:50;2x 1de2=<;7E??f59K55b23-99m7<i;%64a?768>1b?<:50;9j743=831b?<850;9l021=831vnl?n:184>5<7s->in7:93:J24c2<@8:o96*<2`81b6=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb`3a>5<0290;w):mb;657>N68o>0D<>k5:&06d<3:2c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::ae4e=83=1<7>t$5`a>1043A;;j95G11f6?!55i3937d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xdf9m0;694?:1y'0gd=<?:0D<>i4:J24a3<,:8j6?h4$55f>477:2c8=94?::k050<722c8=;4?::m732<722wim<k50;594?6|,=hi698<;I33b1=O99n>7)==a;0e7>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sma0d94?1=83:p(9lm:540?M77n=1C==j:;%11e?253`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`b65<72>0;6=u+4c`9035<@8:m86F>0e78 64f2:20e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188ygg593:187>50z&7fg<3>91C==h;;I33`0=#;;k1>k5+46g954653`9:87>5;h121?6=3`9::7>5;n643?6=3thj>?4?:683>5}#<kh18;=4H02e0>N68m?0(><n:3d0?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17d=>9;29?j20?3:17pln2283>2<729q/8ol54718L46a<2B:<i;4$20b><0<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}cc11?6=?3:1<v*;bc8726=O99l?7E??d49'77g=1?1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~fd41290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0?=6g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{ei;=1<7950;2x 1de2=<87E??f59K55b23-99m7=k;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3`9:57>5;n643?6=3thj>54?:683>5}#<kh18;=4H02e0>N68m?0(><n:508m6732900e>?::188m6712900e>?8:188m67?2900e>?6:188k1102900qoo=9;293?6=8r.?no4;629K55`33A;;h85+33c97==n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb`0b>5<3290;w):mb;654>N68o>0D<>k5:&06d<5n2.?;h4>1158m6732900e>?::188m6712900c998:188ygg5j3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1>k=4i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<ukk9o7>57;294~"3jk0?:>5G11d7?M77l<1/??o5439j742=831b?<;50;9j740=831b?<950;9j74>=831b?<750;9l021=831vnl<k:184>5<7s->in7:93:J24c2<@8:o96*<2`80<>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sma3g94?2=83:p(9lm:543?M77n=1C==j:;%11e?4a3-><i7?>009j742=831b?<;50;9j740=831d8:950;9~fd57290<6=4?{%6af?21;2B:<k:4H02g1>"4:h09j>5f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zjh9:6=48:183!2ej3>=?6F>0g68L46c=2.8>l4;2:k051<722c8=84?::k053<722c8=:4?::k05=<722c8=44?::m732<722wim><50;594?6|,=hi698<;I33b1=O99n>7)==a;1;?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17d=>9;29?j20?3:17pln3283>1<729q/8ol54728L46a<2B:<i;4$20b>7`<,==n6<??1:k051<722c8=84?::k053<722e?;:4?::ae62=83=1<7>t$5`a>1043A;;j95G11f6?!55i38m?6g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{ei:?1<7950;2x 1de2=<87E??f59K55b23-99m779;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3`9:57>5;n643?6=3thj?;4?:683>5}#<kh18;=4H02e0>N68m?0(><n:848m6732900e>?::188m6712900e>?8:188m67?2900e>?6:188k1102900qoo<7;293?6=8r.?no4;629K55`33A;;h85+33c904=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rb`1;>5<0290;w):mb;657>N68o>0D<>k5:&06d<e3`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;h12=?6=3f><;7>5;|`b7<<72>0;6=u+4c`9035<@8:m86F>0e78 64f2=80e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?e>3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1?55f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0h<6=4;:183!2ej3>=<6F>0g68L46c=2.8>l4=f:&73`<699<0e>?;:188m6722900e>?9:188k1102900qo7m8;293?6=8r.?no4;629K55`33A;;h85+33c96c5<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;a=?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<df290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1ki1<7850;2x 1de2=<97E??f59K55b23-99m7=j;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`:fa<72>0;6=u+4c`9035<@8:m86F>0e78 64f2=80e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?em3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1?55f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0hm6=4;:183!2ej3>=<6F>0g68L46c=2.8>l4=f:&73`<699<0e>?;:188m6722900e>?9:188k1102900qo7l1;293?6=8r.?no4;629K55`33A;;h85+33c96c5<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;`6?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<e4290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1j?1<7850;2x 1de2=<97E??f59K55b23-99m7=j;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`:g3<72>0;6=u+4c`9035<@8:m86F>0e78 64f2=80e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?d?3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1?55f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0i36=4;:183!2ej3>=<6F>0g68L46c=2.8>l4=f:&73`<699?0e>?;:188m6722900e>?9:188k1102900qo7l9;293?6=8r.?no4;629K55`33A;;h85+33c96c5<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;`e?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<ed290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1jo1<7850;2x 1de2=<97E??f59K55b23-99m7=j;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`:gc<72>0;6=u+4c`9035<@8:m86F>0e78 64f2=80e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188yg?c83:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1?55f30694?=n;8?1<75f30494?=n;8=1<75f30:94?=n;831<75`46594?=zj0n:6=4;:183!2ej3>=<6F>0g68L46c=2.8>l4=f:&73`<699?0e>?;:188m6722900e>?9:188k1102900qo7k2;293?6=8r.?no4;629K55`33A;;h85+33c96c5<a:;?6=44i236>5<<a:;=6=44i234>5<<a:;36=44i23:>5<<g==<6=44}c;g7?6=?3:1<v*;bc8726=O99l?7E??d49'77g=<;1b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~f<b3290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0846g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1m=1<7850;2x 1de2=<97E??f59K55b23-99m7=j;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`:`=<72>0;6=u+4c`9035<@8:m86F>0e78 64f2;on7d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xd>l00;6;4?:1y'0gd=<?80D<>i4:J24a3<,:8j6?h;;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`ga<<72>0;6=u+4c`9035<@8:m86F>0e78 64f2880e>?;:188m6722900e>?9:188m6702900e>?7:188m67>2900c998:188ygbak3:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1>k>4i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<uknmh7>53;294~"3jk0?9k5G11d7?M77l<1/??o5159j742=831b?<;50;9l021=831vnihj:184>5<7s->in7:93:J24c2<@8:o96*<2`81`c=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rbegg>5<0290;w):mb;657>N68o>0D<>k5:&06d<5n11b?<:50;9j743=831b?<850;9j741=831b?<650;9j74?=831d8:950;9~facb290<6=4?{%6af?21;2B:<k:4H02g1>"4:h0m7d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xdcn:0;684?:1y'0gd=<?;0D<>i4:J24a3<,:8j6?kk;h120?6=3`9:97>5;h122?6=3`9:;7>5;n643?6=3thoi94?:583>5}#<kh1>h74H02e0>N68m?0(997:036<>"4:h0:7d77:188m`3=831b85:50;9l0=7=831vnik9:187>5<7s->in7<j9:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17d:74;29?j2?93:17plke683>6<729q/8ol544d8L46a<2B:<i;4$20b>7b33-><i7?>0d9j742=831b?<;50;9l021=831vnh;?:187>5<7s->in7<j9:J24c2<@8:o96*;798250><,:8j6<5f9983>>ob=3:17d:74;29?j2?93:17plj5083>6<729q/8ol544d8L46a<2B:<i;4$20b>7b33`9:87>5;h121?6=3f><;7>5;|`ggd<72=0;6=u+4c`96`?<@8:m86F>0e78 11?28;>46*<2`82?l??2900eh;50;9j0=2=831d85?50;9~faee29086=4?{%6af?22n2B:<k:4H02g1>"4:h09h95+46g9546a3`9:87>5;h121?6=3f><;7>5;|`gb1<72>0;6=u+4c`9035<@8:m86F>0e78 64f2;l=7d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xdcn<0;694?:1y'0gd=<?:0D<>i4:J24a3<,:8j6?kl;h120?6=3`9:97>5;h122?6=3f><;7>5;|`gb3<72>0;6=u+4c`9035<@8:m86F>0e78 64f2;l<7d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xdcn>0;6:4?:1y'0gd=<?90D<>i4:J24a3<,:8j6?ki;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3`9:57>5;n643?6=3thn5o4?:583>5}#<kh1>h64H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900e99i:188k1>62900qo;l3;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<i96=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a1f7=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:c183>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?ij7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f0db29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm5cf94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>nn4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3103:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb444>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9;850;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2><0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c750?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn88<:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=?;1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`625<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;:f;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<?n6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a10b=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:5b83>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?>n7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f03f29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm54;94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>954?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg32>3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb476>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi98:50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2=:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c766?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8;>:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=<:1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`60c<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;;e;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<>o6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a11d=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:4`83>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk??57>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f02?29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm55594?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>8;4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg33=3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb467>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi99=50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2<;0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c774?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8=i:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=:o1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`67a<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;<c;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<9i6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a16g=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:3883>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?847>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f05029086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm52794?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>?94?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg34;3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb411>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9>?50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2;90;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c71b?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8<j:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=;n1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`66f<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;=9;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<836=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a171=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:2783>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?997>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f04329086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm53194?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>>?4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3593:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb403>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9<k50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd29m0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c72g?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8?m:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=8k1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`65<<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;>8;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<;<6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a140=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:1483>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?:?7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f07529086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm50394?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>==4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg37n3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb42f>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9=j50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd28j0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c73f?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8>n:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=921<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`642<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;?6;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<:>6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a152=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:0283>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?;>7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f06629086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm51294?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th?jk4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg2al3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb5d`>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi8kl50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd3nh0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c6e=?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn9h7:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e<o=1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`7b3<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo:i5;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj=l?6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a0c4=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl;f083>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk>m<7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f1ca29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm4dg94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th?ii4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg2bk3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb5ga>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi8ho50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd3m00;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c6f3?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn9k9:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e<l?1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`7a1<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo:j3;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj=o96=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a0`7=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl;e183>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk>oj7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f1bb29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm4ea94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th?ho4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg2ci3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb5f:>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi8i650;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd3l>0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c6g2?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn9j::180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e<m>1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`7`6<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo:k1;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj=n;6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a0f`=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl;cd83>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk>hh7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f1ed29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm4b`94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th?ol4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg2d13:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb5a;>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi8n850;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd3k<0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c6`0?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn9m<:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e<j81<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`7g4<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo:l0;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj=hm6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a0gc=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl;be83>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?im7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f0d>29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm5c:94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>n:4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3e>3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb4`6>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9o:50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2j:0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c7a6?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn8l>:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=hl1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`6e`<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;nd;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<kh6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a1dd=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:a`83>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?j57>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f0g?29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm5`594?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>m;4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3f<3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb4c0>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9l<50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2i80;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c7b4?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn87i:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=0o1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`6=a<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;6c;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<3i6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a1<?=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:9983>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?2;7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f0?129086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm58794?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>594?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3>;3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb4;1>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi94?50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2190;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c7;a?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn86k:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=1i1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`6<g<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;7a;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<226=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a1=>=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:8683>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?3:7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f0>229086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm59194?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>4?4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3?93:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb4:3>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9:h50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2?l0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c74`?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn89l:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=>h1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`63d<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;88;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<=<6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a120=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:7483>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?<87>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f01429086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm56094?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th>;<4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg3083:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb44e>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi9;j50;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd2>j0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c75f?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn88n:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=?31<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`627<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;:7;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<>h6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a117=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:3783>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?9m7>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f07a29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm50694?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th><44?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188yg2am3:1?7>50z&7fg<5m;1C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=h<1;1<75rb5d0>5<4290;w):mb;0f6>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::m7<4<722wi8h650;194?6|,=hi6?k=;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17b:71;29?xd3lm0;6>4?:1y'0gd=:l80D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<g=2:6=44}c6g6?6=;3:1<v*;bc81a7=O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9l0=7=831vn9m8:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{e=kh1<7=50;2x 1de2;o97E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3f>3=7>5;|`6f5<72:0;6=u+4c`96`4<@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188k1>62900qo;n5;297?6=8r.?no4=e39K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75`49394?=zj<3j6=4<:183!2ej38n>6F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722e?4<4?::a1=`=8391<7>t$5`a>7c53A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?j2?93:17pl:8583>6<729q/8ol52d08L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44o5:2>5<<uk?<57>53;294~"3jk09i?5G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831d85?50;9~f00b29086=4?{%6af?4b:2B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>i3080;66sm53`94?5=83:p(9lm:3g1?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;n6;5?6=3th?nn4?:283>5}#<kh1>h<4H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900c96>:188ygc?:3:187>50z&7fg<5m11C==h;;I33`0=#;;k1=95+46:9543?3`336=44id794?=n<>l1<75`49394?=zjl286=4;:183!2ej38n46F>0g68L46c=2.8>l4>4:&73=<69<20e4650;9ja0<722c?;k4?::m7<4<722wii5:50;694?6|,=hi6?k7;I33b1=O99n>7)==a;37?!2003;:955f9983>>ob=3:17d:8f;29?j2?93:17plj8483>1<729q/8ol52d:8L46a<2B:<i;4$20b>42<,==36<?:8:k:<?6=3`o>6=44i55e>5<<g=2:6=44}cg;2?6=<3:1<v*;bc81a==O99l?7E??d49'77g=9=1/8:65107;?l??2900eh;50;9j02`=831d85?50;9~f`>0290?6=4?{%6af?4b02B:<k:4H02g1>"4:h0:86*;798250><a021<75fe483>>o3?o0;66a;8083>>{em121<7:50;2x 1de2;o37E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3`><j7>5;n6;5?6=3thn444?:583>5}#<kh1>h64H02e0>N68m?0(><n:068 11?28;>46g68;29?lc22900e99i:188k1>62900qok8a;290?6=8r.?no4=e99K55`33A;;h85+33c951=#<>21=<;7;h;;>5<<al?1<75f46d94?=h<1;1<75rbd5a>5<3290;w):mb;0f<>N68o>0D<>k5:&06d<6<2.?;54>14:8m<>=831bi84?::k73c<722e?4<4?::aa2e=83>1<7>t$5`a>7c?3A;;j95G11f6?!55i3;?7):88;321==n110;66gj5;29?l20n3:17b:71;29?xdb?m0;694?:1y'0gd=:l20D<>i4:J24a3<,:8j6<:4$55;>47202c247>5;hg6>5<<a==m6=44o5:2>5<<uko<i7>54;294~"3jk09i55G11d7?M77l<1/??o5159'02>=98?37d77:188m`3=831b8:h50;9l0=7=831vnh9i:187>5<7s->in7<j8:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66g;7g83>>i3080;66sme9294?2=83:p(9lm:3g;?M77n=1C==j:;%11e?733-><47?>599j==<722cn97>5;h64b?6=3f>3=7>5;|`f<4<72=0;6=u+4c`96`><@8:m86F>0e78 64f28>0(997:036<>o>03:17dk::188m11a2900c96>:188ygbc>3:187>50z&7fg<5m=1C==h;;I33`0=#;;k1=6*;798250><a021<75fbb83>>ob=3:17b:71;29?xdcl>0;694?:1y'0gd=:l>0D<>i4:J24a3<,:8j6<5+46:9543?3`336=44ica94?=nm<0;66a;8083>>{eljo1<7:50;2x 1de2;o37E??f59K55b23-99m7?;;%64<?76=11b554?::kf1?6=3`><j7>5;n6;5?6=3thooi4?:583>5}#<kh1>h:4H02e0>N68m?0(><n:09'02>=98?37d77:188mge=831bi84?::m7<4<722wii=850;694?6|,=hi6?k;;I33b1=O99n>7)==a;38 11?28;>46g68;29?ldd2900eh;50;9l0=7=831vni66:180>5<7s->in7<j2:J24c2<@8:o96*<2`820>"3?10:=864i8:94?=nm<0;66a;8083>>{el>n1<7:50;2x 1de2;o?7E??f59K55b23-99m7?4$55;>47202c247>5;h``>5<<al?1<75`49394?=zjm=h6=4;:183!2ej38n86F>0g68L46c=2.8>l4>;%64<?76=11b554?::kag?6=3`o>6=44o5:2>5<<ukn=m7>54;294~"3jk09i95G11d7?M77l<1/??o51:&73=<69<20e4650;9jff<722cn97>5;n6;5?6=3tho:44?:583>5}#<kh1>h:4H02e0>N68m?0(><n:09'02>=98?37d77:188mge=831bi84?::m7<4<722wim=o50;594?6|,=hi698<;I33b1=O99n>7)==a;0e=>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sma0194?1=83:p(9lm:540?M77n=1C==j:;%11e?4a12c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::a=gd=83=1<7>t$5`a>1043A;;j95G11f6?!55i38m56g<1583>>o49<0;66g<1783>>o49>0;66g<1983>>o4900;66a;7683>>{e1j>1<7950;2x 1de2=<87E??f59K55b23-99m7<i9:k051<722c8=84?::k053<722c8=:4?::k05=<722c8=44?::m732<722wi5nj50;594?6|,=hi698<;I33b1=O99n>7)==a;0e=>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66sm9e794?1=83:p(9lm:540?M77n=1C==j:;%11e?4a12c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::a=c0=83>1<7>t$5`a>1023A;;j95G11f6?l56<3:17d=>5;29?l2>i3:17b:87;29?xd>n>0;6;4?:1y'0gd=<?80D<>i4:J24a3<,:8j6<k7;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3f><;7>5;|`:b=<72>0;6=u+4c`9035<@8:m86F>0e78 64f2;o87d=>4;29?l56=3:17d=>6;29?l56?3:17d=>8;29?l5613:17b:87;29?xd>no0;694?:1y'0gd=<??0D<>i4:J24a3<a:;?6=44i236>5<<a=3j6=44o554>5<<ukk;<7>56;294~"3jk0?:?5G11d7?M77l<1/??o51d:8m6732900e>?::188m6712900e>?8:188m67?2900c998:188ygg793:1;7>50z&7fg<3>:1C==h;;I33`0=#;;k1>h=4i237>5<<a:;>6=44i235>5<<a:;<6=44i23;>5<<a:;26=44o554>5<<uknm57>54;294~"3jk0?:85G11d7?M77l<1b?<:50;9j743=831b84o50;9l021=831vnihn:184>5<7s->in7:93:J24c2<@8:o96*<2`87`>o49=0;66g<1483>>o49?0;66g<1683>>o4910;66g<1883>>i3?>0;66smdg`94?0=83:p(9lm:541?M77n=1C==j:;%11e?2b3`9:87>5;h121?6=3`9::7>5;h123?6=3`9:47>5;n643?6=3thoil4?:583>5}#<kh18;;4H02e0>N68m?0e>?;:188m6722900e97n:188k1102900qojjb;293?6=8r.?no4;629K55`33A;;h85+33c972=n;8>1<75f30794?=n;8<1<75f30594?=n;821<75f30;94?=h<>=1<75rbeg`>5<0290;w):mb;657>N68o>0D<>k5:&06d<4?2c8=94?::k050<722c8=;4?::k052<722c8=54?::k05<<722e?;:4?::a`c6=83>1<7>t$5`a>1023A;;j95G11f6?l56<3:17d=>5;29?l2>i3:17b:87;29?xdcn80;6:4?:1y'0gd=<?90D<>i4:J24a3<,:8j6?h:;h120?6=3`9:97>5;h122?6=3`9:;7>5;h12<?6=3`9:57>5;n643?6=3thoj?4?:783>5}#<kh18;<4H02e0>N68m?0(><n:3g4?l56<3:17d=>5;29?l56>3:17d=>7;29?l5603:17b:87;29?xd>980;65>50;2x 1de2=2>7E??f59K55b23S3<6hu=3;07>40=9>0997<9:3596=<6j3;h6<j51d874?542td95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$2ca>6=#;hi1?6*<ae8733=#<1318o?4n5:a>4=i<1i1=6*;9987<a=#<03185j4$5;e>46c12.?mn4<;%6b`?5<,8:ni7??ee9'55ca28:nh6`>0g295>h68o;1=6*=db8733=#:mn1>h?4$5:b>4c03->2o7<j1:&7e5<4i2ci=7>5;h`7>5<<a;n26=44i3fa>5<<a=2m6=44i5;3>5<<a=3:6=4G4`08?l2>:3:1D9o=;:k7=1<722c?584?::k7=3<722c?5:4?::k51?6=,==o6;:4n55`>5=<a?91<7*;7e850>h3?j0:76g92;29 11c2?>0b99l:398m37=83.?;i494:l73f<432c=i7>5$55g>32<f==h6954i7f94?"3?m0=86`;7b86?>o1k3:1(99k:768j11d2?10e;l50;&73a<1<2d?;n48;:k5e?6=,==o6;:4n55`>==<a?31<7*;7e850>h3?j0276g98;29 11c2?>0b99l:`98m31=83.?;i494:l73f<e32c=:7>5$55g>32<f==h6n54i7294?"3?m0=86`;7b8g?>o093:1(99k:628j11d291C8l<4;h4e>5<#<>n1;=5a46a95>N3i;10e5;50;&73a<?<2d?;n4?;I6b6>=n0:0;6):8d;:7?k20k3;0D9o=;:k;f?6=,==o65o4n55`>5=<a131<7*;7e8;e>h3?j0:76g78;29 11c21k0b99l:398m=1=83.?;i47a:l73f<432c287>5$55g>=g<f==h6954i8194?"3?m03m6`;7b86?>o>:3:1(99k:9c8j11d2?10e4?50;&73a<?i2d?;n48;:k:4?6=,==o65o4n55`>==<a1l1<7*;7e8;e>h3?j0276g7e;29 11c21k0b99l:`98m=b=83.?;i47a:l73f<e32c3o7>5$55g>=g<f==h6n54i9494?"3?m03m6`;7b8g?>o59;0;6):8d;025>h3?j0;76g=1183>!20l38:=6`;7b82?>o58o0;6):8d;025>h3?j0976g=0d83>!20l38:=6`;7b80?>o58m0;6):8d;025>h3?j0?76g=0b83>!20l38:=6`;7b86?>o59k0;6):8d;025>h3?j0=76g=1`83>!20l38:=6`;7b84?>o5900;6):8d;025>h3?j0376g=1983>!20l38:=6`;7b8:?>o59>0;6):8d;025>h3?j0j76g=1783>!20l38:=6`;7b8a?>o59<0;6):8d;025>h3?j0h76g=1583>!20l38:=6`;7b8g?>o59:0;6):8d;025>h3?j0n76g=0c83>!20l38:=6`;7b8e?>o5<=0;6):8d;077>h3?j0;76g=4383>!20l38??6`;7b82?>o5<80;6):8d;077>h3?j0976g=4183>!20l38??6`;7b80?>o5;o0;6):8d;077>h3?j0?76g=3d83>!20l38??6`;7b86?>o5<m0;6):8d;077>h3?j0=76g=4b83>!20l38??6`;7b84?>o5<k0;6):8d;077>h3?j0376g=4`83>!20l38??6`;7b8:?>o5<00;6):8d;077>h3?j0j76g=4983>!20l38??6`;7b8a?>o5<>0;6):8d;077>h3?j0h76g=4783>!20l38??6`;7b8g?>o5<<0;6):8d;077>h3?j0n76g=3e83>!20l38??6`;7b8e?>o5=<0;6):8d;060>h3?j0;76g=5283>!20l38>86`;7b82?>o5=m0;6):8d;06g>h3?j0;76g=5c83>!20l38>o6`;7b82?>i50?0;6):8d;0;1>h3?j0;76a=8583>!20l38396`;7b82?>i50:0;6):8d;0;1>h3?j0976a=8383>!20l38396`;7b80?>i5080;6):8d;0;1>h3?j0?76a=8183>!20l38396`;7b86?>i50o0;6):8d;0;1>h3?j0=76a=8d83>!20l38396`;7b84?>i50m0;6):8d;0;1>h3?j0376a=8b83>!20l38396`;7b8:?>i50k0;6):8d;0;1>h3?j0j76a=8`83>!20l38396`;7b8a?>i5000;6):8d;0;1>h3?j0h76a=8983>!20l38396`;7b8g?>i50>0;6):8d;0;1>h3?j0n76a=7g83>!20l38396`;7b8e?>i5j00;6):8d;0a<>h3?j0;76a=b683>!20l38i46`;7b82?>i5j?0;6):8d;0a<>h3?j0976a=b483>!20l38i46`;7b80?>i5j=0;6):8d;0a<>h3?j0?76a=b283>!20l38i46`;7b86?>i5k;0;6):8d;0a<>h3?j0=76a=c083>!20l38i46`;7b84?>i5k90;6):8d;0a<>h3?j0376a=bg83>!20l38i46`;7b8:?>i5jl0;6):8d;0a<>h3?j0j76a=be83>!20l38i46`;7b8a?>i5jj0;6):8d;0a<>h3?j0h76a=bc83>!20l38i46`;7b8g?>i5jh0;6):8d;0a<>h3?j0n76a=b383>!20l38i46`;7b8e?>i5kk0;6):8d;0`e>h3?j0;76a=c883>!20l38hm6`;7b82?>i5l:0;6):8d;0g6>h3?j0;76a=d083>!20l38o>6`;7b82?>o68mo1<7*;7e824ab<f==h6=5G4`08?l77lj0;6):8d;33`a=i<>i1=6F;a398m46cj3:1(99k:02g`>h3?j0976g>0ec94?"3?m0:<ij4n55`>6=<a8:no7>5$55g>46bj2d?;n4?;I6b6>=n99oj6=4+46f955ce3g><o7?4H5c1?>o68l31<7*;7e824`d<f==h6?5G4`08?l77m10;6):8d;33ag=i<>i1?6F;a398m46b?3:1(99k:02ff>h3?j0?76g>0d494?"3?m0:<hl4n55`>0=<a8:n97>5$55g>46bj2d?;n49;:k24`2=83.?;i4>0d`8j11d2>10qo7>3;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb81b>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=13=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>=90;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3>n7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn489:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm96394?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:3f<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg???3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0396=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi5<k50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl62883>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;06?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<54290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1:>1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2?84?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo7<6;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb814>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=6>=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>;00;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk38n7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn4=l:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm92f94?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:7`<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?4n3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0>;6=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi59?50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl64383>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;77?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<23290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1=<1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th28:4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo7;8;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb86:>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=1g=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd><k0;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3?o7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn4:k:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm95g94?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:0c<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?293:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0?96=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi58=50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl65583>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;61?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<31290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1<=1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2954?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo7:9;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb87b>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=0e=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>=m0;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3>i7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn4;i:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm97294?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:24<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?1:3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0<86=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi5;:50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl66483>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;53?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<0?290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1?31<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2:l4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo79b;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb84`>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=3b=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>>l0;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3=j7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn49?:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm96094?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:36<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?0<3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0=>6=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi5:850;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl67683>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;4<?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<1>290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1>k1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2;o4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo78d;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb85f>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=2`=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>090;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk33=7>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn46=:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm99194?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:<1<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg??=3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj02=6=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi55650;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl68883>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;;e?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<>e290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e11i1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th24i4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo77e;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb8:e>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=<6=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>180;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3:87>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn4?::18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm90494?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:52<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?603:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj0;26=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi5<o50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl61c83>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;2g?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<7c290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e18l1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2>=4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10qo7=1;29g=<729qC==j:;%6af?2?>2P2;7mt1882e?562:?1??4<6;10>7g=:k09o7=;:3;9y!2003;:955a28294>h5k:0;7)=>a;48 67e2?1/?<m56:&05a<13-9:i784$23e>3=#;;:1:6*<2085?!55:3<0(><<:79'772=>2.8>849;%112?0<,:8<6;5+33:92>"4:00=7)==b;48 64d2?1/??j56:&06`<13-99j784$213>3=#;:;1:6*<3385?!54;3<0(>=;:79'763=>2.8?;49;%103?0<,:936;5+32;92>"4;h0=7)=<b;48 65d2?1/?>j56:&07`<13-98j784$263>3=#;=;1:6*<4385?!53;3<0(>:;:79'713=>2.88;49;%173?0<,:>36;5+35;92>"4<h0=7)=;b;48 62d2?1/?9j56:&00`<13-9?j784$273>3=#;<;1:6*<5385?!52;3<0(>;;:79'703=>2.89;49;%163?0<,:?36;5+34;92>"4=h0=7)=:b;48 63d2?1/?8j56:&01`<13-9>j784$243>3=#;?;1:6*<6385?!51;3<0(>8;:79'733=>2.8:;49;%153?0<,:<36;5+37;92>"4>h0=7)=9b;48 60d2?1/?;j56:&02`<13-9=j784$253>3=#;>;1:6*<7385?!50;3<0(>9;:79'723=>2.8;;49;%143?0<,:=36;5+36;92>"4?h0=7)=8b;48 61d2?1/?:j56:&03`<13-9<j784$2:3>3=#;1;1:6*<8385?!5?;3<0(>6;:79'7=3=>2.84;49;%1;3?0<,:236;5+39;92>"40h0=7)=7b;48 6>d2?1/?5j56:&0<`<13-93j784$2;3>3=#;0;1:6*<9385?!5>;3<0(>7;:79'7<3=>2.85;49;%1:3?0<,:336;5+38;92>"41h0=7)=6b;48 6?d2?1/?4j56:&0=`<13-92j784$2c3>3=#;h;1:6*<a385?!5f;3<0(>o;:79'7d3=>2.8m;49;%1b3?0<,:k36;5+3`;92>"4ih0=7)=nb;78 6gd2<1/?lj54648 1>02===7):78;642>h30k097c:7c;08 1?a20?0(9o>:5;a?!2fk3?0(9ok:49'55cb28:nh6*>0dd955cc3g;;j=4=;o33b4<53`;nm7>5$55g>7653g><o7?i;:k24ag=83.?;i4>0ef8j11d2:10elm50;9jf7<722c9h54?::k162<72-><h7<<4:l73f<6m21b><m50;&73a<5;=1e8:m51g98k70>290/8:j52648j11d28o07b<:e;29 11c2;==7c:8c;3e?>o2<3:1(99k:478j11d2810e8=50;&73a<2=2d?;n4=;:k66?6=,==o68;4n55`>6=<a<;1<7*;7e861>h3?j0?76g:0;29 11c2<?0b99l:498m0`=83.?;i4:5:l73f<132c>i7>5$55g>03<f==h6:54i4f94?"3?m0>96`;7b8;?>o2k3:1(99k:478j11d2010e8l50;&73a<2=2d?;n4n;:k6e?6=,==o68;4n55`>g=<a<31<7*;7e861>h3?j0h76g:8;29 11c2<?0b99l:e98m01=83.?;i4:5:l73f<b32c<;7>5$55g>2><f==h6<54i6494?"3?m0<46`;7b81?>o0=3:1(99k:6:8j11d2:10e::50;&73a<002d?;n4;;:k47?6=,==o6:64n55`>0=<a181<7*;7e84<>h3?j0=76g71;29 11c2>20b99l:698m=6=83.?;i488:l73f<?32c<j7>5$55g>2><f==h6454i6g94?"3?m0<46`;7b8b?>o0l3:1(99k:6:8j11d2k10e:m50;&73a<002d?;n4l;:k4f?6=,==o6:64n55`>a=<a>k1<7*;7e84<>h3?j0n76g>0ed94?"3?m0:<h<4n55`>6=<gh:1<75`9g83>>o30l0;66an1;29?l2fn3:17b7j:188m<d=831b>io50;9j=d<722c2h7>5;h6ba?6=3`3h6=44i5;3>5<<a;?96=4+46f9607<f==h6=54i373>5<#<>n1>8?4n55`>4=<a;>m6=4+46f9607<f==h6?54i36f>5<#<>n1>8?4n55`>6=<a8:oi7>5$55g>46cl2d?;n4?;:k24ae=83.?;i4>0ef8j11d2810e<>kb;29 11c28:oh6`;7b81?>o5;<0;6):8d;000>h3?j0;76g=3283>!20l38886`;7b82?>o5;80;6):8d;000>h3?j0976g=3183>!20l38886`;7b80?>o5:o0;6):8d;000>h3?j0?76g=2d83>!20l38886`;7b86?>o5:m0;6):8d;000>h3?j0=76g=2b83>!20l38886`;7b84?>o5:k0;6):8d;000>h3?j0376g=2`83>!20l38886`;7b8:?>o5:00;6):8d;000>h3?j0j76g=2983>!20l38886`;7b8a?>o5:?0;6):8d;000>h3?j0h76g=2483>!20l38886`;7b8g?>o5:=0;6):8d;000>h3?j0n76g=2283>!20l38886`;7b8e?>o5:;0;6):8d;000>h3?j0:<65f23394?"3?m09?95a46a954=<a;8;6=4+46f9662<f==h6<<4;h02b?6=,==o6?=;;o64g?7432c9=h4?:%64`?44<2d?;n4>4:9j64b=83.?;i4=359m02e=9<10e?=l:18'02b=::>0b99l:048?l44j3:1(99k:317?k20k3;<76g=3`83>!20l38886`;7b82<>=n::31<7*;7e8171=i<>i1=454i31;>5<#<>n1>>:4n55`>4g<3`88;7>5$55g>7533g><o7?m;:k173<72-><h7<<4:l73f<6k21b>><50;&73a<5;=1e8:m51e98k710290/8:j52648j11d2910c?9::18'02b=:><0b99l:098k714290/8:j52648j11d2;10c?9=:18'02b=:><0b99l:298k716290/8:j52648j11d2=10c?9?:18'02b=:><0b99l:498k70a290/8:j52648j11d2?10c?8j:18'02b=:><0b99l:698k70c290/8:j52648j11d2110c?8l:18'02b=:><0b99l:898k70e290/8:j52648j11d2h10c?8n:18'02b=:><0b99l:c98k70?290/8:j52648j11d2j10c?88:18'02b=:><0b99l:e98k701290/8:j52648j11d2l10c?8::18'02b=:><0b99l:g98k703290/8:j52648j11d28:07b<93;29 11c2;==7c:8c;32?>i5>;0;6):8d;042>h3?j0:>65`27394?"3?m09;;5a46a956=<g;<;6=4+46f9620<f==h6<:4;n06b?6=,==o6?99;o64g?7232e9;h4?:%64`?40>2d?;n4>6:9l62b=83.?;i4=779m02e=9>10c?9l:18'02b=:><0b99l:0:8?j40j3:1(99k:355?k20k3;276a=7`83>!20l38<:6`;7b82e>=h:>31<7*;7e8133=i<>i1=o54o35;>5<#<>n1>:84n55`>4e<3f8<87>5$55g>7113g><o7?k;:m1g=<72-><h7<l7:l73f<732e9o;4?:%64`?4d?2d?;n4>;:m1g0<72-><h7<l7:l73f<532e9o94?:%64`?4d?2d?;n4<;:k11d<72-><h7<:9:l73f<732c9954?:%64`?4212d?;n4>;:k112<72-><h7<:9:l73f<532c99;4?:%64`?4212d?;n4<;:m1`5<72-><h7<lf:l73f<732e9oh4?:%64`?4dn2d?;n4>;:m1ga<72-><h7<lf:l73f<532e9on4?:%64`?4dn2d?;n4<;:k62?6=,==o68;4n55`>5=<a=l1<7*;7e861>h3?j0m76a=a`83>!20l38j56`;7b83?>i5i10;6):8d;0b=>h3?j0:76a=a783>!20l38j56`;7b81?>i5i<0;6):8d;0b=>h3?j0876a=a583>!20l38j56`;7b87?>i5i:0;6):8d;0b=>h3?j0>76a=a383>!20l38j56`;7b85?>i5i80;6):8d;0b=>h3?j0<76a=a183>!20l38j56`;7b8;?>i51o0;6):8d;0b=>h3?j0276a=9d83>!20l38j56`;7b8b?>i51m0;6):8d;0b=>h3?j0i76a=9c83>!20l38j56`;7b8`?>i51h0;6):8d;0b=>h3?j0o76a=9883>!20l38j56`;7b8f?>i5110;6):8d;0b=>h3?j0m76a=9683>!20l38j56`;7b824>=h:0<1<7*;7e81e<=i<>i1=<54o3;6>5<#<>n1>l74n55`>44<3f8287>5$55g>7g>3g><o7?<;:m1=6<72-><h7<n9:l73f<6<21d>4<50;&73a<5i01e8:m51498k7d6290/8:j52`;8j11d28<07b<m0;29 11c2;k27c:8c;34?>i5io0;6):8d;0b=>h3?j0:465`2`g94?"3?m09m45a46a95<=<g;ko6=4+46f96d?<f==h6<o4;n0bg?6=,==o6?o6;o64g?7e32e9mo4?:%64`?4f12d?;n4>c:9l6d1=83.?;i4=a89m02e=9m10c?7l:18'02b=:h30b99l:0g8?j4>93:1(99k:3c:?k20k3;m76g89;29 11c2>20b99l:198m24=83.?;i488:l73f<a32c:<h=50;&73a<68l80b99l:198m46b93:1(99k:02f6>h3?j0:76g>0d294?"3?m0:<h<4n55`>7=<a;:86=4+46f9654<f==h6=54i322>5<#<>n1>=<4n55`>4=<a8lm6=4+46f9654<f==h6?54i0df>5<#<>n1>=<4n55`>6=<a8lo6=4+46f9654<f==h6954i0d`>5<#<>n1>=<4n55`>0=<a8li6=4+46f9654<f==h6;54i0db>5<#<>n1>=<4n55`>2=<a8l26=4+46f9654<f==h6554i0d;>5<#<>n1>=<4n55`><=<a8l<6=4+46f9654<f==h6l54i0d5>5<#<>n1>=<4n55`>g=<a8l?6=4+46f9654<f==h6n54i0d0>5<#<>n1>=<4n55`>a=<a8l96=4+46f9654<f==h6h54i0d2>5<#<>n1>=<4n55`>c=<a8l;6=4+46f9654<f==h6<>4;h3fb?6=,==o6?>=;o64g?7632c:ih4?:%64`?47:2d?;n4>2:9j5`b=83.?;i4=039m02e=9:10e<kl:18'02b=:980b99l:068?l7bj3:1(99k:321?k20k3;>76g=0`83>!20l38;>6`;7b822>=n:931<7*;7e8147=i<>i1=:54i32;>5<#<>n1>=<4n55`>4><3`8;;7>5$55g>7653g><o7?6;:k143<72-><h7<?2:l73f<6i21b>=;50;&73a<58;1e8:m51c98m763290/8:j52108j11d28i07d<?0;29 11c2;:97c:8c;3g?>o6n<0;6):8d;036>h3?j0:i65rb801>5<d03:1<vF>0e78 1de2=2=7W78:by2=?7f2:;1?84<2;15>65=:h09n7<l:2696<<z,==36<?:8:l1=5<73g8h?7>4$23b>3=#;8h1:6*<1b85?!56l3<0(>?j:79'74`=>2.8>=49;%115?0<,:896;5+33192>"4:=0=7)==5;48 6412?1/??956:&06=<13-995784$20a>3=#;;i1:6*<2e85?!55m3<0(><i:79'766=>2.8?<49;%106?0<,:986;5+32692>"4;<0=7)=<6;48 6502?1/?>656:&07<<13-98m784$21a>3=#;:i1:6*<3e85?!54m3<0(>=i:79'716=>2.88<49;%176?0<,:>86;5+35692>"4<<0=7)=;6;48 6202?1/?9656:&00<<13-9?m784$26a>3=#;=i1:6*<4e85?!53m3<0(>:i:79'706=>2.89<49;%166?0<,:?86;5+34692>"4=<0=7)=:6;48 6302?1/?8656:&01<<13-9>m784$27a>3=#;<i1:6*<5e85?!52m3<0(>;i:79'736=>2.8:<49;%156?0<,:<86;5+37692>"4><0=7)=96;48 6002?1/?;656:&02<<13-9=m784$24a>3=#;?i1:6*<6e85?!51m3<0(>8i:79'726=>2.8;<49;%146?0<,:=86;5+36692>"4?<0=7)=86;48 6102?1/?:656:&03<<13-9<m784$25a>3=#;>i1:6*<7e85?!50m3<0(>9i:79'7=6=>2.84<49;%1;6?0<,:286;5+39692>"40<0=7)=76;48 6>02?1/?5656:&0<<<13-93m784$2:a>3=#;1i1:6*<8e85?!5?m3<0(>6i:79'7<6=>2.85<49;%1:6?0<,:386;5+38692>"41<0=7)=66;48 6?02?1/?4656:&0=<<13-92m784$2;a>3=#;0i1:6*<9e85?!5>m3<0(>7i:79'7d6=>2.8m<49;%1b6?0<,:k86;5+3`692>"4i<0=7)=n6;48 6g02?1/?l656:&0e<<13-9jm784$2ca>0=#;hi196*<ae8733=#<1=18:84$5:;>1113g>3n7<4n5:`>7=#<0l1585+4`390<d<,=kh685+4`f91>"68lo1==kk;%33ac<68ln0b<>i0;08j46a9380e<kn:18'02b=:980b99l:0d8?l77lh0;6):8d;33`a=i<>i1?65fab83>>oe:3:17d<k8;29?l45?3:1(99k:317?k20k3;n76g=1b83>!20l38886`;7b82b>=h:?31<7*;7e8133=i<>i1=h54o37f>5<#<>n1>:84n55`>4`<3`??6=4+46f910=i<>i1=65f5283>!20l3?>7c:8c;08?l35290/8:j5549m02e=;21b9<4?:%64`?323g><o7:4;h73>5<#<>n1985a46a91>=n=o0;6):8d;76?k20k3<07d;j:18'02b==<1e8:m57:9j1a<72-><h7;:;o64g?><3`?h6=4+46f910=i<>i1565f5c83>!20l3?>7c:8c;c8?l3f290/8:j5549m02e=j21b944?:%64`?323g><o7m4;h7;>5<#<>n1985a46a9`>=n=>0;6):8d;76?k20k3o07d98:18'02b=?11e8:m51:9j33<72-><h797;o64g?4<3`=>6=4+46f93==i<>i1?65f7583>!20l3=37c:8c;68?l14290/8:j5799m02e==21b4?4?:%64`?1?3g><o784;h:2>5<#<>n1;55a46a93>=n090;6):8d;5;?k20k3207d9i:18'02b=?11e8:m59:9j3`<72-><h797;o64g?g<3`=o6=4+46f93==i<>i1n65f7b83>!20l3=37c:8c;a8?l1e290/8:j5799m02e=l21b;l4?:%64`?1?3g><o7k4;h33`c<72-><h7??e39m02e=;21dm=4?::m:b?6=3`>3i7>5;nc2>5<<a=km6=44o8g94?=n1k0;66g=d`83>>o>i3:17d7k:188m1gb2900e4m50;9j0<6=831b>8<50;&73a<5=81e8:m50:9j606=83.?;i4=509m02e=921b>9h50;&73a<5=81e8:m52:9j61c=83.?;i4=509m02e=;21b==jj:18'02b=99no7c:8c;28?l77lj0;6):8d;33`a=i<>i1=65f11fa>5<#<>n1==jk;o64g?4<3`8897>5$55g>7533g><o7>4;h007?6=,==o6?=;;o64g?7<3`88=7>5$55g>7533g><o7<4;h004?6=,==o6?=;;o64g?5<3`89j7>5$55g>7533g><o7:4;h01a?6=,==o6?=;;o64g?3<3`89h7>5$55g>7533g><o784;h01g?6=,==o6?=;;o64g?1<3`89n7>5$55g>7533g><o764;h01e?6=,==o6?=;;o64g??<3`8957>5$55g>7533g><o7o4;h01<?6=,==o6?=;;o64g?d<3`89:7>5$55g>7533g><o7m4;h011?6=,==o6?=;;o64g?b<3`8987>5$55g>7533g><o7k4;h017?6=,==o6?=;;o64g?`<3`89>7>5$55g>7533g><o7??;:k164<72-><h7<<4:l73f<6921b>?>50;&73a<5;=1e8:m51398m77a290/8:j52268j11d28907d<>e;29 11c2;9?7c:8c;37?>o59m0;6):8d;000>h3?j0:965f22a94?"3?m09?95a46a953=<a;9i6=4+46f9662<f==h6<94;h00e?6=,==o6?=;;o64g?7?32c9?44?:%64`?44<2d?;n4>9:9j66>=83.?;i4=359m02e=9h10e?=8:18'02b=::>0b99l:0`8?l44>3:1(99k:317?k20k3;h76g=3383>!20l38886`;7b82`>=h:>=1<7*;7e8133=i<>i1<65`26794?"3?m09;;5a46a95>=h:>91<7*;7e8133=i<>i1>65`26094?"3?m09;;5a46a97>=h:>;1<7*;7e8133=i<>i1865`26294?"3?m09;;5a46a91>=h:?l1<7*;7e8133=i<>i1:65`27g94?"3?m09;;5a46a93>=h:?n1<7*;7e8133=i<>i1465`27a94?"3?m09;;5a46a9=>=h:?h1<7*;7e8133=i<>i1m65`27c94?"3?m09;;5a46a9f>=h:?21<7*;7e8133=i<>i1o65`27594?"3?m09;;5a46a9`>=h:?<1<7*;7e8133=i<>i1i65`27794?"3?m09;;5a46a9b>=h:?>1<7*;7e8133=i<>i1==54o340>5<#<>n1>:84n55`>47<3f8=>7>5$55g>7113g><o7?=;:m124<72-><h7<86:l73f<6;21d>;>50;&73a<5??1e8:m51598k73a290/8:j52648j11d28?07b<8e;29 11c2;==7c:8c;35?>i5?m0;6):8d;042>h3?j0:;65`26a94?"3?m09;;5a46a95==<g;=i6=4+46f9620<f==h6<74;n04e?6=,==o6?99;o64g?7f32e9;44?:%64`?40>2d?;n4>b:9l62>=83.?;i4=779m02e=9j10c?9;:18'02b=:><0b99l:0f8?j4d03:1(99k:3a4?k20k3:07b<l6;29 11c2;i<7c:8c;38?j4d=3:1(99k:3a4?k20k3807b<l4;29 11c2;i<7c:8c;18?l42i3:1(99k:37:?k20k3:07d<:8;29 11c2;?27c:8c;38?l42?3:1(99k:37:?k20k3807d<:6;29 11c2;?27c:8c;18?j4c83:1(99k:3ae?k20k3:07b<le;29 11c2;im7c:8c;38?j4dl3:1(99k:3ae?k20k3807b<lc;29 11c2;im7c:8c;18?l31290/8:j5549m02e=821b8k4?:%64`?323g><o7h4;n0be?6=,==o6?o6;o64g?6<3f8j47>5$55g>7g>3g><o7?4;n0b2?6=,==o6?o6;o64g?4<3f8j97>5$55g>7g>3g><o7=4;n0b0?6=,==o6?o6;o64g?2<3f8j?7>5$55g>7g>3g><o7;4;n0b6?6=,==o6?o6;o64g?0<3f8j=7>5$55g>7g>3g><o794;n0b4?6=,==o6?o6;o64g?><3f82j7>5$55g>7g>3g><o774;n0:a?6=,==o6?o6;o64g?g<3f82h7>5$55g>7g>3g><o7l4;n0:f?6=,==o6?o6;o64g?e<3f82m7>5$55g>7g>3g><o7j4;n0:=?6=,==o6?o6;o64g?c<3f8247>5$55g>7g>3g><o7h4;n0:3?6=,==o6?o6;o64g?7732e95;4?:%64`?4f12d?;n4>1:9l6<3=83.?;i4=a89m02e=9;10c?7;:18'02b=:h30b99l:018?j4>;3:1(99k:3c:?k20k3;?76a=9383>!20l38j56`;7b821>=h:k;1<7*;7e81e<=i<>i1=;54o3`3>5<#<>n1>l74n55`>41<3f8jj7>5$55g>7g>3g><o7?7;:m1e`<72-><h7<n9:l73f<6121d>lj50;&73a<5i01e8:m51`98k7gd290/8:j52`;8j11d28h07b<nb;29 11c2;k27c:8c;3`?>i5i>0;6):8d;0b=>h3?j0:h65`28a94?"3?m09m45a46a95`=<g;3:6=4+46f96d?<f==h6<h4;h5:>5<#<>n1;55a46a94>=n?;0;6):8d;5;?k20k3l07d??e283>!20l3;;i?5a46a94>=n99o:6=4+46f955c53g><o7?4;h33a5<72-><h7??e39m02e=:21b>==50;&73a<58;1e8:m50:9j657=83.?;i4=039m02e=921b=kh50;&73a<58;1e8:m52:9j5cc=83.?;i4=039m02e=;21b=kj50;&73a<58;1e8:m54:9j5ce=83.?;i4=039m02e==21b=kl50;&73a<58;1e8:m56:9j5cg=83.?;i4=039m02e=?21b=k750;&73a<58;1e8:m58:9j5c>=83.?;i4=039m02e=121b=k950;&73a<58;1e8:m5a:9j5c0=83.?;i4=039m02e=j21b=k:50;&73a<58;1e8:m5c:9j5c5=83.?;i4=039m02e=l21b=k<50;&73a<58;1e8:m5e:9j5c7=83.?;i4=039m02e=n21b=k>50;&73a<58;1e8:m51198m4ca290/8:j52108j11d28;07d?je;29 11c2;:97c:8c;31?>o6mm0;6):8d;036>h3?j0:?65f1da94?"3?m09<?5a46a951=<a8oi6=4+46f9654<f==h6<;4;h03e?6=,==o6?>=;o64g?7132c9<44?:%64`?47:2d?;n4>7:9j65>=83.?;i4=039m02e=9110e?>8:18'02b=:980b99l:0;8?l47>3:1(99k:321?k20k3;j76g=0483>!20l38;>6`;7b82f>=n:9>1<7*;7e8147=i<>i1=n54i323>5<#<>n1>=<4n55`>4b<3`;m97>5$55g>7653g><o7?j;:a=75=83i36=4?{I33`0=#<kh18584Z859g~7>28k1?<4<5;11>60=;:09m7<m:3a971<513w/8:65107;?k4>83:0b?m<:19'74g=>2.8=o49;%12g?0<,:;o6;5+30g92>"49o0=7)==0;48 6462?1/??<56:&066<13-998784$206>3=#;;<1:6*<2685?!5503<0(><6:79'77d=>2.8>n49;%11`?0<,:8n6;5+33d92>"4;90=7)=<1;48 6552?1/?>=56:&071<13-989784$215>3=#;:=1:6*<3985?!5413<0(>=n:79'76d=>2.8?n49;%10`?0<,:9n6;5+32d92>"4<90=7)=;1;48 6252?1/?9=56:&001<13-9?9784$265>3=#;==1:6*<4985?!5313<0(>:n:79'71d=>2.88n49;%17`?0<,:>n6;5+35d92>"4=90=7)=:1;48 6352?1/?8=56:&011<13-9>9784$275>3=#;<=1:6*<5985?!5213<0(>;n:79'70d=>2.89n49;%16`?0<,:?n6;5+34d92>"4>90=7)=91;48 6052?1/?;=56:&021<13-9=9784$245>3=#;?=1:6*<6985?!5113<0(>8n:79'73d=>2.8:n49;%15`?0<,:<n6;5+37d92>"4?90=7)=81;48 6152?1/?:=56:&031<13-9<9784$255>3=#;>=1:6*<7985?!5013<0(>9n:79'72d=>2.8;n49;%14`?0<,:=n6;5+36d92>"4090=7)=71;48 6>52?1/?5=56:&0<1<13-939784$2:5>3=#;1=1:6*<8985?!5?13<0(>6n:79'7=d=>2.84n49;%1;`?0<,:2n6;5+39d92>"4190=7)=61;48 6?52?1/?4=56:&0=1<13-929784$2;5>3=#;0=1:6*<9985?!5>13<0(>7n:79'7<d=>2.85n49;%1:`?0<,:3n6;5+38d92>"4i90=7)=n1;48 6g52?1/?l=56:&0e1<13-9j9784$2c5>3=#;h=1:6*<a985?!5f13<0(>on:79'7dd==2.8mn4:;%1b`?20>2.?4:4;779'0=>=<><0b96m:39m0=e=:2.?5k465:&7e4<31k1/8lm55:&7ea<23-;;ih4>0df8 46bn3;;ii5a11d3>7=i99l:6?5f1dc94?"3?m09<?5a46a95c=<a8:om7>5$55g>46cl2d?;n4<;:kbg?6=3`h96=44i3f;>5<<a;8<6=4+46f9662<f==h6<k4;h02g?6=,==o6?=;;o64g?7a32e9:44?:%64`?40>2d?;n4>e:9l60c=83.?;i4=779m02e=9o10e8:50;&73a<2=2d?;n4>;:k67?6=,==o68;4n55`>7=<a<81<7*;7e861>h3?j0876g:1;29 11c2<?0b99l:598m06=83.?;i4:5:l73f<232c>j7>5$55g>03<f==h6;54i4g94?"3?m0>96`;7b84?>o2l3:1(99k:478j11d2110e8m50;&73a<2=2d?;n46;:k6f?6=,==o68;4n55`>d=<a<k1<7*;7e861>h3?j0i76g:9;29 11c2<?0b99l:b98m0>=83.?;i4:5:l73f<c32c>;7>5$55g>03<f==h6h54i6594?"3?m0<46`;7b82?>o0>3:1(99k:6:8j11d2;10e:;50;&73a<002d?;n4<;:k40?6=,==o6:64n55`>1=<a>91<7*;7e84<>h3?j0>76g72;29 11c2>20b99l:798m=7=83.?;i488:l73f<032c3<7>5$55g>2><f==h6554i6d94?"3?m0<46`;7b8:?>o0m3:1(99k:6:8j11d2h10e:j50;&73a<002d?;n4m;:k4g?6=,==o6:64n55`>f=<a>h1<7*;7e84<>h3?j0o76g8a;29 11c2>20b99l:d98m46cn3:1(99k:02f6>h3?j0876an0;29?j?a2900e96j:188kd7=831b8lh50;9l=`<722c2n7>5;h0ge?6=3`3j6=44i8f94?=n<ho1<75f9b83>>o3190;66g=5383>!20l38>=6`;7b83?>o5=90;6):8d;065>h3?j0:76g=4g83>!20l38>=6`;7b81?>o5<l0;6):8d;065>h3?j0876g>0eg94?"3?m0:<ij4n55`>5=<a8:oo7>5$55g>46cl2d?;n4>;:k24ad=83.?;i4>0ef8j11d2;10e?=::18'02b=::>0b99l:198m754290/8:j52268j11d2810e?=>:18'02b=::>0b99l:398m757290/8:j52268j11d2:10e?<i:18'02b=::>0b99l:598m74b290/8:j52268j11d2<10e?<k:18'02b=::>0b99l:798m74d290/8:j52268j11d2>10e?<m:18'02b=::>0b99l:998m74f290/8:j52268j11d2010e?<6:18'02b=::>0b99l:`98m74?290/8:j52268j11d2k10e?<9:18'02b=::>0b99l:b98m742290/8:j52268j11d2m10e?<;:18'02b=::>0b99l:d98m744290/8:j52268j11d2o10e?<=:18'02b=::>0b99l:028?l4593:1(99k:317?k20k3;:76g=2183>!20l38886`;7b826>=n:8l1<7*;7e8171=i<>i1=>54i33f>5<#<>n1>>:4n55`>42<3`8:h7>5$55g>7533g><o7?:;:k17f<72-><h7<<4:l73f<6>21b>>l50;&73a<5;=1e8:m51698m75f290/8:j52268j11d28207d<<9;29 11c2;9?7c:8c;3:?>o5;10;6):8d;000>h3?j0:m65f22594?"3?m09?95a46a95g=<a;9=6=4+46f9662<f==h6<m4;h006?6=,==o6?=;;o64g?7c32e9;:4?:%64`?40>2d?;n4?;:m130<72-><h7<86:l73f<632e9;>4?:%64`?40>2d?;n4=;:m137<72-><h7<86:l73f<432e9;<4?:%64`?40>2d?;n4;;:m135<72-><h7<86:l73f<232e9:k4?:%64`?40>2d?;n49;:m12`<72-><h7<86:l73f<032e9:i4?:%64`?40>2d?;n47;:m12f<72-><h7<86:l73f<>32e9:o4?:%64`?40>2d?;n4n;:m12d<72-><h7<86:l73f<e32e9:54?:%64`?40>2d?;n4l;:m122<72-><h7<86:l73f<c32e9:;4?:%64`?40>2d?;n4j;:m120<72-><h7<86:l73f<a32e9:94?:%64`?40>2d?;n4>0:9l635=83.?;i4=779m02e=9810c?8=:18'02b=:><0b99l:008?j4193:1(99k:355?k20k3;876a=6183>!20l38<:6`;7b820>=h:<l1<7*;7e8133=i<>i1=854o35f>5<#<>n1>:84n55`>40<3f8<h7>5$55g>7113g><o7?8;:m13f<72-><h7<86:l73f<6021d>:l50;&73a<5??1e8:m51898k71f290/8:j52648j11d28k07b<89;29 11c2;==7c:8c;3a?>i5?10;6):8d;042>h3?j0:o65`26694?"3?m09;;5a46a95a=<g;i36=4+46f96f1<f==h6=54o3a5>5<#<>n1>n94n55`>4=<g;i>6=4+46f96f1<f==h6?54o3a7>5<#<>n1>n94n55`>6=<a;?j6=4+46f960?<f==h6=54i37;>5<#<>n1>874n55`>4=<a;?<6=4+46f960?<f==h6?54i375>5<#<>n1>874n55`>6=<g;n;6=4+46f96f`<f==h6=54o3af>5<#<>n1>nh4n55`>4=<g;io6=4+46f96f`<f==h6?54o3a`>5<#<>n1>nh4n55`>6=<a<<1<7*;7e861>h3?j0;76g;f;29 11c2<?0b99l:g98k7gf290/8:j52`;8j11d2910c?o7:18'02b=:h30b99l:098k7g1290/8:j52`;8j11d2;10c?o::18'02b=:h30b99l:298k7g3290/8:j52`;8j11d2=10c?o<:18'02b=:h30b99l:498k7g5290/8:j52`;8j11d2?10c?o>:18'02b=:h30b99l:698k7g7290/8:j52`;8j11d2110c?7i:18'02b=:h30b99l:898k7?b290/8:j52`;8j11d2h10c?7k:18'02b=:h30b99l:c98k7?e290/8:j52`;8j11d2j10c?7n:18'02b=:h30b99l:e98k7?>290/8:j52`;8j11d2l10c?77:18'02b=:h30b99l:g98k7?0290/8:j52`;8j11d28:07b<66;29 11c2;k27c:8c;32?>i51<0;6):8d;0b=>h3?j0:>65`28694?"3?m09m45a46a956=<g;386=4+46f96d?<f==h6<:4;n0:6?6=,==o6?o6;o64g?7232e9n<4?:%64`?4f12d?;n4>6:9l6g6=83.?;i4=a89m02e=9>10c?oi:18'02b=:h30b99l:0:8?j4fm3:1(99k:3c:?k20k3;276a=ae83>!20l38j56`;7b82e>=h:hi1<7*;7e81e<=i<>i1=o54o3ca>5<#<>n1>l74n55`>4e<3f8j;7>5$55g>7g>3g><o7?k;:m1=f<72-><h7<n9:l73f<6m21d>4?50;&73a<5i01e8:m51g98m2?=83.?;i488:l73f<732c<>7>5$55g>2><f==h6k54i02f7?6=,==o6<>j2:l73f<732c:<h?50;&73a<68l80b99l:098m46b83:1(99k:02f6>h3?j0976g=0283>!20l38;>6`;7b83?>o5880;6):8d;036>h3?j0:76g>fg83>!20l38;>6`;7b81?>o6nl0;6):8d;036>h3?j0876g>fe83>!20l38;>6`;7b87?>o6nj0;6):8d;036>h3?j0>76g>fc83>!20l38;>6`;7b85?>o6nh0;6):8d;036>h3?j0<76g>f883>!20l38;>6`;7b8;?>o6n10;6):8d;036>h3?j0276g>f683>!20l38;>6`;7b8b?>o6n?0;6):8d;036>h3?j0i76g>f583>!20l38;>6`;7b8`?>o6n:0;6):8d;036>h3?j0o76g>f383>!20l38;>6`;7b8f?>o6n80;6):8d;036>h3?j0m76g>f183>!20l38;>6`;7b824>=n9ll1<7*;7e8147=i<>i1=<54i0gf>5<#<>n1>=<4n55`>44<3`;nh7>5$55g>7653g><o7?<;:k2af<72-><h7<?2:l73f<6<21b=hl50;&73a<58;1e8:m51498m76f290/8:j52108j11d28<07d<?9;29 11c2;:97c:8c;34?>o5810;6):8d;036>h3?j0:465f21594?"3?m09<?5a46a95<=<a;:=6=4+46f9654<f==h6<o4;h031?6=,==o6?>=;o64g?7e32c9<94?:%64`?47:2d?;n4>c:9j656=83.?;i4=039m02e=9m10e<h::18'02b=:980b99l:0g8?xd>:=0;6n650;2xL46c=2.?no4;879Y=2<ds831=l4<1;16>64=;?08?7<n:3`96f<4<3826p*;798250><f;3;6=5a2b194>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><;:79'773=>2.8>;49;%113?0<,:836;5+33;92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::79'7d0=>2.8m:49;%1b<?0<,:k26;5+3`c92>"4ik0>7)=nc;78 6gc2===7):77;642>"3010?;;5a49`96>h30j097):6f;;6?!2f93>2n6*;ab86?!2fl3?0(<>je;33aa=#99om6<>jd:l24c6=:2d:<k?52:k2ad<72-><h7<?2:l73f<6n21b==jn:18'02b=99no7c:8c;18?lgd2900eo<50;9j6a>=831b>?950;&73a<5;=1e8:m51d98m77d290/8:j52268j11d28l07b<99;29 11c2;==7c:8c;3f?>i5=l0;6):8d;042>h3?j0:j65f5583>!20l3?>7c:8c;38?l34290/8:j5549m02e=:21b9?4?:%64`?323g><o7=4;h72>5<#<>n1985a46a90>=n=90;6):8d;76?k20k3?07d;i:18'02b==<1e8:m56:9j1`<72-><h7;:;o64g?1<3`?o6=4+46f910=i<>i1465f5b83>!20l3?>7c:8c;;8?l3e290/8:j5549m02e=i21b9l4?:%64`?323g><o7l4;h7:>5<#<>n1985a46a9g>=n=10;6):8d;76?k20k3n07d;8:18'02b==<1e8:m5e:9j32<72-><h797;o64g?7<3`==6=4+46f93==i<>i1>65f7483>!20l3=37c:8c;18?l13290/8:j5799m02e=<21b;>4?:%64`?1?3g><o7;4;h:1>5<#<>n1;55a46a92>=n080;6):8d;5;?k20k3=07d6?:18'02b=?11e8:m58:9j3c<72-><h797;o64g??<3`=n6=4+46f93==i<>i1m65f7e83>!20l3=37c:8c;`8?l1d290/8:j5799m02e=k21b;o4?:%64`?1?3g><o7j4;h5b>5<#<>n1;55a46a9a>=n99nm6=4+46f955c53g><o7=4;nc3>5<<g0l1<75f49g94?=hi80;66g;ag83>>i>m3:17d7m:188m7bf2900e4o50;9j=a<722c?mh4?::k:g?6=3`>2<7>5;h066?6=,==o6?;>;o64g?6<3`8><7>5$55g>7363g><o7?4;h07b?6=,==o6?;>;o64g?4<3`8?i7>5$55g>7363g><o7=4;h33``<72-><h7??de9m02e=821b==jl:18'02b=99no7c:8c;38?l77lk0;6):8d;33`a=i<>i1>65f22794?"3?m09?95a46a94>=n::91<7*;7e8171=i<>i1=65f22394?"3?m09?95a46a96>=n:::1<7*;7e8171=i<>i1?65f23d94?"3?m09?95a46a90>=n:;o1<7*;7e8171=i<>i1965f23f94?"3?m09?95a46a92>=n:;i1<7*;7e8171=i<>i1;65f23`94?"3?m09?95a46a9<>=n:;k1<7*;7e8171=i<>i1565f23;94?"3?m09?95a46a9e>=n:;21<7*;7e8171=i<>i1n65f23494?"3?m09?95a46a9g>=n:;?1<7*;7e8171=i<>i1h65f23694?"3?m09?95a46a9a>=n:;91<7*;7e8171=i<>i1j65f23094?"3?m09?95a46a955=<a;8:6=4+46f9662<f==h6<?4;h014?6=,==o6?=;;o64g?7532c9=k4?:%64`?44<2d?;n4>3:9j64c=83.?;i4=359m02e=9=10e??k:18'02b=::>0b99l:078?l44k3:1(99k:317?k20k3;=76g=3c83>!20l38886`;7b823>=n::k1<7*;7e8171=i<>i1=554i31:>5<#<>n1>>:4n55`>4?<3`8847>5$55g>7533g><o7?n;:k172<72-><h7<<4:l73f<6j21b>>850;&73a<5;=1e8:m51b98m755290/8:j52268j11d28n07b<87;29 11c2;==7c:8c;28?j40=3:1(99k:355?k20k3;07b<83;29 11c2;==7c:8c;08?j40:3:1(99k:355?k20k3907b<81;29 11c2;==7c:8c;68?j4083:1(99k:355?k20k3?07b<9f;29 11c2;==7c:8c;48?j41m3:1(99k:355?k20k3=07b<9d;29 11c2;==7c:8c;:8?j41k3:1(99k:355?k20k3307b<9b;29 11c2;==7c:8c;c8?j41i3:1(99k:355?k20k3h07b<98;29 11c2;==7c:8c;a8?j41?3:1(99k:355?k20k3n07b<96;29 11c2;==7c:8c;g8?j41=3:1(99k:355?k20k3l07b<94;29 11c2;==7c:8c;33?>i5>:0;6):8d;042>h3?j0:=65`27094?"3?m09;;5a46a957=<g;<:6=4+46f9620<f==h6<=4;n054?6=,==o6?99;o64g?7332e99k4?:%64`?40>2d?;n4>5:9l62c=83.?;i4=779m02e=9?10c?9k:18'02b=:><0b99l:058?j40k3:1(99k:355?k20k3;376a=7c83>!20l38<:6`;7b82=>=h:>k1<7*;7e8133=i<>i1=l54o35:>5<#<>n1>:84n55`>4d<3f8<47>5$55g>7113g><o7?l;:m131<72-><h7<86:l73f<6l21d>n650;&73a<5k>1e8:m50:9l6f0=83.?;i4=c69m02e=921d>n;50;&73a<5k>1e8:m52:9l6f2=83.?;i4=c69m02e=;21b>8o50;&73a<5=01e8:m50:9j60>=83.?;i4=589m02e=921b>8950;&73a<5=01e8:m52:9j600=83.?;i4=589m02e=;21d>i>50;&73a<5ko1e8:m50:9l6fc=83.?;i4=cg9m02e=921d>nj50;&73a<5ko1e8:m52:9l6fe=83.?;i4=cg9m02e=;21b9;4?:%64`?323g><o7>4;h6e>5<#<>n1985a46a9b>=h:hk1<7*;7e81e<=i<>i1<65`2`:94?"3?m09m45a46a95>=h:h<1<7*;7e81e<=i<>i1>65`2`794?"3?m09m45a46a97>=h:h>1<7*;7e81e<=i<>i1865`2`194?"3?m09m45a46a91>=h:h81<7*;7e81e<=i<>i1:65`2`394?"3?m09m45a46a93>=h:h:1<7*;7e81e<=i<>i1465`28d94?"3?m09m45a46a9=>=h:0o1<7*;7e81e<=i<>i1m65`28f94?"3?m09m45a46a9f>=h:0h1<7*;7e81e<=i<>i1o65`28c94?"3?m09m45a46a9`>=h:031<7*;7e81e<=i<>i1i65`28:94?"3?m09m45a46a9b>=h:0=1<7*;7e81e<=i<>i1==54o3;5>5<#<>n1>l74n55`>47<3f8297>5$55g>7g>3g><o7?=;:m1=1<72-><h7<n9:l73f<6;21d>4=50;&73a<5i01e8:m51598k7?5290/8:j52`;8j11d28?07b<m1;29 11c2;k27c:8c;35?>i5j90;6):8d;0b=>h3?j0:;65`2`d94?"3?m09m45a46a95==<g;kn6=4+46f96d?<f==h6<74;n0b`?6=,==o6?o6;o64g?7f32e9mn4?:%64`?4f12d?;n4>b:9l6dd=83.?;i4=a89m02e=9j10c?o8:18'02b=:h30b99l:0f8?j4>k3:1(99k:3c:?k20k3;n76a=9083>!20l38j56`;7b82b>=n?00;6):8d;5;?k20k3:07d9=:18'02b=?11e8:m5f:9j55c4290/8:j511g1?k20k3:07d??e083>!20l3;;i?5a46a95>=n99o;6=4+46f955c53g><o7<4;h037?6=,==o6?>=;o64g?6<3`8;=7>5$55g>7653g><o7?4;h3eb?6=,==o6?>=;o64g?4<3`;mi7>5$55g>7653g><o7=4;h3e`?6=,==o6?>=;o64g?2<3`;mo7>5$55g>7653g><o7;4;h3ef?6=,==o6?>=;o64g?0<3`;mm7>5$55g>7653g><o794;h3e=?6=,==o6?>=;o64g?><3`;m47>5$55g>7653g><o774;h3e3?6=,==o6?>=;o64g?g<3`;m:7>5$55g>7653g><o7l4;h3e0?6=,==o6?>=;o64g?e<3`;m?7>5$55g>7653g><o7j4;h3e6?6=,==o6?>=;o64g?c<3`;m=7>5$55g>7653g><o7h4;h3e4?6=,==o6?>=;o64g?7732c:ik4?:%64`?47:2d?;n4>1:9j5`c=83.?;i4=039m02e=9;10e<kk:18'02b=:980b99l:018?l7bk3:1(99k:321?k20k3;?76g>ec83>!20l38;>6`;7b821>=n:9k1<7*;7e8147=i<>i1=;54i32:>5<#<>n1>=<4n55`>41<3`8;47>5$55g>7653g><o7?7;:k142<72-><h7<?2:l73f<6121b>=850;&73a<58;1e8:m51`98m762290/8:j52108j11d28h07d<?4;29 11c2;:97c:8c;3`?>o5890;6):8d;036>h3?j0:h65f1g794?"3?m09<?5a46a95`=<uk3997>5c983>5}O99n>7):mb;6;2>\>?3ip=44>a;12>63=;;08:7=<:3c96g<5k39?6?75}%64<?76=11e>4>50:l1g6<73-9:m784$23a>3=#;8i1:6*<1e85?!56m3<0(>?i:79'776=>2.8><49;%116?0<,:886;5+33692>"4:<0=7)==6;48 6402?1/??656:&06<<13-99n784$20`>3=#;;n1:6*<2d85?!55n3<0(>=?:79'767=>2.8??49;%107?0<,:9?6;5+32792>"4;?0=7)=<7;48 65?2?1/?>756:&07d<13-98n784$21`>3=#;:n1:6*<3d85?!54n3<0(>:?:79'717=>2.88?49;%177?0<,:>?6;5+35792>"4<?0=7)=;7;48 62?2?1/?9756:&00d<13-9?n784$26`>3=#;=n1:6*<4d85?!53n3<0(>;?:79'707=>2.89?49;%167?0<,:??6;5+34792>"4=?0=7)=:7;48 63?2?1/?8756:&01d<13-9>n784$27`>3=#;<n1:6*<5d85?!52n3<0(>8?:79'737=>2.8:?49;%157?0<,:<?6;5+37792>"4>?0=7)=97;48 60?2?1/?;756:&02d<13-9=n784$24`>3=#;?n1:6*<6d85?!51n3<0(>9?:79'727=>2.8;?49;%147?0<,:=?6;5+36792>"4??0=7)=87;48 61?2?1/?:756:&03d<13-9<n784$25`>3=#;>n1:6*<7d85?!50n3<0(>6?:79'7=7=>2.84?49;%1;7?0<,:2?6;5+39792>"40?0=7)=77;48 6>?2?1/?5756:&0<d<13-93n784$2:`>3=#;1n1:6*<8d85?!5?n3<0(>7?:79'7<7=>2.85?49;%1:7?0<,:3?6;5+38792>"41?0=7)=67;48 6??2?1/?4756:&0=d<13-92n784$2;`>3=#;0n1:6*<9d85?!5>n3<0(>o?:79'7d7=>2.8m?49;%1b7?0<,:k?6;5+3`792>"4i?0=7)=n7;48 6g?2?1/?l756:&0ed<13-9jn7;4$2c`>0=#;hn18:84$5:4>1113->347:86:l7<g<53g>3o7<4$5;e><3<,=k:697m;%6bg?3<,=ko685+11gf>46bl2.:<hh511gg?k77n9097c??f081?l7bi3:1(99k:321?k20k3;m76g>0ec94?"3?m0:<ij4n55`>6=<ahi1<75fb383>>o5l10;66g=2683>!20l38886`;7b82a>=n:8i1<7*;7e8171=i<>i1=k54o34:>5<#<>n1>:84n55`>4c<3f8>i7>5$55g>7113g><o7?i;:k60?6=,==o68;4n55`>4=<a<91<7*;7e861>h3?j0976g:2;29 11c2<?0b99l:298m07=83.?;i4:5:l73f<332c><7>5$55g>03<f==h6854i4d94?"3?m0>96`;7b85?>o2m3:1(99k:478j11d2>10e8j50;&73a<2=2d?;n47;:k6g?6=,==o68;4n55`><=<a<h1<7*;7e861>h3?j0j76g:a;29 11c2<?0b99l:c98m0?=83.?;i4:5:l73f<d32c>47>5$55g>03<f==h6i54i4594?"3?m0>96`;7b8f?>o0?3:1(99k:6:8j11d2810e:850;&73a<002d?;n4=;:k41?6=,==o6:64n55`>6=<a>>1<7*;7e84<>h3?j0?76g83;29 11c2>20b99l:498m=4=83.?;i488:l73f<132c3=7>5$55g>2><f==h6:54i9294?"3?m0<46`;7b8;?>o0n3:1(99k:6:8j11d2010e:k50;&73a<002d?;n4n;:k4`?6=,==o6:64n55`>g=<a>i1<7*;7e84<>h3?j0h76g8b;29 11c2>20b99l:e98m2g=83.?;i488:l73f<b32c:<ih50;&73a<68l80b99l:298kd6=831d5k4?::k7<`<722ej=7>5;h6bb?6=3f3n6=44i8`94?=n:mk1<75f9`83>>o>l3:17d:ne;29?l?d2900e97?:188m735290/8:j52438j11d2910e?;?:18'02b=:<;0b99l:098m72a290/8:j52438j11d2;10e?:j:18'02b=:<;0b99l:298m46cm3:1(99k:02g`>h3?j0;76g>0ea94?"3?m0:<ij4n55`>4=<a8:on7>5$55g>46cl2d?;n4=;:k170<72-><h7<<4:l73f<732c9?>4?:%64`?44<2d?;n4>;:k174<72-><h7<<4:l73f<532c9?=4?:%64`?44<2d?;n4<;:k16c<72-><h7<<4:l73f<332c9>h4?:%64`?44<2d?;n4:;:k16a<72-><h7<<4:l73f<132c9>n4?:%64`?44<2d?;n48;:k16g<72-><h7<<4:l73f<?32c9>l4?:%64`?44<2d?;n46;:k16<<72-><h7<<4:l73f<f32c9>54?:%64`?44<2d?;n4m;:k163<72-><h7<<4:l73f<d32c9>84?:%64`?44<2d?;n4k;:k161<72-><h7<<4:l73f<b32c9>>4?:%64`?44<2d?;n4i;:k167<72-><h7<<4:l73f<6821b>??50;&73a<5;=1e8:m51098m747290/8:j52268j11d28807d<>f;29 11c2;9?7c:8c;30?>o59l0;6):8d;000>h3?j0:865f20f94?"3?m09?95a46a950=<a;9h6=4+46f9662<f==h6<84;h00f?6=,==o6?=;;o64g?7032c9?l4?:%64`?44<2d?;n4>8:9j66?=83.?;i4=359m02e=9010e?=7:18'02b=::>0b99l:0c8?l44?3:1(99k:317?k20k3;i76g=3783>!20l38886`;7b82g>=n::81<7*;7e8171=i<>i1=i54o354>5<#<>n1>:84n55`>5=<g;=>6=4+46f9620<f==h6<54o350>5<#<>n1>:84n55`>7=<g;=96=4+46f9620<f==h6>54o352>5<#<>n1>:84n55`>1=<g;=;6=4+46f9620<f==h6854o34e>5<#<>n1>:84n55`>3=<g;<n6=4+46f9620<f==h6:54o34g>5<#<>n1>:84n55`>==<g;<h6=4+46f9620<f==h6454o34a>5<#<>n1>:84n55`>d=<g;<j6=4+46f9620<f==h6o54o34;>5<#<>n1>:84n55`>f=<g;<<6=4+46f9620<f==h6i54o345>5<#<>n1>:84n55`>`=<g;<>6=4+46f9620<f==h6k54o347>5<#<>n1>:84n55`>46<3f8=?7>5$55g>7113g><o7?>;:m127<72-><h7<86:l73f<6:21d>;?50;&73a<5??1e8:m51298k707290/8:j52648j11d28>07b<:f;29 11c2;==7c:8c;36?>i5?l0;6):8d;042>h3?j0::65`26f94?"3?m09;;5a46a952=<g;=h6=4+46f9620<f==h6<64;n04f?6=,==o6?99;o64g?7>32e9;l4?:%64`?40>2d?;n4>a:9l62?=83.?;i4=779m02e=9k10c?97:18'02b=:><0b99l:0a8?j40<3:1(99k:355?k20k3;o76a=c983>!20l38h;6`;7b83?>i5k?0;6):8d;0`3>h3?j0:76a=c483>!20l38h;6`;7b81?>i5k=0;6):8d;0`3>h3?j0876g=5`83>!20l38>56`;7b83?>o5=10;6):8d;06=>h3?j0:76g=5683>!20l38>56`;7b81?>o5=?0;6):8d;06=>h3?j0876a=d183>!20l38hj6`;7b83?>i5kl0;6):8d;0`b>h3?j0:76a=ce83>!20l38hj6`;7b81?>i5kj0;6):8d;0`b>h3?j0876g:6;29 11c2<?0b99l:198m1`=83.?;i4:5:l73f<a32e9ml4?:%64`?4f12d?;n4?;:m1e=<72-><h7<n9:l73f<632e9m;4?:%64`?4f12d?;n4=;:m1e0<72-><h7<n9:l73f<432e9m94?:%64`?4f12d?;n4;;:m1e6<72-><h7<n9:l73f<232e9m?4?:%64`?4f12d?;n49;:m1e4<72-><h7<n9:l73f<032e9m=4?:%64`?4f12d?;n47;:m1=c<72-><h7<n9:l73f<>32e95h4?:%64`?4f12d?;n4n;:m1=a<72-><h7<n9:l73f<e32e95o4?:%64`?4f12d?;n4l;:m1=d<72-><h7<n9:l73f<c32e9544?:%64`?4f12d?;n4j;:m1==<72-><h7<n9:l73f<a32e95:4?:%64`?4f12d?;n4>0:9l6<0=83.?;i4=a89m02e=9810c?7::18'02b=:h30b99l:008?j4><3:1(99k:3c:?k20k3;876a=9283>!20l38j56`;7b820>=h:081<7*;7e81e<=i<>i1=854o3`2>5<#<>n1>l74n55`>40<3f8i<7>5$55g>7g>3g><o7?8;:m1ec<72-><h7<n9:l73f<6021d>lk50;&73a<5i01e8:m51898k7gc290/8:j52`;8j11d28k07b<nc;29 11c2;k27c:8c;3a?>i5ik0;6):8d;0b=>h3?j0:o65`2`594?"3?m09m45a46a95a=<g;3h6=4+46f96d?<f==h6<k4;n0:5?6=,==o6?o6;o64g?7a32c<57>5$55g>2><f==h6=54i6094?"3?m0<46`;7b8e?>o68l91<7*;7e824`4<f==h6=54i02f5?6=,==o6<>j2:l73f<632c:<h>50;&73a<68l80b99l:398m764290/8:j52108j11d2910e?>>:18'02b=:980b99l:098m4`a290/8:j52108j11d2;10e<hj:18'02b=:980b99l:298m4`c290/8:j52108j11d2=10e<hl:18'02b=:980b99l:498m4`e290/8:j52108j11d2?10e<hn:18'02b=:980b99l:698m4`>290/8:j52108j11d2110e<h7:18'02b=:980b99l:898m4`0290/8:j52108j11d2h10e<h9:18'02b=:980b99l:c98m4`3290/8:j52108j11d2j10e<h<:18'02b=:980b99l:e98m4`5290/8:j52108j11d2l10e<h>:18'02b=:980b99l:g98m4`7290/8:j52108j11d28:07d?jf;29 11c2;:97c:8c;32?>o6ml0;6):8d;036>h3?j0:>65f1df94?"3?m09<?5a46a956=<a8oh6=4+46f9654<f==h6<:4;h3ff?6=,==o6?>=;o64g?7232c9<l4?:%64`?47:2d?;n4>6:9j65?=83.?;i4=039m02e=9>10e?>7:18'02b=:980b99l:0:8?l47?3:1(99k:321?k20k3;276g=0783>!20l38;>6`;7b82e>=n:9?1<7*;7e8147=i<>i1=o54i327>5<#<>n1>=<4n55`>4e<3`8;<7>5$55g>7653g><o7?k;:k2b0<72-><h7<?2:l73f<6m21vn4<9:18`<?6=8rB:<i;4$5`a>1>13S3<6nu>9;3b>67=;<08>7=9:2196d<5j38h6>:5288~ 11?28;>46`=9183?k4d;3:0(>?n:79'74d=>2.8=n49;%12`?0<,:;n6;5+30d92>"4:90=7)==1;48 6452?1/??=56:&061<13-999784$205>3=#;;=1:6*<2985?!5513<0(><m:79'77e=>2.8>i49;%11a?0<,:8m6;5+32292>"4;80=7)=<2;48 6542?1/?>:56:&070<13-98:784$214>3=#;:21:6*<3885?!54i3<0(>=m:79'76e=>2.8?i49;%10a?0<,:9m6;5+35292>"4<80=7)=;2;48 6242?1/?9:56:&000<13-9?:784$264>3=#;=21:6*<4885?!53i3<0(>:m:79'71e=>2.88i49;%17a?0<,:>m6;5+34292>"4=80=7)=:2;48 6342?1/?8:56:&010<13-9>:784$274>3=#;<21:6*<5885?!52i3<0(>;m:79'70e=>2.89i49;%16a?0<,:?m6;5+37292>"4>80=7)=92;48 6042?1/?;:56:&020<13-9=:784$244>3=#;?21:6*<6885?!51i3<0(>8m:79'73e=>2.8:i49;%15a?0<,:<m6;5+36292>"4?80=7)=82;48 6142?1/?::56:&030<13-9<:784$254>3=#;>21:6*<7885?!50i3<0(>9m:79'72e=>2.8;i49;%14a?0<,:=m6;5+39292>"4080=7)=72;48 6>42?1/?5:56:&0<0<13-93:784$2:4>3=#;121:6*<8885?!5?i3<0(>6m:79'7=e=>2.84i49;%1;a?0<,:2m6;5+38292>"4180=7)=62;48 6?42?1/?4:56:&0=0<13-92:784$2;4>3=#;021:6*<9885?!5>i3<0(>7m:79'7<e=>2.85i49;%1:a?0<,:3m6;5+3`292>"4i80=7)=n2;48 6g42?1/?l:56:&0e0<13-9j:784$2c4>3=#;h21:6*<a885?!5fi3<0(>om:49'7de==2.8mi4;779'0=1=<><0(967:555?k2?j380b96l:39'0<`=1<1/8l?548`8 1gd2<1/8lj55:&24`c=99oo7)??eg824`b<f8:m<7<4n02e5?4<a8oj6=4+46f9654<f==h6<h4;h33`d<72-><h7??de9m02e=;21bmn4?::ka6?6=3`8o47>5;h013?6=,==o6?=;;o64g?7b32c9=n4?:%64`?44<2d?;n4>f:9l63?=83.?;i4=779m02e=9l10c?;j:18'02b=:><0b99l:0d8?l33290/8:j5549m02e=921b9>4?:%64`?323g><o7<4;h71>5<#<>n1985a46a97>=n=80;6):8d;76?k20k3>07d;?:18'02b==<1e8:m55:9j1c<72-><h7;:;o64g?0<3`?n6=4+46f910=i<>i1;65f5e83>!20l3?>7c:8c;:8?l3d290/8:j5549m02e=121b9o4?:%64`?323g><o7o4;h7b>5<#<>n1985a46a9f>=n=00;6):8d;76?k20k3i07d;7:18'02b==<1e8:m5d:9j12<72-><h7;:;o64g?c<3`=<6=4+46f93==i<>i1=65f7783>!20l3=37c:8c;08?l12290/8:j5799m02e=;21b;94?:%64`?1?3g><o7:4;h50>5<#<>n1;55a46a91>=n0;0;6):8d;5;?k20k3<07d6>:18'02b=?11e8:m57:9j<5<72-><h797;o64g?><3`=m6=4+46f93==i<>i1565f7d83>!20l3=37c:8c;c8?l1c290/8:j5799m02e=j21b;n4?:%64`?1?3g><o7m4;h5a>5<#<>n1;55a46a9`>=n?h0;6):8d;5;?k20k3o07d??dg83>!20l3;;i?5a46a97>=hi90;66a6f;29?l2?m3:17bo>:188m1ga2900c4k50;9j=g<722c9hl4?::k:e?6=3`3o6=44i5cf>5<<a0i1<75f48294?=n:<81<7*;7e8114=i<>i1<65f24294?"3?m099<5a46a95>=n:=l1<7*;7e8114=i<>i1>65f25g94?"3?m099<5a46a97>=n99nn6=4+46f955bc3g><o7>4;h33`f<72-><h7??de9m02e=921b==jm:18'02b=99no7c:8c;08?l44=3:1(99k:317?k20k3:07d<<3;29 11c2;9?7c:8c;38?l4493:1(99k:317?k20k3807d<<0;29 11c2;9?7c:8c;18?l45n3:1(99k:317?k20k3>07d<=e;29 11c2;9?7c:8c;78?l45l3:1(99k:317?k20k3<07d<=c;29 11c2;9?7c:8c;58?l45j3:1(99k:317?k20k3207d<=a;29 11c2;9?7c:8c;;8?l4513:1(99k:317?k20k3k07d<=8;29 11c2;9?7c:8c;`8?l45>3:1(99k:317?k20k3i07d<=5;29 11c2;9?7c:8c;f8?l45<3:1(99k:317?k20k3o07d<=3;29 11c2;9?7c:8c;d8?l45:3:1(99k:317?k20k3;;76g=2083>!20l38886`;7b825>=n:;:1<7*;7e8171=i<>i1=?54i33e>5<#<>n1>>:4n55`>45<3`8:i7>5$55g>7533g><o7?;;:k15a<72-><h7<<4:l73f<6=21b>>m50;&73a<5;=1e8:m51798m75e290/8:j52268j11d28=07d<<a;29 11c2;9?7c:8c;3;?>o5;00;6):8d;000>h3?j0:565f22:94?"3?m09?95a46a95d=<a;9<6=4+46f9662<f==h6<l4;h002?6=,==o6?=;;o64g?7d32c9??4?:%64`?44<2d?;n4>d:9l621=83.?;i4=779m02e=821d>:;50;&73a<5??1e8:m51:9l625=83.?;i4=779m02e=:21d>:<50;&73a<5??1e8:m53:9l627=83.?;i4=779m02e=<21d>:>50;&73a<5??1e8:m55:9l63`=83.?;i4=779m02e=>21d>;k50;&73a<5??1e8:m57:9l63b=83.?;i4=779m02e=021d>;m50;&73a<5??1e8:m59:9l63d=83.?;i4=779m02e=i21d>;o50;&73a<5??1e8:m5b:9l63>=83.?;i4=779m02e=k21d>;950;&73a<5??1e8:m5d:9l630=83.?;i4=779m02e=m21d>;;50;&73a<5??1e8:m5f:9l632=83.?;i4=779m02e=9910c?8<:18'02b=:><0b99l:038?j41:3:1(99k:355?k20k3;976a=6083>!20l38<:6`;7b827>=h:?:1<7*;7e8133=i<>i1=954o37e>5<#<>n1>:84n55`>43<3f8<i7>5$55g>7113g><o7?9;:m13a<72-><h7<86:l73f<6?21d>:m50;&73a<5??1e8:m51998k71e290/8:j52648j11d28307b<8a;29 11c2;==7c:8c;3b?>i5?00;6):8d;042>h3?j0:n65`26:94?"3?m09;;5a46a95f=<g;=?6=4+46f9620<f==h6<j4;n0`<?6=,==o6?m8;o64g?6<3f8h:7>5$55g>7e03g><o7?4;n0`1?6=,==o6?m8;o64g?4<3f8h87>5$55g>7e03g><o7=4;h06e?6=,==o6?;6;o64g?6<3`8>47>5$55g>73>3g><o7?4;h063?6=,==o6?;6;o64g?4<3`8>:7>5$55g>73>3g><o7=4;n0g4?6=,==o6?mi;o64g?6<3f8hi7>5$55g>7ea3g><o7?4;n0``?6=,==o6?mi;o64g?4<3f8ho7>5$55g>7ea3g><o7=4;h75>5<#<>n1985a46a94>=n<o0;6):8d;76?k20k3l07b<na;29 11c2;k27c:8c;28?j4f03:1(99k:3c:?k20k3;07b<n6;29 11c2;k27c:8c;08?j4f=3:1(99k:3c:?k20k3907b<n4;29 11c2;k27c:8c;68?j4f;3:1(99k:3c:?k20k3?07b<n2;29 11c2;k27c:8c;48?j4f93:1(99k:3c:?k20k3=07b<n0;29 11c2;k27c:8c;:8?j4>n3:1(99k:3c:?k20k3307b<6e;29 11c2;k27c:8c;c8?j4>l3:1(99k:3c:?k20k3h07b<6b;29 11c2;k27c:8c;a8?j4>i3:1(99k:3c:?k20k3n07b<69;29 11c2;k27c:8c;g8?j4>03:1(99k:3c:?k20k3l07b<67;29 11c2;k27c:8c;33?>i51?0;6):8d;0b=>h3?j0:=65`28794?"3?m09m45a46a957=<g;3?6=4+46f96d?<f==h6<=4;n0:7?6=,==o6?o6;o64g?7332e95?4?:%64`?4f12d?;n4>5:9l6g7=83.?;i4=a89m02e=9?10c?l?:18'02b=:h30b99l:058?j4fn3:1(99k:3c:?k20k3;376a=ad83>!20l38j56`;7b82=>=h:hn1<7*;7e81e<=i<>i1=l54o3c`>5<#<>n1>l74n55`>4d<3f8jn7>5$55g>7g>3g><o7?l;:m1e2<72-><h7<n9:l73f<6l21d>4m50;&73a<5i01e8:m51d98k7?6290/8:j52`;8j11d28l07d96:18'02b=?11e8:m50:9j37<72-><h797;o64g?`<3`;;i>4?:%64`?77m;1e8:m50:9j55c6290/8:j511g1?k20k3;07d??e183>!20l3;;i?5a46a96>=n:991<7*;7e8147=i<>i1<65f21394?"3?m09<?5a46a95>=n9ol1<7*;7e8147=i<>i1>65f1gg94?"3?m09<?5a46a97>=n9on1<7*;7e8147=i<>i1865f1ga94?"3?m09<?5a46a91>=n9oh1<7*;7e8147=i<>i1:65f1gc94?"3?m09<?5a46a93>=n9o31<7*;7e8147=i<>i1465f1g:94?"3?m09<?5a46a9=>=n9o=1<7*;7e8147=i<>i1m65f1g494?"3?m09<?5a46a9f>=n9o>1<7*;7e8147=i<>i1o65f1g194?"3?m09<?5a46a9`>=n9o81<7*;7e8147=i<>i1i65f1g394?"3?m09<?5a46a9b>=n9o:1<7*;7e8147=i<>i1==54i0ge>5<#<>n1>=<4n55`>47<3`;ni7>5$55g>7653g><o7?=;:k2aa<72-><h7<?2:l73f<6;21b=hm50;&73a<58;1e8:m51598m4ce290/8:j52108j11d28?07d<?a;29 11c2;:97c:8c;35?>o5800;6):8d;036>h3?j0:;65f21:94?"3?m09<?5a46a95==<a;:<6=4+46f9654<f==h6<74;h032?6=,==o6?>=;o64g?7f32c9<84?:%64`?47:2d?;n4>b:9j652=83.?;i4=039m02e=9j10e?>?:18'02b=:980b99l:0f8?l7a=3:1(99k:321?k20k3;n76sm93594?e?290;wE??d49'0gd=<1<0V495cz3:>4g=;80897==:24976<5i38i6?m53581=?{#<>21=<;7;o0:4?6<f;i86=5+30c92>"49k0=7)=>c;48 67c2?1/?<k56:&05c<13-99<784$202>3=#;;81:6*<2285?!55<3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33`92>"4:j0=7)==d;48 64b2?1/??h56:&075<13-98=784$211>3=#;:91:6*<3585?!54=3<0(>=9:79'761=>2.8?549;%10=?0<,:9j6;5+32`92>"4;j0=7)=<d;48 65b2?1/?>h56:&005<13-9?=784$261>3=#;=91:6*<4585?!53=3<0(>:9:79'711=>2.88549;%17=?0<,:>j6;5+35`92>"4<j0=7)=;d;48 62b2?1/?9h56:&015<13-9>=784$271>3=#;<91:6*<5585?!52=3<0(>;9:79'701=>2.89549;%16=?0<,:?j6;5+34`92>"4=j0=7)=:d;48 63b2?1/?8h56:&025<13-9==784$241>3=#;?91:6*<6585?!51=3<0(>89:79'731=>2.8:549;%15=?0<,:<j6;5+37`92>"4>j0=7)=9d;48 60b2?1/?;h56:&035<13-9<=784$251>3=#;>91:6*<7585?!50=3<0(>99:79'721=>2.8;549;%14=?0<,:=j6;5+36`92>"4?j0=7)=8d;48 61b2?1/?:h56:&0<5<13-93=784$2:1>3=#;191:6*<8585?!5?=3<0(>69:79'7=1=>2.84549;%1;=?0<,:2j6;5+39`92>"40j0=7)=7d;48 6>b2?1/?5h56:&0=5<13-92=784$2;1>3=#;091:6*<9585?!5>=3<0(>79:79'7<1=>2.85549;%1:=?0<,:3j6;5+38`92>"41j0=7)=6d;48 6?b2?1/?4h56:&0e5<13-9j=784$2c1>3=#;h91:6*<a585?!5f=3<0(>o9:79'7d1=>2.8m549;%1b=?0<,:kj6;5+3``91>"4ij0>7)=nd;642>"30>0?;;5+49:9020<f=2i6?5a49a96>"31o0296*;a087=g=#<hi196*;ae86?!77ml0:<hj4$02fb?77mm1e==h?:39m55`62;1b=ho50;&73a<58;1e8:m51g98m46ci3:1(99k:02g`>h3?j0876gnc;29?ld52900e?j7:188m740290/8:j52268j11d28o07d<>c;29 11c2;9?7c:8c;3e?>i5>00;6):8d;042>h3?j0:i65`24g94?"3?m09;;5a46a95c=<a<>1<7*;7e861>h3?j0:76g:3;29 11c2<?0b99l:398m04=83.?;i4:5:l73f<432c>=7>5$55g>03<f==h6954i4294?"3?m0>96`;7b86?>o2n3:1(99k:478j11d2?10e8k50;&73a<2=2d?;n48;:k6`?6=,==o68;4n55`>==<a<i1<7*;7e861>h3?j0276g:b;29 11c2<?0b99l:`98m0g=83.?;i4:5:l73f<e32c>57>5$55g>03<f==h6n54i4:94?"3?m0>96`;7b8g?>o2?3:1(99k:478j11d2l10e:950;&73a<002d?;n4>;:k42?6=,==o6:64n55`>7=<a>?1<7*;7e84<>h3?j0876g84;29 11c2>20b99l:598m25=83.?;i488:l73f<232c3>7>5$55g>2><f==h6;54i9394?"3?m0<46`;7b84?>o?83:1(99k:6:8j11d2110e:h50;&73a<002d?;n46;:k4a?6=,==o6:64n55`>d=<a>n1<7*;7e84<>h3?j0i76g8c;29 11c2>20b99l:b98m2d=83.?;i488:l73f<c32c<m7>5$55g>2><f==h6h54i02gb?6=,==o6<>j2:l73f<432ej<7>5;n;e>5<<a=2n6=44o`394?=n<hl1<75`9d83>>o>j3:17d<ka;29?l?f2900e4j50;9j0dc=831b5n4?::k7=5<722c99?4?:%64`?4292d?;n4?;:k115<72-><h7<:1:l73f<632c98k4?:%64`?4292d?;n4=;:k10`<72-><h7<:1:l73f<432c:<ik50;&73a<68mn0b99l:198m46ck3:1(99k:02g`>h3?j0:76g>0e`94?"3?m0:<ij4n55`>7=<a;9>6=4+46f9662<f==h6=54i310>5<#<>n1>>:4n55`>4=<a;9:6=4+46f9662<f==h6?54i313>5<#<>n1>>:4n55`>6=<a;8m6=4+46f9662<f==h6954i30f>5<#<>n1>>:4n55`>0=<a;8o6=4+46f9662<f==h6;54i30`>5<#<>n1>>:4n55`>2=<a;8i6=4+46f9662<f==h6554i30b>5<#<>n1>>:4n55`><=<a;826=4+46f9662<f==h6l54i30;>5<#<>n1>>:4n55`>g=<a;8=6=4+46f9662<f==h6n54i306>5<#<>n1>>:4n55`>a=<a;8?6=4+46f9662<f==h6h54i300>5<#<>n1>>:4n55`>c=<a;896=4+46f9662<f==h6<>4;h015?6=,==o6?=;;o64g?7632c9>=4?:%64`?44<2d?;n4>2:9j64`=83.?;i4=359m02e=9:10e??j:18'02b=::>0b99l:068?l46l3:1(99k:317?k20k3;>76g=3b83>!20l38886`;7b822>=n::h1<7*;7e8171=i<>i1=:54i31b>5<#<>n1>>:4n55`>4><3`8857>5$55g>7533g><o7?6;:k17=<72-><h7<<4:l73f<6i21b>>950;&73a<5;=1e8:m51c98m751290/8:j52268j11d28i07d<<2;29 11c2;9?7c:8c;3g?>i5?>0;6):8d;042>h3?j0;76a=7483>!20l38<:6`;7b82?>i5?:0;6):8d;042>h3?j0976a=7383>!20l38<:6`;7b80?>i5?80;6):8d;042>h3?j0?76a=7183>!20l38<:6`;7b86?>i5>o0;6):8d;042>h3?j0=76a=6d83>!20l38<:6`;7b84?>i5>m0;6):8d;042>h3?j0376a=6b83>!20l38<:6`;7b8:?>i5>k0;6):8d;042>h3?j0j76a=6`83>!20l38<:6`;7b8a?>i5>10;6):8d;042>h3?j0h76a=6683>!20l38<:6`;7b8g?>i5>?0;6):8d;042>h3?j0n76a=6483>!20l38<:6`;7b8e?>i5>=0;6):8d;042>h3?j0:<65`27194?"3?m09;;5a46a954=<g;<96=4+46f9620<f==h6<<4;n055?6=,==o6?99;o64g?7432e9:=4?:%64`?40>2d?;n4>4:9l60`=83.?;i4=779m02e=9<10c?9j:18'02b=:><0b99l:048?j40l3:1(99k:355?k20k3;<76a=7b83>!20l38<:6`;7b82<>=h:>h1<7*;7e8133=i<>i1=454o35b>5<#<>n1>:84n55`>4g<3f8<57>5$55g>7113g><o7?m;:m13=<72-><h7<86:l73f<6k21d>::50;&73a<5??1e8:m51e98k7e?290/8:j52b58j11d2910c?m9:18'02b=:j=0b99l:098k7e2290/8:j52b58j11d2;10c?m;:18'02b=:j=0b99l:298m73f290/8:j524;8j11d2910e?;7:18'02b=:<30b99l:098m730290/8:j524;8j11d2;10e?;9:18'02b=:<30b99l:298k7b7290/8:j52bd8j11d2910c?mj:18'02b=:jl0b99l:098k7ec290/8:j52bd8j11d2;10c?ml:18'02b=:jl0b99l:298m00=83.?;i4:5:l73f<732c?j7>5$55g>03<f==h6k54o3cb>5<#<>n1>l74n55`>5=<g;k36=4+46f96d?<f==h6<54o3c5>5<#<>n1>l74n55`>7=<g;k>6=4+46f96d?<f==h6>54o3c7>5<#<>n1>l74n55`>1=<g;k86=4+46f96d?<f==h6854o3c1>5<#<>n1>l74n55`>3=<g;k:6=4+46f96d?<f==h6:54o3c3>5<#<>n1>l74n55`>==<g;3m6=4+46f96d?<f==h6454o3;f>5<#<>n1>l74n55`>d=<g;3o6=4+46f96d?<f==h6o54o3;a>5<#<>n1>l74n55`>f=<g;3j6=4+46f96d?<f==h6i54o3;:>5<#<>n1>l74n55`>`=<g;336=4+46f96d?<f==h6k54o3;4>5<#<>n1>l74n55`>46<3f82:7>5$55g>7g>3g><o7?>;:m1=0<72-><h7<n9:l73f<6:21d>4:50;&73a<5i01e8:m51298k7?4290/8:j52`;8j11d28>07b<62;29 11c2;k27c:8c;36?>i5j80;6):8d;0b=>h3?j0::65`2c294?"3?m09m45a46a952=<g;km6=4+46f96d?<f==h6<64;n0ba?6=,==o6?o6;o64g?7>32e9mi4?:%64`?4f12d?;n4>a:9l6de=83.?;i4=a89m02e=9k10c?om:18'02b=:h30b99l:0a8?j4f?3:1(99k:3c:?k20k3;o76a=9b83>!20l38j56`;7b82a>=h:0;1<7*;7e81e<=i<>i1=k54i6;94?"3?m0<46`;7b83?>o0:3:1(99k:6:8j11d2o10e<>j3;29 11c28:n>6`;7b83?>o68l;1<7*;7e824`4<f==h6<54i02f4?6=,==o6<>j2:l73f<532c9<>4?:%64`?47:2d?;n4?;:k144<72-><h7<?2:l73f<632c:jk4?:%64`?47:2d?;n4=;:k2b`<72-><h7<?2:l73f<432c:ji4?:%64`?47:2d?;n4;;:k2bf<72-><h7<?2:l73f<232c:jo4?:%64`?47:2d?;n49;:k2bd<72-><h7<?2:l73f<032c:j44?:%64`?47:2d?;n47;:k2b=<72-><h7<?2:l73f<>32c:j:4?:%64`?47:2d?;n4n;:k2b3<72-><h7<?2:l73f<e32c:j94?:%64`?47:2d?;n4l;:k2b6<72-><h7<?2:l73f<c32c:j?4?:%64`?47:2d?;n4j;:k2b4<72-><h7<?2:l73f<a32c:j=4?:%64`?47:2d?;n4>0:9j5``=83.?;i4=039m02e=9810e<kj:18'02b=:980b99l:008?l7bl3:1(99k:321?k20k3;876g>eb83>!20l38;>6`;7b820>=n9lh1<7*;7e8147=i<>i1=854i32b>5<#<>n1>=<4n55`>40<3`8;57>5$55g>7653g><o7?8;:k14=<72-><h7<?2:l73f<6021b>=950;&73a<58;1e8:m51898m761290/8:j52108j11d28k07d<?5;29 11c2;:97c:8c;3a?>o58=0;6):8d;036>h3?j0:o65f21294?"3?m09<?5a46a95a=<a8l>6=4+46f9654<f==h6<k4;|`:6=<72j21<7>tH02g1>"3jk0?4;5U968`4?=9h08=7=::20973<4;38j6?l52b800?4>2t.?;54>14:8j7?7291e>n=50:&05d<13-9:n784$23`>3=#;8n1:6*<1d85?!56n3<0(><?:79'777=>2.8>?49;%117?0<,:8?6;5+33792>"4:?0=7)==7;48 64?2?1/??756:&06g<13-99o784$20g>3=#;;o1:6*<2g85?!5483<0(>=>:79'764=>2.8?>49;%100?0<,:9>6;5+32492>"4;>0=7)=<8;48 65>2?1/?>o56:&07g<13-98o784$21g>3=#;:o1:6*<3g85?!5383<0(>:>:79'714=>2.88>49;%170?0<,:>>6;5+35492>"4<>0=7)=;8;48 62>2?1/?9o56:&00g<13-9?o784$26g>3=#;=o1:6*<4g85?!5283<0(>;>:79'704=>2.89>49;%160?0<,:?>6;5+34492>"4=>0=7)=:8;48 63>2?1/?8o56:&01g<13-9>o784$27g>3=#;<o1:6*<5g85?!5183<0(>8>:79'734=>2.8:>49;%150?0<,:<>6;5+37492>"4>>0=7)=98;48 60>2?1/?;o56:&02g<13-9=o784$24g>3=#;?o1:6*<6g85?!5083<0(>9>:79'724=>2.8;>49;%140?0<,:=>6;5+36492>"4?>0=7)=88;48 61>2?1/?:o56:&03g<13-9<o784$25g>3=#;>o1:6*<7g85?!5?83<0(>6>:79'7=4=>2.84>49;%1;0?0<,:2>6;5+39492>"40>0=7)=78;48 6>>2?1/?5o56:&0<g<13-93o784$2:g>3=#;1o1:6*<8g85?!5>83<0(>7>:79'7<4=>2.85>49;%1:0?0<,:3>6;5+38492>"41>0=7)=68;48 6?>2?1/?4o56:&0=g<13-92o784$2;g>3=#;0o1:6*<9g85?!5f83<0(>o>:79'7d4=>2.8m>49;%1b0?0<,:k>6;5+3`492>"4i>0=7)=n8;48 6g>2?1/?lo56:&0eg<23-9jo7;4$2cg>1113->3;7:86:&7<=<3??1e85l52:l7<f<53->2j77:;%6b5?2>j2.?mn4:;%6b`?3<,8:ni7??ee9'55ca28:nh6`>0g296>h68o;1>6g>e`83>!20l38;>6`;7b82b>=n99nj6=4+46f955bc3g><o7=4;hc`>5<<ak81<75f2e:94?=n:;=1<7*;7e8171=i<>i1=h54i33`>5<#<>n1>>:4n55`>4`<3f8=57>5$55g>7113g><o7?j;:m11`<72-><h7<86:l73f<6n21b994?:%64`?323g><o7?4;h70>5<#<>n1985a46a96>=n=;0;6):8d;76?k20k3907d;>:18'02b==<1e8:m54:9j15<72-><h7;:;o64g?3<3`?m6=4+46f910=i<>i1:65f5d83>!20l3?>7c:8c;58?l3c290/8:j5549m02e=021b9n4?:%64`?323g><o774;h7a>5<#<>n1985a46a9e>=n=h0;6):8d;76?k20k3h07d;6:18'02b==<1e8:m5c:9j1=<72-><h7;:;o64g?b<3`?<6=4+46f910=i<>i1i65f7683>!20l3=37c:8c;38?l11290/8:j5799m02e=:21b;84?:%64`?1?3g><o7=4;h57>5<#<>n1;55a46a90>=n?:0;6):8d;5;?k20k3?07d6=:18'02b=?11e8:m56:9j<4<72-><h797;o64g?1<3`2;6=4+46f93==i<>i1465f7g83>!20l3=37c:8c;;8?l1b290/8:j5799m02e=i21b;i4?:%64`?1?3g><o7l4;h5`>5<#<>n1;55a46a9g>=n?k0;6):8d;5;?k20k3n07d9n:18'02b=?11e8:m5e:9j55ba290/8:j511g1?k20k3907bo?:188k<`=831b85k50;9le4<722c?mk4?::m:a?6=3`3i6=44i3fb>5<<a0k1<75f9e83>>o3il0;66g6c;29?l2>83:17d<:2;29 11c2;?:7c:8c;28?l4283:1(99k:372?k20k3;07d<;f;29 11c2;?:7c:8c;08?l43m3:1(99k:372?k20k3907d??dd83>!20l3;;hi5a46a94>=n99nh6=4+46f955bc3g><o7?4;h33`g<72-><h7??de9m02e=:21b>>;50;&73a<5;=1e8:m50:9j665=83.?;i4=359m02e=921b>>?50;&73a<5;=1e8:m52:9j666=83.?;i4=359m02e=;21b>?h50;&73a<5;=1e8:m54:9j67c=83.?;i4=359m02e==21b>?j50;&73a<5;=1e8:m56:9j67e=83.?;i4=359m02e=?21b>?l50;&73a<5;=1e8:m58:9j67g=83.?;i4=359m02e=121b>?750;&73a<5;=1e8:m5a:9j67>=83.?;i4=359m02e=j21b>?850;&73a<5;=1e8:m5c:9j673=83.?;i4=359m02e=l21b>?:50;&73a<5;=1e8:m5e:9j675=83.?;i4=359m02e=n21b>?<50;&73a<5;=1e8:m51198m746290/8:j52268j11d28;07d<=0;29 11c2;9?7c:8c;31?>o59o0;6):8d;000>h3?j0:?65f20g94?"3?m09?95a46a951=<a;;o6=4+46f9662<f==h6<;4;h00g?6=,==o6?=;;o64g?7132c9?o4?:%64`?44<2d?;n4>7:9j66g=83.?;i4=359m02e=9110e?=6:18'02b=::>0b99l:0;8?l4403:1(99k:317?k20k3;j76g=3683>!20l38886`;7b82f>=n::<1<7*;7e8171=i<>i1=n54i311>5<#<>n1>>:4n55`>4b<3f8<;7>5$55g>7113g><o7>4;n041?6=,==o6?99;o64g?7<3f8<?7>5$55g>7113g><o7<4;n046?6=,==o6?99;o64g?5<3f8<=7>5$55g>7113g><o7:4;n044?6=,==o6?99;o64g?3<3f8=j7>5$55g>7113g><o784;n05a?6=,==o6?99;o64g?1<3f8=h7>5$55g>7113g><o764;n05g?6=,==o6?99;o64g??<3f8=n7>5$55g>7113g><o7o4;n05e?6=,==o6?99;o64g?d<3f8=47>5$55g>7113g><o7m4;n053?6=,==o6?99;o64g?b<3f8=:7>5$55g>7113g><o7k4;n051?6=,==o6?99;o64g?`<3f8=87>5$55g>7113g><o7??;:m126<72-><h7<86:l73f<6921d>;<50;&73a<5??1e8:m51398k706290/8:j52648j11d28907b<90;29 11c2;==7c:8c;37?>i5=o0;6):8d;042>h3?j0:965`26g94?"3?m09;;5a46a953=<g;=o6=4+46f9620<f==h6<94;n04g?6=,==o6?99;o64g?7?32e9;o4?:%64`?40>2d?;n4>9:9l62g=83.?;i4=779m02e=9h10c?96:18'02b=:><0b99l:0`8?j4003:1(99k:355?k20k3;h76a=7583>!20l38<:6`;7b82`>=h:j21<7*;7e81g2=i<>i1<65`2b494?"3?m09o:5a46a95>=h:j?1<7*;7e81g2=i<>i1>65`2b694?"3?m09o:5a46a97>=n:<k1<7*;7e811<=i<>i1<65f24:94?"3?m09945a46a95>=n:<=1<7*;7e811<=i<>i1>65f24494?"3?m09945a46a97>=h:m:1<7*;7e81gc=i<>i1<65`2bg94?"3?m09ok5a46a95>=h:jn1<7*;7e81gc=i<>i1>65`2ba94?"3?m09ok5a46a97>=n=?0;6):8d;76?k20k3:07d:i:18'02b==<1e8:m5f:9l6dg=83.?;i4=a89m02e=821d>l650;&73a<5i01e8:m51:9l6d0=83.?;i4=a89m02e=:21d>l;50;&73a<5i01e8:m53:9l6d2=83.?;i4=a89m02e=<21d>l=50;&73a<5i01e8:m55:9l6d4=83.?;i4=a89m02e=>21d>l?50;&73a<5i01e8:m57:9l6d6=83.?;i4=a89m02e=021d>4h50;&73a<5i01e8:m59:9l6<c=83.?;i4=a89m02e=i21d>4j50;&73a<5i01e8:m5b:9l6<d=83.?;i4=a89m02e=k21d>4o50;&73a<5i01e8:m5d:9l6<?=83.?;i4=a89m02e=m21d>4650;&73a<5i01e8:m5f:9l6<1=83.?;i4=a89m02e=9910c?79:18'02b=:h30b99l:038?j4>=3:1(99k:3c:?k20k3;976a=9583>!20l38j56`;7b827>=h:091<7*;7e81e<=i<>i1=954o3;1>5<#<>n1>l74n55`>43<3f8i=7>5$55g>7g>3g><o7?9;:m1f5<72-><h7<n9:l73f<6?21d>lh50;&73a<5i01e8:m51998k7gb290/8:j52`;8j11d28307b<nd;29 11c2;k27c:8c;3b?>i5ij0;6):8d;0b=>h3?j0:n65`2``94?"3?m09m45a46a95f=<g;k<6=4+46f96d?<f==h6<j4;n0:g?6=,==o6?o6;o64g?7b32e95<4?:%64`?4f12d?;n4>f:9j3<<72-><h797;o64g?6<3`=96=4+46f93==i<>i1j65f11g0>5<#<>n1==k=;o64g?6<3`;;i<4?:%64`?77m;1e8:m51:9j55c7290/8:j511g1?k20k3807d<?3;29 11c2;:97c:8c;28?l4793:1(99k:321?k20k3;07d?if;29 11c2;:97c:8c;08?l7am3:1(99k:321?k20k3907d?id;29 11c2;:97c:8c;68?l7ak3:1(99k:321?k20k3?07d?ib;29 11c2;:97c:8c;48?l7ai3:1(99k:321?k20k3=07d?i9;29 11c2;:97c:8c;:8?l7a03:1(99k:321?k20k3307d?i7;29 11c2;:97c:8c;c8?l7a>3:1(99k:321?k20k3h07d?i4;29 11c2;:97c:8c;a8?l7a;3:1(99k:321?k20k3n07d?i2;29 11c2;:97c:8c;g8?l7a93:1(99k:321?k20k3l07d?i0;29 11c2;:97c:8c;33?>o6mo0;6):8d;036>h3?j0:=65f1dg94?"3?m09<?5a46a957=<a8oo6=4+46f9654<f==h6<=4;h3fg?6=,==o6?>=;o64g?7332c:io4?:%64`?47:2d?;n4>5:9j65g=83.?;i4=039m02e=9?10e?>6:18'02b=:980b99l:058?l4703:1(99k:321?k20k3;376g=0683>!20l38;>6`;7b82=>=n:9<1<7*;7e8147=i<>i1=l54i326>5<#<>n1>=<4n55`>4d<3`8;87>5$55g>7653g><o7?l;:k145<72-><h7<?2:l73f<6l21b=k;50;&73a<58;1e8:m51d98yg?5i3:1o54?:1yK55b23->in7:76:X:3?e|900:m7=>:27977<4>3986?o52c81g?532;31q):88;321==i:0:1<6`=c283?!56i3<0(>?m:79'74e=>2.8=i49;%12a?0<,:;m6;5+33292>"4:80=7)==2;48 6442?1/??:56:&060<13-99:784$204>3=#;;21:6*<2885?!55j3<0(><l:79'77b=>2.8>h49;%11b?0<,:9;6;5+32392>"4;;0=7)=<3;48 6532?1/?>;56:&073<13-98;784$21;>3=#;:31:6*<3`85?!54j3<0(>=l:79'76b=>2.8?h49;%10b?0<,:>;6;5+35392>"4<;0=7)=;3;48 6232?1/?9;56:&003<13-9?;784$26;>3=#;=31:6*<4`85?!53j3<0(>:l:79'71b=>2.88h49;%17b?0<,:?;6;5+34392>"4=;0=7)=:3;48 6332?1/?8;56:&013<13-9>;784$27;>3=#;<31:6*<5`85?!52j3<0(>;l:79'70b=>2.89h49;%16b?0<,:<;6;5+37392>"4>;0=7)=93;48 6032?1/?;;56:&023<13-9=;784$24;>3=#;?31:6*<6`85?!51j3<0(>8l:79'73b=>2.8:h49;%15b?0<,:=;6;5+36392>"4?;0=7)=83;48 6132?1/?:;56:&033<13-9<;784$25;>3=#;>31:6*<7`85?!50j3<0(>9l:79'72b=>2.8;h49;%14b?0<,:2;6;5+39392>"40;0=7)=73;48 6>32?1/?5;56:&0<3<13-93;784$2:;>3=#;131:6*<8`85?!5?j3<0(>6l:79'7=b=>2.84h49;%1;b?0<,:3;6;5+38392>"41;0=7)=63;48 6?32?1/?4;56:&0=3<13-92;784$2;;>3=#;031:6*<9`85?!5>j3<0(>7l:79'7<b=>2.85h49;%1:b?0<,:k;6;5+3`392>"4i;0=7)=n3;48 6g32?1/?l;56:&0e3<13-9j;784$2c;>3=#;h31:6*<a`85?!5fj3?0(>ol:49'7db=<><0(968:555?!2?03><:6`;8c81?k2?k380(97i:878 1g62=3i7):nc;78 1gc2<1/==kj:02f`>"68ll1==kk;o33b5<53g;;j<4=;h3fe?6=,==o6?>=;o64g?7a32c:<io50;&73a<68mn0b99l:298mde=831bn?4?::k1`=<722c9>:4?:%64`?44<2d?;n4>e:9j64e=83.?;i4=359m02e=9o10c?86:18'02b=:><0b99l:0g8?j42m3:1(99k:355?k20k3;m76g:4;29 11c2<?0b99l:098m05=83.?;i4:5:l73f<532c>>7>5$55g>03<f==h6>54i4394?"3?m0>96`;7b87?>o283:1(99k:478j11d2<10e8h50;&73a<2=2d?;n49;:k6a?6=,==o68;4n55`>2=<a<n1<7*;7e861>h3?j0376g:c;29 11c2<?0b99l:898m0d=83.?;i4:5:l73f<f32c>m7>5$55g>03<f==h6o54i4;94?"3?m0>96`;7b8`?>o203:1(99k:478j11d2m10e8950;&73a<2=2d?;n4j;:k43?6=,==o6:64n55`>4=<a><1<7*;7e84<>h3?j0976g85;29 11c2>20b99l:298m22=83.?;i488:l73f<332c<?7>5$55g>2><f==h6854i9094?"3?m0<46`;7b85?>o?93:1(99k:6:8j11d2>10e5>50;&73a<002d?;n47;:k4b?6=,==o6:64n55`><=<a>o1<7*;7e84<>h3?j0j76g8d;29 11c2>20b99l:c98m2e=83.?;i488:l73f<d32c<n7>5$55g>2><f==h6i54i6c94?"3?m0<46`;7b8f?>o68ml1<7*;7e824`4<f==h6>54o`294?=h1o0;66g;8d83>>if93:17d:nf;29?j?b2900e4l50;9j6ag=831b5l4?::k:`?6=3`>ji7>5;h;`>5<<a=3;6=44i371>5<#<>n1>8?4n55`>5=<a;?;6=4+46f9607<f==h6<54i36e>5<#<>n1>8?4n55`>7=<a;>n6=4+46f9607<f==h6>54i02ga?6=,==o6<>kd:l73f<732c:<im50;&73a<68mn0b99l:098m46cj3:1(99k:02g`>h3?j0976g=3483>!20l38886`;7b83?>o5;:0;6):8d;000>h3?j0:76g=3083>!20l38886`;7b81?>o5;90;6):8d;000>h3?j0876g=2g83>!20l38886`;7b87?>o5:l0;6):8d;000>h3?j0>76g=2e83>!20l38886`;7b85?>o5:j0;6):8d;000>h3?j0<76g=2c83>!20l38886`;7b8;?>o5:h0;6):8d;000>h3?j0276g=2883>!20l38886`;7b8b?>o5:10;6):8d;000>h3?j0i76g=2783>!20l38886`;7b8`?>o5:<0;6):8d;000>h3?j0o76g=2583>!20l38886`;7b8f?>o5::0;6):8d;000>h3?j0m76g=2383>!20l38886`;7b824>=n:;;1<7*;7e8171=i<>i1=<54i303>5<#<>n1>>:4n55`>44<3`8:j7>5$55g>7533g><o7?<;:k15`<72-><h7<<4:l73f<6<21b><j50;&73a<5;=1e8:m51498m75d290/8:j52268j11d28<07d<<b;29 11c2;9?7c:8c;34?>o5;h0;6):8d;000>h3?j0:465f22;94?"3?m09?95a46a95<=<a;936=4+46f9662<f==h6<o4;h003?6=,==o6?=;;o64g?7e32c9?;4?:%64`?44<2d?;n4>c:9j664=83.?;i4=359m02e=9m10c?98:18'02b=:><0b99l:198k712290/8:j52648j11d2810c?9<:18'02b=:><0b99l:398k715290/8:j52648j11d2:10c?9>:18'02b=:><0b99l:598k717290/8:j52648j11d2<10c?8i:18'02b=:><0b99l:798k70b290/8:j52648j11d2>10c?8k:18'02b=:><0b99l:998k70d290/8:j52648j11d2010c?8m:18'02b=:><0b99l:`98k70f290/8:j52648j11d2k10c?87:18'02b=:><0b99l:b98k700290/8:j52648j11d2m10c?89:18'02b=:><0b99l:d98k702290/8:j52648j11d2o10c?8;:18'02b=:><0b99l:028?j41;3:1(99k:355?k20k3;:76a=6383>!20l38<:6`;7b826>=h:?;1<7*;7e8133=i<>i1=>54o343>5<#<>n1>:84n55`>42<3f8>j7>5$55g>7113g><o7?:;:m13`<72-><h7<86:l73f<6>21d>:j50;&73a<5??1e8:m51698k71d290/8:j52648j11d28207b<8b;29 11c2;==7c:8c;3:?>i5?h0;6):8d;042>h3?j0:m65`26;94?"3?m09;;5a46a95g=<g;=36=4+46f9620<f==h6<m4;n040?6=,==o6?99;o64g?7c32e9o54?:%64`?4d?2d?;n4?;:m1g3<72-><h7<l7:l73f<632e9o84?:%64`?4d?2d?;n4=;:m1g1<72-><h7<l7:l73f<432c99l4?:%64`?4212d?;n4?;:k11=<72-><h7<:9:l73f<632c99:4?:%64`?4212d?;n4=;:k113<72-><h7<:9:l73f<432e9h=4?:%64`?4dn2d?;n4?;:m1g`<72-><h7<lf:l73f<632e9oi4?:%64`?4dn2d?;n4=;:m1gf<72-><h7<lf:l73f<432c>:7>5$55g>03<f==h6=54i5d94?"3?m0>96`;7b8e?>i5ih0;6):8d;0b=>h3?j0;76a=a983>!20l38j56`;7b82?>i5i?0;6):8d;0b=>h3?j0976a=a483>!20l38j56`;7b80?>i5i=0;6):8d;0b=>h3?j0?76a=a283>!20l38j56`;7b86?>i5i;0;6):8d;0b=>h3?j0=76a=a083>!20l38j56`;7b84?>i5i90;6):8d;0b=>h3?j0376a=9g83>!20l38j56`;7b8:?>i51l0;6):8d;0b=>h3?j0j76a=9e83>!20l38j56`;7b8a?>i51k0;6):8d;0b=>h3?j0h76a=9`83>!20l38j56`;7b8g?>i5100;6):8d;0b=>h3?j0n76a=9983>!20l38j56`;7b8e?>i51>0;6):8d;0b=>h3?j0:<65`28494?"3?m09m45a46a954=<g;3>6=4+46f96d?<f==h6<<4;n0:0?6=,==o6?o6;o64g?7432e95>4?:%64`?4f12d?;n4>4:9l6<4=83.?;i4=a89m02e=9<10c?l>:18'02b=:h30b99l:048?j4e83:1(99k:3c:?k20k3;<76a=ag83>!20l38j56`;7b82<>=h:ho1<7*;7e81e<=i<>i1=454o3cg>5<#<>n1>l74n55`>4g<3f8jo7>5$55g>7g>3g><o7?m;:m1eg<72-><h7<n9:l73f<6k21d>l950;&73a<5i01e8:m51e98k7?d290/8:j52`;8j11d28o07b<61;29 11c2;k27c:8c;3e?>o013:1(99k:6:8j11d2910e:<50;&73a<002d?;n4i;:k24`5=83.?;i4>0d08j11d2910e<>j1;29 11c28:n>6`;7b82?>o68l:1<7*;7e824`4<f==h6?54i320>5<#<>n1>=<4n55`>5=<a;::6=4+46f9654<f==h6<54i0de>5<#<>n1>=<4n55`>7=<a8ln6=4+46f9654<f==h6>54i0dg>5<#<>n1>=<4n55`>1=<a8lh6=4+46f9654<f==h6854i0da>5<#<>n1>=<4n55`>3=<a8lj6=4+46f9654<f==h6:54i0d:>5<#<>n1>=<4n55`>==<a8l36=4+46f9654<f==h6454i0d4>5<#<>n1>=<4n55`>d=<a8l=6=4+46f9654<f==h6o54i0d7>5<#<>n1>=<4n55`>f=<a8l86=4+46f9654<f==h6i54i0d1>5<#<>n1>=<4n55`>`=<a8l:6=4+46f9654<f==h6k54i0d3>5<#<>n1>=<4n55`>46<3`;nj7>5$55g>7653g><o7?>;:k2a`<72-><h7<?2:l73f<6:21b=hj50;&73a<58;1e8:m51298m4cd290/8:j52108j11d28>07d?jb;29 11c2;:97c:8c;36?>o58h0;6):8d;036>h3?j0::65f21;94?"3?m09<?5a46a952=<a;:36=4+46f9654<f==h6<64;h033?6=,==o6?>=;o64g?7>32c9<;4?:%64`?47:2d?;n4>a:9j653=83.?;i4=039m02e=9k10e?>;:18'02b=:980b99l:0a8?l4783:1(99k:321?k20k3;o76g>f483>!20l38;>6`;7b82a>=zj08i6=4l8;294~N68m?0(9lm:5:5?_?02jq:57?n:23970<4:39=6>=52`81f?4d2:>1>44r$55;>47202d95=4?;o0`7?6<,:;j6;5+30`92>"49j0=7)=>d;48 67b2?1/?<h56:&065<13-99=784$201>3=#;;91:6*<2585?!55=3<0(><9:79'771=>2.8>549;%11=?0<,:8i6;5+33a92>"4:m0=7)==e;48 64a2?1/?>>56:&074<13-98>784$210>3=#;:>1:6*<3485?!54>3<0(>=8:79'76>=>2.8?449;%10e?0<,:9i6;5+32a92>"4;m0=7)=<e;48 65a2?1/?9>56:&004<13-9?>784$260>3=#;=>1:6*<4485?!53>3<0(>:8:79'71>=>2.88449;%17e?0<,:>i6;5+35a92>"4<m0=7)=;e;48 62a2?1/?8>56:&014<13-9>>784$270>3=#;<>1:6*<5485?!52>3<0(>;8:79'70>=>2.89449;%16e?0<,:?i6;5+34a92>"4=m0=7)=:e;48 63a2?1/?;>56:&024<13-9=>784$240>3=#;?>1:6*<6485?!51>3<0(>88:79'73>=>2.8:449;%15e?0<,:<i6;5+37a92>"4>m0=7)=9e;48 60a2?1/?:>56:&034<13-9<>784$250>3=#;>>1:6*<7485?!50>3<0(>98:79'72>=>2.8;449;%14e?0<,:=i6;5+36a92>"4?m0=7)=8e;48 61a2?1/?5>56:&0<4<13-93>784$2:0>3=#;1>1:6*<8485?!5?>3<0(>68:79'7=>=>2.84449;%1;e?0<,:2i6;5+39a92>"40m0=7)=7e;48 6>a2?1/?4>56:&0=4<13-92>784$2;0>3=#;0>1:6*<9485?!5>>3<0(>78:79'7<>=>2.85449;%1:e?0<,:3i6;5+38a92>"41m0=7)=6e;48 6?a2?1/?l>56:&0e4<13-9j>784$2c0>3=#;h>1:6*<a485?!5f>3<0(>o8:79'7d>=>2.8m449;%1be?0<,:ki685+3`a91>"4im0?;;5+4959020<,=236999;o6;f?4<f=2h6?5+48d9=0=#<h;184l4$5c`>0=#<hn196*>0dg955cc3-;;ik4>0df8j46a8380b<>i1;08m4cf290/8:j52108j11d28l07d??d`83>!20l3;;hi5a46a97>=nij0;66gm2;29?l4c03:17d<=7;29 11c2;9?7c:8c;3f?>o59j0;6):8d;000>h3?j0:j65`27;94?"3?m09;;5a46a95`=<g;?n6=4+46f9620<f==h6<h4;h77>5<#<>n1985a46a95>=n=:0;6):8d;76?k20k3807d;=:18'02b==<1e8:m53:9j14<72-><h7;:;o64g?2<3`?;6=4+46f910=i<>i1965f5g83>!20l3?>7c:8c;48?l3b290/8:j5549m02e=?21b9i4?:%64`?323g><o764;h7`>5<#<>n1985a46a9=>=n=k0;6):8d;76?k20k3k07d;n:18'02b==<1e8:m5b:9j1<<72-><h7;:;o64g?e<3`?36=4+46f910=i<>i1h65f5683>!20l3?>7c:8c;g8?l10290/8:j5799m02e=921b;;4?:%64`?1?3g><o7<4;h56>5<#<>n1;55a46a97>=n?=0;6):8d;5;?k20k3>07d9<:18'02b=?11e8:m55:9j<7<72-><h797;o64g?0<3`2:6=4+46f93==i<>i1;65f8183>!20l3=37c:8c;:8?l1a290/8:j5799m02e=121b;h4?:%64`?1?3g><o7o4;h5g>5<#<>n1;55a46a9f>=n?j0;6):8d;5;?k20k3i07d9m:18'02b=?11e8:m5d:9j3d<72-><h797;o64g?c<3`;;hk4?:%64`?77m;1e8:m53:9le5<722e2j7>5;h6;a?6=3fk:6=44i5ce>5<<g0o1<75f9c83>>o5lh0;66g6a;29?l?c2900e9oj:188m<e=831b84>50;9j604=83.?;i4=509m02e=821b>8>50;&73a<5=81e8:m51:9j61`=83.?;i4=509m02e=:21b>9k50;&73a<5=81e8:m53:9j55bb290/8:j511fg?k20k3:07d??db83>!20l3;;hi5a46a95>=n99ni6=4+46f955bc3g><o7<4;h001?6=,==o6?=;;o64g?6<3`88?7>5$55g>7533g><o7?4;h005?6=,==o6?=;;o64g?4<3`88<7>5$55g>7533g><o7=4;h01b?6=,==o6?=;;o64g?2<3`89i7>5$55g>7533g><o7;4;h01`?6=,==o6?=;;o64g?0<3`89o7>5$55g>7533g><o794;h01f?6=,==o6?=;;o64g?><3`89m7>5$55g>7533g><o774;h01=?6=,==o6?=;;o64g?g<3`8947>5$55g>7533g><o7l4;h012?6=,==o6?=;;o64g?e<3`8997>5$55g>7533g><o7j4;h010?6=,==o6?=;;o64g?c<3`89?7>5$55g>7533g><o7h4;h016?6=,==o6?=;;o64g?7732c9><4?:%64`?44<2d?;n4>1:9j676=83.?;i4=359m02e=9;10e??i:18'02b=::>0b99l:018?l46m3:1(99k:317?k20k3;?76g=1e83>!20l38886`;7b821>=n::i1<7*;7e8171=i<>i1=;54i31a>5<#<>n1>>:4n55`>41<3`88m7>5$55g>7533g><o7?7;:k17<<72-><h7<<4:l73f<6121b>>650;&73a<5;=1e8:m51`98m750290/8:j52268j11d28h07d<<6;29 11c2;9?7c:8c;3`?>o5;;0;6):8d;000>h3?j0:h65`26594?"3?m09;;5a46a94>=h:>?1<7*;7e8133=i<>i1=65`26194?"3?m09;;5a46a96>=h:>81<7*;7e8133=i<>i1?65`26394?"3?m09;;5a46a90>=h:>:1<7*;7e8133=i<>i1965`27d94?"3?m09;;5a46a92>=h:?o1<7*;7e8133=i<>i1;65`27f94?"3?m09;;5a46a9<>=h:?i1<7*;7e8133=i<>i1565`27`94?"3?m09;;5a46a9e>=h:?k1<7*;7e8133=i<>i1n65`27:94?"3?m09;;5a46a9g>=h:?=1<7*;7e8133=i<>i1h65`27494?"3?m09;;5a46a9a>=h:??1<7*;7e8133=i<>i1j65`27694?"3?m09;;5a46a955=<g;<86=4+46f9620<f==h6<?4;n056?6=,==o6?99;o64g?7532e9:<4?:%64`?40>2d?;n4>3:9l636=83.?;i4=779m02e=9=10c?;i:18'02b=:><0b99l:078?j40m3:1(99k:355?k20k3;=76a=7e83>!20l38<:6`;7b823>=h:>i1<7*;7e8133=i<>i1=554o35a>5<#<>n1>:84n55`>4?<3f8<m7>5$55g>7113g><o7?n;:m13<<72-><h7<86:l73f<6j21d>:650;&73a<5??1e8:m51b98k713290/8:j52648j11d28n07b<l8;29 11c2;i<7c:8c;28?j4d>3:1(99k:3a4?k20k3;07b<l5;29 11c2;i<7c:8c;08?j4d<3:1(99k:3a4?k20k3907d<:a;29 11c2;?27c:8c;28?l4203:1(99k:37:?k20k3;07d<:7;29 11c2;?27c:8c;08?l42>3:1(99k:37:?k20k3907b<k0;29 11c2;im7c:8c;28?j4dm3:1(99k:3ae?k20k3;07b<ld;29 11c2;im7c:8c;08?j4dk3:1(99k:3ae?k20k3907d;9:18'02b==<1e8:m50:9j0c<72-><h7;:;o64g?`<3f8jm7>5$55g>7g>3g><o7>4;n0b<?6=,==o6?o6;o64g?7<3f8j:7>5$55g>7g>3g><o7<4;n0b1?6=,==o6?o6;o64g?5<3f8j87>5$55g>7g>3g><o7:4;n0b7?6=,==o6?o6;o64g?3<3f8j>7>5$55g>7g>3g><o784;n0b5?6=,==o6?o6;o64g?1<3f8j<7>5$55g>7g>3g><o764;n0:b?6=,==o6?o6;o64g??<3f82i7>5$55g>7g>3g><o7o4;n0:`?6=,==o6?o6;o64g?d<3f82n7>5$55g>7g>3g><o7m4;n0:e?6=,==o6?o6;o64g?b<3f8257>5$55g>7g>3g><o7k4;n0:<?6=,==o6?o6;o64g?`<3f82;7>5$55g>7g>3g><o7??;:m1=3<72-><h7<n9:l73f<6921d>4;50;&73a<5i01e8:m51398k7?3290/8:j52`;8j11d28907b<63;29 11c2;k27c:8c;37?>i51;0;6):8d;0b=>h3?j0:965`2c394?"3?m09m45a46a953=<g;h;6=4+46f96d?<f==h6<94;n0bb?6=,==o6?o6;o64g?7?32e9mh4?:%64`?4f12d?;n4>9:9l6db=83.?;i4=a89m02e=9h10c?ol:18'02b=:h30b99l:0`8?j4fj3:1(99k:3c:?k20k3;h76a=a683>!20l38j56`;7b82`>=h:0i1<7*;7e81e<=i<>i1=h54o3;2>5<#<>n1>l74n55`>4`<3`=26=4+46f93==i<>i1<65f7383>!20l3=37c:8c;d8?l77m:0;6):8d;33a7=i<>i1<65f11g2>5<#<>n1==k=;o64g?7<3`;;i=4?:%64`?77m;1e8:m52:9j655=83.?;i4=039m02e=821b>=?50;&73a<58;1e8:m51:9j5c`=83.?;i4=039m02e=:21b=kk50;&73a<58;1e8:m53:9j5cb=83.?;i4=039m02e=<21b=km50;&73a<58;1e8:m55:9j5cd=83.?;i4=039m02e=>21b=ko50;&73a<58;1e8:m57:9j5c?=83.?;i4=039m02e=021b=k650;&73a<58;1e8:m59:9j5c1=83.?;i4=039m02e=i21b=k850;&73a<58;1e8:m5b:9j5c2=83.?;i4=039m02e=k21b=k=50;&73a<58;1e8:m5d:9j5c4=83.?;i4=039m02e=m21b=k?50;&73a<58;1e8:m5f:9j5c6=83.?;i4=039m02e=9910e<ki:18'02b=:980b99l:038?l7bm3:1(99k:321?k20k3;976g>ee83>!20l38;>6`;7b827>=n9li1<7*;7e8147=i<>i1=954i0ga>5<#<>n1>=<4n55`>43<3`8;m7>5$55g>7653g><o7?9;:k14<<72-><h7<?2:l73f<6?21b>=650;&73a<58;1e8:m51998m760290/8:j52108j11d28307d<?6;29 11c2;:97c:8c;3b?>o58<0;6):8d;036>h3?j0:n65f21694?"3?m09<?5a46a95f=<a;:;6=4+46f9654<f==h6<j4;h3e1?6=,==o6?>=;o64g?7b32wi5?m50;a;>5<7sA;;h85+4c`90=0<R0=1ov?6:0c974<4=3996>853281e?4e2;i1?94=9;'02>=98?37c<60;28j7e4291/?<o56:&05g<13-9:o784$23g>3=#;8o1:6*<1g85?!5583<0(><>:79'774=>2.8>>49;%110?0<,:8>6;5+33492>"4:>0=7)==8;48 64>2?1/??l56:&06f<13-99h784$20f>3=#;;l1:6*<3185?!5493<0(>==:79'765=>2.8?949;%101?0<,:9=6;5+32592>"4;10=7)=<9;48 65f2?1/?>l56:&07f<13-98h784$21f>3=#;:l1:6*<4185?!5393<0(>:=:79'715=>2.88949;%171?0<,:>=6;5+35592>"4<10=7)=;9;48 62f2?1/?9l56:&00f<13-9?h784$26f>3=#;=l1:6*<5185?!5293<0(>;=:79'705=>2.89949;%161?0<,:?=6;5+34592>"4=10=7)=:9;48 63f2?1/?8l56:&01f<13-9>h784$27f>3=#;<l1:6*<6185?!5193<0(>8=:79'735=>2.8:949;%151?0<,:<=6;5+37592>"4>10=7)=99;48 60f2?1/?;l56:&02f<13-9=h784$24f>3=#;?l1:6*<7185?!5093<0(>9=:79'725=>2.8;949;%141?0<,:==6;5+36592>"4?10=7)=89;48 61f2?1/?:l56:&03f<13-9<h784$25f>3=#;>l1:6*<8185?!5?93<0(>6=:79'7=5=>2.84949;%1;1?0<,:2=6;5+39592>"4010=7)=79;48 6>f2?1/?5l56:&0<f<13-93h784$2:f>3=#;1l1:6*<9185?!5>93<0(>7=:79'7<5=>2.85949;%1:1?0<,:3=6;5+38592>"4110=7)=69;48 6?f2?1/?4l56:&0=f<13-92h784$2;f>3=#;0l1:6*<a185?!5f93<0(>o=:79'7d5=>2.8m949;%1b1?0<,:k=6;5+3`592>"4i10=7)=n9;48 6gf2?1/?ll55:&0ef<23-9jh7:86:&7<2<3??1/85654648j1>e2;1e85m52:&7=c<>=2.?m<4;9c9'0de==2.?mi4:;%33a`<68ln0(<>jf;33aa=i99l;6?5a11d2>7=n9lk1<7*;7e8147=i<>i1=k54i02ge?6=,==o6<>kd:l73f<432cjo7>5;h`1>5<<a;n36=44i304>5<#<>n1>>:4n55`>4c<3`8:o7>5$55g>7533g><o7?i;:m12<<72-><h7<86:l73f<6m21d>8k50;&73a<5??1e8:m51g98m02=83.?;i4:5:l73f<632c>?7>5$55g>03<f==h6?54i4094?"3?m0>96`;7b80?>o293:1(99k:478j11d2=10e8>50;&73a<2=2d?;n4:;:k6b?6=,==o68;4n55`>3=<a<o1<7*;7e861>h3?j0<76g:d;29 11c2<?0b99l:998m0e=83.?;i4:5:l73f<>32c>n7>5$55g>03<f==h6l54i4c94?"3?m0>96`;7b8a?>o213:1(99k:478j11d2j10e8650;&73a<2=2d?;n4k;:k63?6=,==o68;4n55`>`=<a>=1<7*;7e84<>h3?j0:76g86;29 11c2>20b99l:398m23=83.?;i488:l73f<432c<87>5$55g>2><f==h6954i6194?"3?m0<46`;7b86?>o?:3:1(99k:6:8j11d2?10e5?50;&73a<002d?;n48;:k;4?6=,==o6:64n55`>==<a>l1<7*;7e84<>h3?j0276g8e;29 11c2>20b99l:`98m2b=83.?;i488:l73f<e32c<o7>5$55g>2><f==h6n54i6`94?"3?m0<46`;7b8g?>o0i3:1(99k:6:8j11d2l10e<>kf;29 11c28:n>6`;7b80?>if83:17b7i:188m1>b2900cl?50;9j0d`=831d5h4?::k:f?6=3`8om7>5;h;b>5<<a0n1<75f4`g94?=n1j0;66g;9183>>o5=;0;6):8d;065>h3?j0;76g=5183>!20l38>=6`;7b82?>o5<o0;6):8d;065>h3?j0976g=4d83>!20l38>=6`;7b80?>o68mo1<7*;7e824ab<f==h6=54i02gg?6=,==o6<>kd:l73f<632c:<il50;&73a<68mn0b99l:398m752290/8:j52268j11d2910e?=<:18'02b=::>0b99l:098m756290/8:j52268j11d2;10e?=?:18'02b=::>0b99l:298m74a290/8:j52268j11d2=10e?<j:18'02b=::>0b99l:498m74c290/8:j52268j11d2?10e?<l:18'02b=::>0b99l:698m74e290/8:j52268j11d2110e?<n:18'02b=::>0b99l:898m74>290/8:j52268j11d2h10e?<7:18'02b=::>0b99l:c98m741290/8:j52268j11d2j10e?<::18'02b=::>0b99l:e98m743290/8:j52268j11d2l10e?<<:18'02b=::>0b99l:g98m745290/8:j52268j11d28:07d<=1;29 11c2;9?7c:8c;32?>o5:90;6):8d;000>h3?j0:>65f20d94?"3?m09?95a46a956=<a;;n6=4+46f9662<f==h6<:4;h02`?6=,==o6?=;;o64g?7232c9?n4?:%64`?44<2d?;n4>6:9j66d=83.?;i4=359m02e=9>10e?=n:18'02b=::>0b99l:0:8?l4413:1(99k:317?k20k3;276g=3983>!20l38886`;7b82e>=n::=1<7*;7e8171=i<>i1=o54i315>5<#<>n1>>:4n55`>4e<3`88>7>5$55g>7533g><o7?k;:m132<72-><h7<86:l73f<732e9;84?:%64`?40>2d?;n4>;:m136<72-><h7<86:l73f<532e9;?4?:%64`?40>2d?;n4<;:m134<72-><h7<86:l73f<332e9;=4?:%64`?40>2d?;n4:;:m12c<72-><h7<86:l73f<132e9:h4?:%64`?40>2d?;n48;:m12a<72-><h7<86:l73f<?32e9:n4?:%64`?40>2d?;n46;:m12g<72-><h7<86:l73f<f32e9:l4?:%64`?40>2d?;n4m;:m12=<72-><h7<86:l73f<d32e9::4?:%64`?40>2d?;n4k;:m123<72-><h7<86:l73f<b32e9:84?:%64`?40>2d?;n4i;:m121<72-><h7<86:l73f<6821d>;=50;&73a<5??1e8:m51098k705290/8:j52648j11d28807b<91;29 11c2;==7c:8c;30?>i5>90;6):8d;042>h3?j0:865`24d94?"3?m09;;5a46a950=<g;=n6=4+46f9620<f==h6<84;n04`?6=,==o6?99;o64g?7032e9;n4?:%64`?40>2d?;n4>8:9l62d=83.?;i4=779m02e=9010c?9n:18'02b=:><0b99l:0c8?j4013:1(99k:355?k20k3;i76a=7983>!20l38<:6`;7b82g>=h:>>1<7*;7e8133=i<>i1=i54o3a;>5<#<>n1>n94n55`>5=<g;i=6=4+46f96f1<f==h6<54o3a6>5<#<>n1>n94n55`>7=<g;i?6=4+46f96f1<f==h6>54i37b>5<#<>n1>874n55`>5=<a;?36=4+46f960?<f==h6<54i374>5<#<>n1>874n55`>7=<a;?=6=4+46f960?<f==h6>54o3f3>5<#<>n1>nh4n55`>5=<g;in6=4+46f96f`<f==h6<54o3ag>5<#<>n1>nh4n55`>7=<g;ih6=4+46f96f`<f==h6>54i4494?"3?m0>96`;7b83?>o3n3:1(99k:478j11d2o10c?on:18'02b=:h30b99l:198k7g?290/8:j52`;8j11d2810c?o9:18'02b=:h30b99l:398k7g2290/8:j52`;8j11d2:10c?o;:18'02b=:h30b99l:598k7g4290/8:j52`;8j11d2<10c?o=:18'02b=:h30b99l:798k7g6290/8:j52`;8j11d2>10c?o?:18'02b=:h30b99l:998k7?a290/8:j52`;8j11d2010c?7j:18'02b=:h30b99l:`98k7?c290/8:j52`;8j11d2k10c?7m:18'02b=:h30b99l:b98k7?f290/8:j52`;8j11d2m10c?76:18'02b=:h30b99l:d98k7??290/8:j52`;8j11d2o10c?78:18'02b=:h30b99l:028?j4>>3:1(99k:3c:?k20k3;:76a=9483>!20l38j56`;7b826>=h:0>1<7*;7e81e<=i<>i1=>54o3;0>5<#<>n1>l74n55`>42<3f82>7>5$55g>7g>3g><o7?:;:m1f4<72-><h7<n9:l73f<6>21d>o>50;&73a<5i01e8:m51698k7ga290/8:j52`;8j11d28207b<ne;29 11c2;k27c:8c;3:?>i5im0;6):8d;0b=>h3?j0:m65`2`a94?"3?m09m45a46a95g=<g;ki6=4+46f96d?<f==h6<m4;n0b3?6=,==o6?o6;o64g?7c32e95n4?:%64`?4f12d?;n4>e:9l6<7=83.?;i4=a89m02e=9o10e:750;&73a<002d?;n4?;:k46?6=,==o6:64n55`>c=<a8:n?7>5$55g>46b:2d?;n4?;:k24`7=83.?;i4>0d08j11d2810e<>j0;29 11c28:n>6`;7b81?>o58:0;6):8d;036>h3?j0;76g=0083>!20l38;>6`;7b82?>o6no0;6):8d;036>h3?j0976g>fd83>!20l38;>6`;7b80?>o6nm0;6):8d;036>h3?j0?76g>fb83>!20l38;>6`;7b86?>o6nk0;6):8d;036>h3?j0=76g>f`83>!20l38;>6`;7b84?>o6n00;6):8d;036>h3?j0376g>f983>!20l38;>6`;7b8:?>o6n>0;6):8d;036>h3?j0j76g>f783>!20l38;>6`;7b8a?>o6n=0;6):8d;036>h3?j0h76g>f283>!20l38;>6`;7b8g?>o6n;0;6):8d;036>h3?j0n76g>f083>!20l38;>6`;7b8e?>o6n90;6):8d;036>h3?j0:<65f1dd94?"3?m09<?5a46a954=<a8on6=4+46f9654<f==h6<<4;h3f`?6=,==o6?>=;o64g?7432c:in4?:%64`?47:2d?;n4>4:9j5`d=83.?;i4=039m02e=9<10e?>n:18'02b=:980b99l:048?l4713:1(99k:321?k20k3;<76g=0983>!20l38;>6`;7b82<>=n:9=1<7*;7e8147=i<>i1=454i325>5<#<>n1>=<4n55`>4g<3`8;97>5$55g>7653g><o7?m;:k141<72-><h7<?2:l73f<6k21b>=>50;&73a<58;1e8:m51e98m4`2290/8:j52108j11d28o07pl62e83>f>=83:pD<>k5:&7fg<30?1Q5:4l{0;95d<4939>6><537807?4f2;h1>n4<4;0:>x"3?10:=864n3;3>5=i:j91<6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6432?1/??;56:&063<13-99;784$20;>3=#;;31:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22?1/?l856:&0e2<13-9j4784$2c:>3=#;hk1:6*<ac86?!5fk3?0(>ok:555?!2??3><:6*;898733=i<1h1>6`;8b81?!2>n33>7):n1;6:f>"3ij0>7):nd;78 46bm3;;ii5+11ge>46bl2d:<k>52:l24c7=:2c:il4?:%64`?47:2d?;n4>f:9j55bf290/8:j511fg?k20k3907dol:188mg4=831b>i650;9j671=83.?;i4=359m02e=9l10e??l:18'02b=::>0b99l:0d8?j4113:1(99k:355?k20k3;n76a=5d83>!20l38<:6`;7b82b>=n==0;6):8d;76?k20k3;07d;<:18'02b==<1e8:m52:9j17<72-><h7;:;o64g?5<3`?:6=4+46f910=i<>i1865f5183>!20l3?>7c:8c;78?l3a290/8:j5549m02e=>21b9h4?:%64`?323g><o794;h7g>5<#<>n1985a46a9<>=n=j0;6):8d;76?k20k3307d;m:18'02b==<1e8:m5a:9j1d<72-><h7;:;o64g?d<3`?26=4+46f910=i<>i1o65f5983>!20l3?>7c:8c;f8?l30290/8:j5549m02e=m21b;:4?:%64`?1?3g><o7?4;h55>5<#<>n1;55a46a96>=n?<0;6):8d;5;?k20k3907d9;:18'02b=?11e8:m54:9j36<72-><h797;o64g?3<3`296=4+46f93==i<>i1:65f8083>!20l3=37c:8c;58?l>7290/8:j5799m02e=021b;k4?:%64`?1?3g><o774;h5f>5<#<>n1;55a46a9e>=n?m0;6):8d;5;?k20k3h07d9l:18'02b=?11e8:m5c:9j3g<72-><h797;o64g?b<3`=j6=4+46f93==i<>i1i65f11fe>5<#<>n1==k=;o64g?5<3fk;6=44o8d94?=n<1o1<75`a083>>o3io0;66a6e;29?l?e2900e?jn:188m<g=831b5i4?::k7e`<722c2o7>5;h6:4?6=3`8>>7>5$55g>7363g><o7>4;h064?6=,==o6?;>;o64g?7<3`8?j7>5$55g>7363g><o7<4;h07a?6=,==o6?;>;o64g?5<3`;;hh4?:%64`?77lm1e8:m50:9j55bd290/8:j511fg?k20k3;07d??dc83>!20l3;;hi5a46a96>=n::?1<7*;7e8171=i<>i1<65f22194?"3?m09?95a46a95>=n::;1<7*;7e8171=i<>i1>65f22294?"3?m09?95a46a97>=n:;l1<7*;7e8171=i<>i1865f23g94?"3?m09?95a46a91>=n:;n1<7*;7e8171=i<>i1:65f23a94?"3?m09?95a46a93>=n:;h1<7*;7e8171=i<>i1465f23c94?"3?m09?95a46a9=>=n:;31<7*;7e8171=i<>i1m65f23:94?"3?m09?95a46a9f>=n:;<1<7*;7e8171=i<>i1o65f23794?"3?m09?95a46a9`>=n:;>1<7*;7e8171=i<>i1i65f23194?"3?m09?95a46a9b>=n:;81<7*;7e8171=i<>i1==54i302>5<#<>n1>>:4n55`>47<3`89<7>5$55g>7533g><o7?=;:k15c<72-><h7<<4:l73f<6;21b><k50;&73a<5;=1e8:m51598m77c290/8:j52268j11d28?07d<<c;29 11c2;9?7c:8c;35?>o5;k0;6):8d;000>h3?j0:;65f22c94?"3?m09?95a46a95==<a;926=4+46f9662<f==h6<74;h00<?6=,==o6?=;;o64g?7f32c9?:4?:%64`?44<2d?;n4>b:9j660=83.?;i4=359m02e=9j10e?==:18'02b=::>0b99l:0f8?j40?3:1(99k:355?k20k3:07b<85;29 11c2;==7c:8c;38?j40;3:1(99k:355?k20k3807b<82;29 11c2;==7c:8c;18?j4093:1(99k:355?k20k3>07b<80;29 11c2;==7c:8c;78?j41n3:1(99k:355?k20k3<07b<9e;29 11c2;==7c:8c;58?j41l3:1(99k:355?k20k3207b<9c;29 11c2;==7c:8c;;8?j41j3:1(99k:355?k20k3k07b<9a;29 11c2;==7c:8c;`8?j4103:1(99k:355?k20k3i07b<97;29 11c2;==7c:8c;f8?j41>3:1(99k:355?k20k3o07b<95;29 11c2;==7c:8c;d8?j41<3:1(99k:355?k20k3;;76a=6283>!20l38<:6`;7b825>=h:?81<7*;7e8133=i<>i1=?54o342>5<#<>n1>:84n55`>45<3f8=<7>5$55g>7113g><o7?;;:m11c<72-><h7<86:l73f<6=21d>:k50;&73a<5??1e8:m51798k71c290/8:j52648j11d28=07b<8c;29 11c2;==7c:8c;3;?>i5?k0;6):8d;042>h3?j0:565`26c94?"3?m09;;5a46a95d=<g;=26=4+46f9620<f==h6<l4;n04<?6=,==o6?99;o64g?7d32e9;94?:%64`?40>2d?;n4>d:9l6f>=83.?;i4=c69m02e=821d>n850;&73a<5k>1e8:m51:9l6f3=83.?;i4=c69m02e=:21d>n:50;&73a<5k>1e8:m53:9j60g=83.?;i4=589m02e=821b>8650;&73a<5=01e8:m51:9j601=83.?;i4=589m02e=:21b>8850;&73a<5=01e8:m53:9l6a6=83.?;i4=cg9m02e=821d>nk50;&73a<5ko1e8:m51:9l6fb=83.?;i4=cg9m02e=:21d>nm50;&73a<5ko1e8:m53:9j13<72-><h7;:;o64g?6<3`>m6=4+46f910=i<>i1j65`2`c94?"3?m09m45a46a94>=h:h21<7*;7e81e<=i<>i1=65`2`494?"3?m09m45a46a96>=h:h?1<7*;7e81e<=i<>i1?65`2`694?"3?m09m45a46a90>=h:h91<7*;7e81e<=i<>i1965`2`094?"3?m09m45a46a92>=h:h;1<7*;7e81e<=i<>i1;65`2`294?"3?m09m45a46a9<>=h:0l1<7*;7e81e<=i<>i1565`28g94?"3?m09m45a46a9e>=h:0n1<7*;7e81e<=i<>i1n65`28`94?"3?m09m45a46a9g>=h:0k1<7*;7e81e<=i<>i1h65`28;94?"3?m09m45a46a9a>=h:021<7*;7e81e<=i<>i1j65`28594?"3?m09m45a46a955=<g;3=6=4+46f96d?<f==h6<?4;n0:1?6=,==o6?o6;o64g?7532e9594?:%64`?4f12d?;n4>3:9l6<5=83.?;i4=a89m02e=9=10c?7=:18'02b=:h30b99l:078?j4e93:1(99k:3c:?k20k3;=76a=b183>!20l38j56`;7b823>=h:hl1<7*;7e81e<=i<>i1=554o3cf>5<#<>n1>l74n55`>4?<3f8jh7>5$55g>7g>3g><o7?n;:m1ef<72-><h7<n9:l73f<6j21d>ll50;&73a<5i01e8:m51b98k7g0290/8:j52`;8j11d28n07b<6c;29 11c2;k27c:8c;3f?>i5180;6):8d;0b=>h3?j0:j65f7883>!20l3=37c:8c;28?l15290/8:j5799m02e=n21b==k<:18'02b=99o97c:8c;28?l77m80;6):8d;33a7=i<>i1=65f11g3>5<#<>n1==k=;o64g?4<3`8;?7>5$55g>7653g><o7>4;h035?6=,==o6?>=;o64g?7<3`;mj7>5$55g>7653g><o7<4;h3ea?6=,==o6?>=;o64g?5<3`;mh7>5$55g>7653g><o7:4;h3eg?6=,==o6?>=;o64g?3<3`;mn7>5$55g>7653g><o784;h3ee?6=,==o6?>=;o64g?1<3`;m57>5$55g>7653g><o764;h3e<?6=,==o6?>=;o64g??<3`;m;7>5$55g>7653g><o7o4;h3e2?6=,==o6?>=;o64g?d<3`;m87>5$55g>7653g><o7m4;h3e7?6=,==o6?>=;o64g?b<3`;m>7>5$55g>7653g><o7k4;h3e5?6=,==o6?>=;o64g?`<3`;m<7>5$55g>7653g><o7??;:k2ac<72-><h7<?2:l73f<6921b=hk50;&73a<58;1e8:m51398m4cc290/8:j52108j11d28907d?jc;29 11c2;:97c:8c;37?>o6mk0;6):8d;036>h3?j0:965f21c94?"3?m09<?5a46a953=<a;:26=4+46f9654<f==h6<94;h03<?6=,==o6?>=;o64g?7?32c9<:4?:%64`?47:2d?;n4>9:9j650=83.?;i4=039m02e=9h10e?>::18'02b=:980b99l:0`8?l47<3:1(99k:321?k20k3;h76g=0183>!20l38;>6`;7b82`>=n9o?1<7*;7e8147=i<>i1=h54}c;1a?6=k10;6=uG11f6?!2ej3>3:6T67;ax5<<6i39:6>;533802?542;k1>o4=c;17>7?=u-><47?>599m6<6=82d9o>4?;%12e?0<,:;i6;5+30a92>"49m0=7)=>e;48 67a2?1/??>56:&064<13-99>784$200>3=#;;>1:6*<2485?!55>3<0(><8:79'77>=>2.8>449;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;??1:6*<6785?!51?3<0(>87:79'73?=>2.8:l49;%15f?0<,:<h6;5+37f92>"4>l0=7)=9f;48 6172?1/?:?56:&037<13-9<?784$257>3=#;>?1:6*<7785?!50?3<0(>97:79'72?=>2.8;l49;%14f?0<,:=h6;5+36f92>"4?l0=7)=8f;48 6>72?1/?5?56:&0<7<13-93?784$2:7>3=#;1?1:6*<8785?!5??3<0(>67:79'7=?=>2.84l49;%1;f?0<,:2h6;5+39f92>"40l0=7)=7f;48 6?72?1/?4?56:&0=7<13-92?784$2;7>3=#;0?1:6*<9785?!5>?3<0(>77:79'7<?=>2.85l49;%1:f?0<,:3h6;5+38f92>"41l0=7)=6f;48 6g72?1/?l?56:&0e7<13-9j?784$2c7>3=#;h?1:6*<a785?!5f?3<0(>o7:79'7d?=>2.8ml49;%1bf?3<,:kh685+3`f9020<,=2<6999;%6;<?20>2d?4o4=;o6;g?4<,=3m64;4$5c2>1?e3->jo7;4$5cg>0=#99on6<>jd:&24``=99oo7c??f181?k77n8097d?ja;29 11c2;:97c:8c;3e?>o68mk1<7*;7e824ab<f==h6>54i`a94?=nj;0;66g=d983>>o5:>0;6):8d;000>h3?j0:i65f20a94?"3?m09?95a46a95c=<g;<26=4+46f9620<f==h6<k4;n06a?6=,==o6?99;o64g?7a32c>87>5$55g>03<f==h6<54i4194?"3?m0>96`;7b81?>o2:3:1(99k:478j11d2:10e8?50;&73a<2=2d?;n4;;:k64?6=,==o68;4n55`>0=<a<l1<7*;7e861>h3?j0=76g:e;29 11c2<?0b99l:698m0b=83.?;i4:5:l73f<?32c>o7>5$55g>03<f==h6454i4`94?"3?m0>96`;7b8b?>o2i3:1(99k:478j11d2k10e8750;&73a<2=2d?;n4l;:k6<?6=,==o68;4n55`>a=<a<=1<7*;7e861>h3?j0n76g87;29 11c2>20b99l:098m20=83.?;i488:l73f<532c<97>5$55g>2><f==h6>54i6694?"3?m0<46`;7b87?>o0;3:1(99k:6:8j11d2<10e5<50;&73a<002d?;n49;:k;5?6=,==o6:64n55`>2=<a1:1<7*;7e84<>h3?j0376g8f;29 11c2>20b99l:898m2c=83.?;i488:l73f<f32c<h7>5$55g>2><f==h6o54i6a94?"3?m0<46`;7b8`?>o0j3:1(99k:6:8j11d2m10e:o50;&73a<002d?;n4j;:k24a`=83.?;i4>0d08j11d2:10cl>50;9l=c<722c?4h4?::mb5?6=3`>jj7>5;n;f>5<<a0h1<75f2ec94?=n1h0;66g6d;29?l2fm3:17d7l:188m1?72900e?;=:18'02b=:<;0b99l:198m737290/8:j52438j11d2810e?:i:18'02b=:<;0b99l:398m72b290/8:j52438j11d2:10e<>ke;29 11c28:oh6`;7b83?>o68mi1<7*;7e824ab<f==h6<54i02gf?6=,==o6<>kd:l73f<532c9?84?:%64`?44<2d?;n4?;:k176<72-><h7<<4:l73f<632c9?<4?:%64`?44<2d?;n4=;:k175<72-><h7<<4:l73f<432c9>k4?:%64`?44<2d?;n4;;:k16`<72-><h7<<4:l73f<232c9>i4?:%64`?44<2d?;n49;:k16f<72-><h7<<4:l73f<032c9>o4?:%64`?44<2d?;n47;:k16d<72-><h7<<4:l73f<>32c9>44?:%64`?44<2d?;n4n;:k16=<72-><h7<<4:l73f<e32c9>;4?:%64`?44<2d?;n4l;:k160<72-><h7<<4:l73f<c32c9>94?:%64`?44<2d?;n4j;:k166<72-><h7<<4:l73f<a32c9>?4?:%64`?44<2d?;n4>0:9j677=83.?;i4=359m02e=9810e?<?:18'02b=::>0b99l:008?l46n3:1(99k:317?k20k3;876g=1d83>!20l38886`;7b820>=n:8n1<7*;7e8171=i<>i1=854i31`>5<#<>n1>>:4n55`>40<3`88n7>5$55g>7533g><o7?8;:k17d<72-><h7<<4:l73f<6021b>>750;&73a<5;=1e8:m51898m75?290/8:j52268j11d28k07d<<7;29 11c2;9?7c:8c;3a?>o5;?0;6):8d;000>h3?j0:o65f22094?"3?m09?95a46a95a=<g;=<6=4+46f9620<f==h6=54o356>5<#<>n1>:84n55`>4=<g;=86=4+46f9620<f==h6?54o351>5<#<>n1>:84n55`>6=<g;=:6=4+46f9620<f==h6954o353>5<#<>n1>:84n55`>0=<g;<m6=4+46f9620<f==h6;54o34f>5<#<>n1>:84n55`>2=<g;<o6=4+46f9620<f==h6554o34`>5<#<>n1>:84n55`><=<g;<i6=4+46f9620<f==h6l54o34b>5<#<>n1>:84n55`>g=<g;<36=4+46f9620<f==h6n54o344>5<#<>n1>:84n55`>a=<g;<=6=4+46f9620<f==h6h54o346>5<#<>n1>:84n55`>c=<g;<?6=4+46f9620<f==h6<>4;n057?6=,==o6?99;o64g?7632e9:?4?:%64`?40>2d?;n4>2:9l637=83.?;i4=779m02e=9:10c?8?:18'02b=:><0b99l:068?j42n3:1(99k:355?k20k3;>76a=7d83>!20l38<:6`;7b822>=h:>n1<7*;7e8133=i<>i1=:54o35`>5<#<>n1>:84n55`>4><3f8<n7>5$55g>7113g><o7?6;:m13d<72-><h7<86:l73f<6i21d>:750;&73a<5??1e8:m51c98k71?290/8:j52648j11d28i07b<84;29 11c2;==7c:8c;3g?>i5k10;6):8d;0`3>h3?j0;76a=c783>!20l38h;6`;7b82?>i5k<0;6):8d;0`3>h3?j0976a=c583>!20l38h;6`;7b80?>o5=h0;6):8d;06=>h3?j0;76g=5983>!20l38>56`;7b82?>o5=>0;6):8d;06=>h3?j0976g=5783>!20l38>56`;7b80?>i5l90;6):8d;0`b>h3?j0;76a=cd83>!20l38hj6`;7b82?>i5km0;6):8d;0`b>h3?j0976a=cb83>!20l38hj6`;7b80?>o2>3:1(99k:478j11d2910e9h50;&73a<2=2d?;n4i;:m1ed<72-><h7<n9:l73f<732e9m54?:%64`?4f12d?;n4>;:m1e3<72-><h7<n9:l73f<532e9m84?:%64`?4f12d?;n4<;:m1e1<72-><h7<n9:l73f<332e9m>4?:%64`?4f12d?;n4:;:m1e7<72-><h7<n9:l73f<132e9m<4?:%64`?4f12d?;n48;:m1e5<72-><h7<n9:l73f<?32e95k4?:%64`?4f12d?;n46;:m1=`<72-><h7<n9:l73f<f32e95i4?:%64`?4f12d?;n4m;:m1=g<72-><h7<n9:l73f<d32e95l4?:%64`?4f12d?;n4k;:m1=<<72-><h7<n9:l73f<b32e9554?:%64`?4f12d?;n4i;:m1=2<72-><h7<n9:l73f<6821d>4850;&73a<5i01e8:m51098k7?2290/8:j52`;8j11d28807b<64;29 11c2;k27c:8c;30?>i51:0;6):8d;0b=>h3?j0:865`28094?"3?m09m45a46a950=<g;h:6=4+46f96d?<f==h6<84;n0a4?6=,==o6?o6;o64g?7032e9mk4?:%64`?4f12d?;n4>8:9l6dc=83.?;i4=a89m02e=9010c?ok:18'02b=:h30b99l:0c8?j4fk3:1(99k:3c:?k20k3;i76a=ac83>!20l38j56`;7b82g>=h:h=1<7*;7e81e<=i<>i1=i54o3;`>5<#<>n1>l74n55`>4c<3f82=7>5$55g>7g>3g><o7?i;:k4=?6=,==o6:64n55`>5=<a>81<7*;7e84<>h3?j0m76g>0d194?"3?m0:<h<4n55`>5=<a8:n=7>5$55g>46b:2d?;n4>;:k24`6=83.?;i4>0d08j11d2;10e?><:18'02b=:980b99l:198m766290/8:j52108j11d2810e<hi:18'02b=:980b99l:398m4`b290/8:j52108j11d2:10e<hk:18'02b=:980b99l:598m4`d290/8:j52108j11d2<10e<hm:18'02b=:980b99l:798m4`f290/8:j52108j11d2>10e<h6:18'02b=:980b99l:998m4`?290/8:j52108j11d2010e<h8:18'02b=:980b99l:`98m4`1290/8:j52108j11d2k10e<h;:18'02b=:980b99l:b98m4`4290/8:j52108j11d2m10e<h=:18'02b=:980b99l:d98m4`6290/8:j52108j11d2o10e<h?:18'02b=:980b99l:028?l7bn3:1(99k:321?k20k3;:76g>ed83>!20l38;>6`;7b826>=n9ln1<7*;7e8147=i<>i1=>54i0g`>5<#<>n1>=<4n55`>42<3`;nn7>5$55g>7653g><o7?:;:k14d<72-><h7<?2:l73f<6>21b>=750;&73a<58;1e8:m51698m76?290/8:j52108j11d28207d<?7;29 11c2;:97c:8c;3:?>o58?0;6):8d;036>h3?j0:m65f21794?"3?m09<?5a46a95g=<a;:?6=4+46f9654<f==h6<m4;h034?6=,==o6?>=;o64g?7c32c:j84?:%64`?47:2d?;n4>e:9~f<4a290h47>50zJ24a3<,=hi6969;[;4>f}613;j6>?534806?512:91>l4=b;0`>62=:00v(997:036<>h5190;7c<l3;28 67f2?1/?<l56:&05f<13-9:h784$23f>3=#;8l1:6*<2185?!5593<0(><=:79'775=>2.8>949;%111?0<,:8=6;5+33592>"4:10=7)==9;48 64e2?1/??m56:&06a<13-99i784$20e>3=#;::1:6*<3085?!54:3<0(>=<:79'762=>2.8?849;%102?0<,:9<6;5+32:92>"4;00=7)=<a;48 65e2?1/?>m56:&07a<13-98i784$21e>3=#;=:1:6*<4085?!53:3<0(>:<:79'712=>2.88849;%172?0<,:><6;5+35:92>"4<00=7)=;a;48 62e2?1/?9m56:&00a<13-9?i784$26e>3=#;<:1:6*<5085?!52:3<0(>;<:79'702=>2.89849;%162?0<,:?<6;5+34:92>"4=00=7)=:a;48 63e2?1/?8m56:&01a<13-9>i784$27e>3=#;?:1:6*<6085?!51:3<0(>8<:79'732=>2.8:849;%152?0<,:<<6;5+37:92>"4>00=7)=9a;48 60e2?1/?;m56:&02a<13-9=i784$24e>3=#;>:1:6*<7085?!50:3<0(>9<:79'722=>2.8;849;%142?0<,:=<6;5+36:92>"4?00=7)=8a;48 61e2?1/?:m56:&03a<13-9<i784$25e>3=#;1:1:6*<8085?!5?:3<0(>6<:79'7=2=>2.84849;%1;2?0<,:2<6;5+39:92>"4000=7)=7a;48 6>e2?1/?5m56:&0<a<13-93i784$2:e>3=#;0:1:6*<9085?!5>:3<0(>7<:79'7<2=>2.85849;%1:2?0<,:3<6;5+38:92>"4100=7)=6a;48 6?e2?1/?4m56:&0=a<13-92i784$2;e>3=#;h:1:6*<a085?!5f:3<0(>o<:79'7d2=>2.8m849;%1b2?0<,:k<6;5+3`:92>"4i00=7)=na;48 6ge2<1/?lm55:&0ea<3??1/85954648 1>?2===7c:7b;08j1>d2;1/84h5949'0d7=<0h0(9ol:49'0db==2.:<hk511gg?!77mo0:<hj4n02e4?4<f8:m=7<4i0gb>5<#<>n1>=<4n55`>4`<3`;;hl4?:%64`?77lm1e8:m53:9jef<722ci>7>5;h0g<?6=3`89;7>5$55g>7533g><o7?j;:k15f<72-><h7<<4:l73f<6n21d>;750;&73a<5??1e8:m51d98k73b290/8:j52648j11d28l07d;;:18'02b==<1e8:m51:9j16<72-><h7;:;o64g?4<3`?96=4+46f910=i<>i1?65f5083>!20l3?>7c:8c;68?l37290/8:j5549m02e==21b9k4?:%64`?323g><o784;h7f>5<#<>n1985a46a93>=n=m0;6):8d;76?k20k3207d;l:18'02b==<1e8:m59:9j1g<72-><h7;:;o64g?g<3`?j6=4+46f910=i<>i1n65f5883>!20l3?>7c:8c;a8?l3?290/8:j5549m02e=l21b9:4?:%64`?323g><o7k4;h54>5<#<>n1;55a46a95>=n??0;6):8d;5;?k20k3807d9::18'02b=?11e8:m53:9j31<72-><h797;o64g?2<3`=86=4+46f93==i<>i1965f8383>!20l3=37c:8c;48?l>6290/8:j5799m02e=?21b4=4?:%64`?1?3g><o764;h5e>5<#<>n1;55a46a9=>=n?l0;6):8d;5;?k20k3k07d9k:18'02b=?11e8:m5b:9j3f<72-><h797;o64g?e<3`=i6=4+46f93==i<>i1h65f7`83>!20l3=37c:8c;g8?l77lo0;6):8d;33a7=i<>i1?65`a183>>i>n3:17d:7e;29?jg62900e9oi:188k<c=831b5o4?::k1`d<722c2m7>5;h;g>5<<a=kn6=44i8a94?=n<0:1<75f24094?"3?m099<5a46a94>=n:<:1<7*;7e8114=i<>i1=65f25d94?"3?m099<5a46a96>=n:=o1<7*;7e8114=i<>i1?65f11ff>5<#<>n1==jk;o64g?6<3`;;hn4?:%64`?77lm1e8:m51:9j55be290/8:j511fg?k20k3807d<<5;29 11c2;9?7c:8c;28?l44;3:1(99k:317?k20k3;07d<<1;29 11c2;9?7c:8c;08?l4483:1(99k:317?k20k3907d<=f;29 11c2;9?7c:8c;68?l45m3:1(99k:317?k20k3?07d<=d;29 11c2;9?7c:8c;48?l45k3:1(99k:317?k20k3=07d<=b;29 11c2;9?7c:8c;:8?l45i3:1(99k:317?k20k3307d<=9;29 11c2;9?7c:8c;c8?l4503:1(99k:317?k20k3h07d<=6;29 11c2;9?7c:8c;a8?l45=3:1(99k:317?k20k3n07d<=4;29 11c2;9?7c:8c;g8?l45;3:1(99k:317?k20k3l07d<=2;29 11c2;9?7c:8c;33?>o5:80;6):8d;000>h3?j0:=65f23294?"3?m09?95a46a957=<a;;m6=4+46f9662<f==h6<=4;h02a?6=,==o6?=;;o64g?7332c9=i4?:%64`?44<2d?;n4>5:9j66e=83.?;i4=359m02e=9?10e?=m:18'02b=::>0b99l:058?l44i3:1(99k:317?k20k3;376g=3883>!20l38886`;7b82=>=n::21<7*;7e8171=i<>i1=l54i314>5<#<>n1>>:4n55`>4d<3`88:7>5$55g>7533g><o7?l;:k177<72-><h7<<4:l73f<6l21d>:950;&73a<5??1e8:m50:9l623=83.?;i4=779m02e=921d>:=50;&73a<5??1e8:m52:9l624=83.?;i4=779m02e=;21d>:?50;&73a<5??1e8:m54:9l626=83.?;i4=779m02e==21d>;h50;&73a<5??1e8:m56:9l63c=83.?;i4=779m02e=?21d>;j50;&73a<5??1e8:m58:9l63e=83.?;i4=779m02e=121d>;l50;&73a<5??1e8:m5a:9l63g=83.?;i4=779m02e=j21d>;650;&73a<5??1e8:m5c:9l631=83.?;i4=779m02e=l21d>;850;&73a<5??1e8:m5e:9l633=83.?;i4=779m02e=n21d>;:50;&73a<5??1e8:m51198k704290/8:j52648j11d28;07b<92;29 11c2;==7c:8c;31?>i5>80;6):8d;042>h3?j0:?65`27294?"3?m09;;5a46a951=<g;?m6=4+46f9620<f==h6<;4;n04a?6=,==o6?99;o64g?7132e9;i4?:%64`?40>2d?;n4>7:9l62e=83.?;i4=779m02e=9110c?9m:18'02b=:><0b99l:0;8?j40i3:1(99k:355?k20k3;j76a=7883>!20l38<:6`;7b82f>=h:>21<7*;7e8133=i<>i1=n54o357>5<#<>n1>:84n55`>4b<3f8h47>5$55g>7e03g><o7>4;n0`2?6=,==o6?m8;o64g?7<3f8h97>5$55g>7e03g><o7<4;n0`0?6=,==o6?m8;o64g?5<3`8>m7>5$55g>73>3g><o7>4;h06<?6=,==o6?;6;o64g?7<3`8>;7>5$55g>73>3g><o7<4;h062?6=,==o6?;6;o64g?5<3f8o<7>5$55g>7ea3g><o7>4;n0`a?6=,==o6?mi;o64g?7<3f8hh7>5$55g>7ea3g><o7<4;n0`g?6=,==o6?mi;o64g?5<3`?=6=4+46f910=i<>i1<65f4g83>!20l3?>7c:8c;d8?j4fi3:1(99k:3c:?k20k3:07b<n8;29 11c2;k27c:8c;38?j4f>3:1(99k:3c:?k20k3807b<n5;29 11c2;k27c:8c;18?j4f<3:1(99k:3c:?k20k3>07b<n3;29 11c2;k27c:8c;78?j4f:3:1(99k:3c:?k20k3<07b<n1;29 11c2;k27c:8c;58?j4f83:1(99k:3c:?k20k3207b<6f;29 11c2;k27c:8c;;8?j4>m3:1(99k:3c:?k20k3k07b<6d;29 11c2;k27c:8c;`8?j4>j3:1(99k:3c:?k20k3i07b<6a;29 11c2;k27c:8c;f8?j4>13:1(99k:3c:?k20k3o07b<68;29 11c2;k27c:8c;d8?j4>?3:1(99k:3c:?k20k3;;76a=9783>!20l38j56`;7b825>=h:0?1<7*;7e81e<=i<>i1=?54o3;7>5<#<>n1>l74n55`>45<3f82?7>5$55g>7g>3g><o7?;;:m1=7<72-><h7<n9:l73f<6=21d>o?50;&73a<5i01e8:m51798k7d7290/8:j52`;8j11d28=07b<nf;29 11c2;k27c:8c;3;?>i5il0;6):8d;0b=>h3?j0:565`2`f94?"3?m09m45a46a95d=<g;kh6=4+46f96d?<f==h6<l4;n0bf?6=,==o6?o6;o64g?7d32e9m:4?:%64`?4f12d?;n4>d:9l6<e=83.?;i4=a89m02e=9l10c?7>:18'02b=:h30b99l:0d8?l1>290/8:j5799m02e=821b;?4?:%64`?1?3g><o7h4;h33a6<72-><h7??e39m02e=821b==k>:18'02b=99o97c:8c;38?l77m90;6):8d;33a7=i<>i1>65f21194?"3?m09<?5a46a94>=n:9;1<7*;7e8147=i<>i1=65f1gd94?"3?m09<?5a46a96>=n9oo1<7*;7e8147=i<>i1?65f1gf94?"3?m09<?5a46a90>=n9oi1<7*;7e8147=i<>i1965f1g`94?"3?m09<?5a46a92>=n9ok1<7*;7e8147=i<>i1;65f1g;94?"3?m09<?5a46a9<>=n9o21<7*;7e8147=i<>i1565f1g594?"3?m09<?5a46a9e>=n9o<1<7*;7e8147=i<>i1n65f1g694?"3?m09<?5a46a9g>=n9o91<7*;7e8147=i<>i1h65f1g094?"3?m09<?5a46a9a>=n9o;1<7*;7e8147=i<>i1j65f1g294?"3?m09<?5a46a955=<a8om6=4+46f9654<f==h6<?4;h3fa?6=,==o6?>=;o64g?7532c:ii4?:%64`?47:2d?;n4>3:9j5`e=83.?;i4=039m02e=9=10e<km:18'02b=:980b99l:078?l47i3:1(99k:321?k20k3;=76g=0883>!20l38;>6`;7b823>=n:921<7*;7e8147=i<>i1=554i324>5<#<>n1>=<4n55`>4?<3`8;:7>5$55g>7653g><o7?n;:k140<72-><h7<?2:l73f<6j21b>=:50;&73a<58;1e8:m51b98m767290/8:j52108j11d28n07d?i5;29 11c2;:97c:8c;3f?>{e1::1<7m7:183M77l<1/8ol54948^<1=kr;26<o530801?552:<1?>4=a;0a>7e=;=0957s+46:9543?3g82<7>4n3a0>5=#;8k1:6*<1c85?!56k3<0(>?k:79'74c=>2.8=k49;%114?0<,:8:6;5+33092>"4::0=7)==4;48 6422?1/??856:&062<13-994784$20:>3=#;;h1:6*<2b85?!55l3<0(><j:79'77`=>2.8?=49;%105?0<,:996;5+32192>"4;=0=7)=<5;48 6512?1/?>956:&07=<13-985784$21b>3=#;:h1:6*<3b85?!54l3<0(>=j:79'76`=>2.88=49;%175?0<,:>96;5+35192>"4<=0=7)=;5;48 6212?1/?9956:&00=<13-9?5784$26b>3=#;=h1:6*<4b85?!53l3<0(>:j:79'71`=>2.89=49;%165?0<,:?96;5+34192>"4==0=7)=:5;48 6312?1/?8956:&01=<13-9>5784$27b>3=#;<h1:6*<5b85?!52l3<0(>;j:79'70`=>2.8:=49;%155?0<,:<96;5+37192>"4>=0=7)=95;48 6012?1/?;956:&02=<13-9=5784$24b>3=#;?h1:6*<6b85?!51l3<0(>8j:79'73`=>2.8;=49;%145?0<,:=96;5+36192>"4?=0=7)=85;48 6112?1/?:956:&03=<13-9<5784$25b>3=#;>h1:6*<7b85?!50l3<0(>9j:79'72`=>2.84=49;%1;5?0<,:296;5+39192>"40=0=7)=75;48 6>12?1/?5956:&0<=<13-935784$2:b>3=#;1h1:6*<8b85?!5?l3<0(>6j:79'7=`=>2.85=49;%1:5?0<,:396;5+38192>"41=0=7)=65;48 6?12?1/?4956:&0==<13-925784$2;b>3=#;0h1:6*<9b85?!5>l3<0(>7j:79'7<`=>2.8m=49;%1b5?0<,:k96;5+3`192>"4i=0=7)=n5;48 6g12?1/?l956:&0e=<13-9j5784$2cb>3=#;hh196*<ab86?!5fl3><:6*;868733=#<1218:84n5:a>7=i<1i1>6*;9g8:1>"3i80?5o5+4`a91>"3im0>7)??ed824`b<,8:nj7??ee9m55`72;1e==h>:39j5`g=83.?;i4=039m02e=9o10e<>ka;29 11c28:oh6`;7b80?>ofk3:17dl=:188m7b?2900e?<8:18'02b=::>0b99l:0g8?l46k3:1(99k:317?k20k3;m76a=6883>!20l38<:6`;7b82a>=h:<o1<7*;7e8133=i<>i1=k54i4694?"3?m0>96`;7b82?>o2;3:1(99k:478j11d2;10e8<50;&73a<2=2d?;n4<;:k65?6=,==o68;4n55`>1=<a<:1<7*;7e861>h3?j0>76g:f;29 11c2<?0b99l:798m0c=83.?;i4:5:l73f<032c>h7>5$55g>03<f==h6554i4a94?"3?m0>96`;7b8:?>o2j3:1(99k:478j11d2h10e8o50;&73a<2=2d?;n4m;:k6=?6=,==o68;4n55`>f=<a<21<7*;7e861>h3?j0o76g:7;29 11c2<?0b99l:d98m21=83.?;i488:l73f<632c<:7>5$55g>2><f==h6?54i6794?"3?m0<46`;7b80?>o0<3:1(99k:6:8j11d2=10e:=50;&73a<002d?;n4:;:k;6?6=,==o6:64n55`>3=<a1;1<7*;7e84<>h3?j0<76g70;29 11c2>20b99l:998m2`=83.?;i488:l73f<>32c<i7>5$55g>2><f==h6l54i6f94?"3?m0<46`;7b8a?>o0k3:1(99k:6:8j11d2j10e:l50;&73a<002d?;n4k;:k4e?6=,==o6:64n55`>`=<a8:oj7>5$55g>46b:2d?;n4<;:mb4?6=3f3m6=44i5:f>5<<gh;1<75f4`d94?=h1l0;66g6b;29?l4ci3:17d7n:188m<b=831b8lk50;9j=f<722c?5=4?::k117<72-><h7<:1:l73f<732c99=4?:%64`?4292d?;n4>;:k10c<72-><h7<:1:l73f<532c98h4?:%64`?4292d?;n4<;:k24ac=83.?;i4>0ef8j11d2910e<>kc;29 11c28:oh6`;7b82?>o68mh1<7*;7e824ab<f==h6?54i316>5<#<>n1>>:4n55`>5=<a;986=4+46f9662<f==h6<54i312>5<#<>n1>>:4n55`>7=<a;9;6=4+46f9662<f==h6>54i30e>5<#<>n1>>:4n55`>1=<a;8n6=4+46f9662<f==h6854i30g>5<#<>n1>>:4n55`>3=<a;8h6=4+46f9662<f==h6:54i30a>5<#<>n1>>:4n55`>==<a;8j6=4+46f9662<f==h6454i30:>5<#<>n1>>:4n55`>d=<a;836=4+46f9662<f==h6o54i305>5<#<>n1>>:4n55`>f=<a;8>6=4+46f9662<f==h6i54i307>5<#<>n1>>:4n55`>`=<a;886=4+46f9662<f==h6k54i301>5<#<>n1>>:4n55`>46<3`89=7>5$55g>7533g><o7?>;:k165<72-><h7<<4:l73f<6:21b><h50;&73a<5;=1e8:m51298m77b290/8:j52268j11d28>07d<>d;29 11c2;9?7c:8c;36?>o5;j0;6):8d;000>h3?j0::65f22`94?"3?m09?95a46a952=<a;9j6=4+46f9662<f==h6<64;h00=?6=,==o6?=;;o64g?7>32c9?54?:%64`?44<2d?;n4>a:9j661=83.?;i4=359m02e=9k10e?=9:18'02b=::>0b99l:0a8?l44:3:1(99k:317?k20k3;o76a=7683>!20l38<:6`;7b83?>i5?<0;6):8d;042>h3?j0:76a=7283>!20l38<:6`;7b81?>i5?;0;6):8d;042>h3?j0876a=7083>!20l38<:6`;7b87?>i5?90;6):8d;042>h3?j0>76a=6g83>!20l38<:6`;7b85?>i5>l0;6):8d;042>h3?j0<76a=6e83>!20l38<:6`;7b8;?>i5>j0;6):8d;042>h3?j0276a=6c83>!20l38<:6`;7b8b?>i5>h0;6):8d;042>h3?j0i76a=6983>!20l38<:6`;7b8`?>i5>>0;6):8d;042>h3?j0o76a=6783>!20l38<:6`;7b8f?>i5><0;6):8d;042>h3?j0m76a=6583>!20l38<:6`;7b824>=h:?91<7*;7e8133=i<>i1=<54o341>5<#<>n1>:84n55`>44<3f8==7>5$55g>7113g><o7?<;:m125<72-><h7<86:l73f<6<21d>8h50;&73a<5??1e8:m51498k71b290/8:j52648j11d28<07b<8d;29 11c2;==7c:8c;34?>i5?j0;6):8d;042>h3?j0:465`26`94?"3?m09;;5a46a95<=<g;=j6=4+46f9620<f==h6<o4;n04=?6=,==o6?99;o64g?7e32e9;54?:%64`?40>2d?;n4>c:9l622=83.?;i4=779m02e=9m10c?m7:18'02b=:j=0b99l:198k7e1290/8:j52b58j11d2810c?m::18'02b=:j=0b99l:398k7e3290/8:j52b58j11d2:10e?;n:18'02b=:<30b99l:198m73?290/8:j524;8j11d2810e?;8:18'02b=:<30b99l:398m731290/8:j524;8j11d2:10c?j?:18'02b=:jl0b99l:198k7eb290/8:j52bd8j11d2810c?mk:18'02b=:jl0b99l:398k7ed290/8:j52bd8j11d2:10e8850;&73a<2=2d?;n4?;:k7b?6=,==o68;4n55`>c=<g;kj6=4+46f96d?<f==h6=54o3c;>5<#<>n1>l74n55`>4=<g;k=6=4+46f96d?<f==h6?54o3c6>5<#<>n1>l74n55`>6=<g;k?6=4+46f96d?<f==h6954o3c0>5<#<>n1>l74n55`>0=<g;k96=4+46f96d?<f==h6;54o3c2>5<#<>n1>l74n55`>2=<g;k;6=4+46f96d?<f==h6554o3;e>5<#<>n1>l74n55`><=<g;3n6=4+46f96d?<f==h6l54o3;g>5<#<>n1>l74n55`>g=<g;3i6=4+46f96d?<f==h6n54o3;b>5<#<>n1>l74n55`>a=<g;326=4+46f96d?<f==h6h54o3;;>5<#<>n1>l74n55`>c=<g;3<6=4+46f96d?<f==h6<>4;n0:2?6=,==o6?o6;o64g?7632e9584?:%64`?4f12d?;n4>2:9l6<2=83.?;i4=a89m02e=9:10c?7<:18'02b=:h30b99l:068?j4>:3:1(99k:3c:?k20k3;>76a=b083>!20l38j56`;7b822>=h:k:1<7*;7e81e<=i<>i1=:54o3ce>5<#<>n1>l74n55`>4><3f8ji7>5$55g>7g>3g><o7?6;:m1ea<72-><h7<n9:l73f<6i21d>lm50;&73a<5i01e8:m51c98k7ge290/8:j52`;8j11d28i07b<n7;29 11c2;k27c:8c;3g?>i51j0;6):8d;0b=>h3?j0:i65`28394?"3?m09m45a46a95c=<a>31<7*;7e84<>h3?j0;76g82;29 11c2>20b99l:g98m46b;3:1(99k:02f6>h3?j0;76g>0d394?"3?m0:<h<4n55`>4=<a8:n<7>5$55g>46b:2d?;n4=;:k146<72-><h7<?2:l73f<732c9<<4?:%64`?47:2d?;n4>;:k2bc<72-><h7<?2:l73f<532c:jh4?:%64`?47:2d?;n4<;:k2ba<72-><h7<?2:l73f<332c:jn4?:%64`?47:2d?;n4:;:k2bg<72-><h7<?2:l73f<132c:jl4?:%64`?47:2d?;n48;:k2b<<72-><h7<?2:l73f<?32c:j54?:%64`?47:2d?;n46;:k2b2<72-><h7<?2:l73f<f32c:j;4?:%64`?47:2d?;n4m;:k2b1<72-><h7<?2:l73f<d32c:j>4?:%64`?47:2d?;n4k;:k2b7<72-><h7<?2:l73f<b32c:j<4?:%64`?47:2d?;n4i;:k2b5<72-><h7<?2:l73f<6821b=hh50;&73a<58;1e8:m51098m4cb290/8:j52108j11d28807d?jd;29 11c2;:97c:8c;30?>o6mj0;6):8d;036>h3?j0:865f1d`94?"3?m09<?5a46a950=<a;:j6=4+46f9654<f==h6<84;h03=?6=,==o6?>=;o64g?7032c9<54?:%64`?47:2d?;n4>8:9j651=83.?;i4=039m02e=9010e?>9:18'02b=:980b99l:0c8?l47=3:1(99k:321?k20k3;i76g=0583>!20l38;>6`;7b82g>=n:9:1<7*;7e8147=i<>i1=i54i0d6>5<#<>n1>=<4n55`>4c<3th2?<4?:b:94?6|@8:o96*;bc87<3=]1>0hw<751`805?522:81?;4<3;0b>7d=:j0887<6:|&73=<69<20b?7?:19m6f5=82.8=l49;%12f?0<,:;h6;5+30f92>"49l0=7)=>f;48 6472?1/???56:&067<13-99?784$207>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>o49;%11g?0<,:8o6;5+33g92>"4:o0=7)=<0;48 6562?1/?><56:&076<13-988784$216>3=#;:<1:6*<3685?!5403<0(>=6:79'76g=>2.8?o49;%10g?0<,:9o6;5+32g92>"4;o0=7)=;0;48 6262?1/?9<56:&006<13-9?8784$266>3=#;=<1:6*<4685?!5303<0(>:6:79'71g=>2.88o49;%17g?0<,:>o6;5+35g92>"4<o0=7)=:0;48 6362?1/?8<56:&016<13-9>8784$276>3=#;<<1:6*<5685?!5203<0(>;6:79'70g=>2.89o49;%16g?0<,:?o6;5+34g92>"4=o0=7)=90;48 6062?1/?;<56:&026<13-9=8784$246>3=#;?<1:6*<6685?!5103<0(>86:79'73g=>2.8:o49;%15g?0<,:<o6;5+37g92>"4>o0=7)=80;48 6162?1/?:<56:&036<13-9<8784$256>3=#;><1:6*<7685?!5003<0(>96:79'72g=>2.8;o49;%14g?0<,:=o6;5+36g92>"4?o0=7)=70;48 6>62?1/?5<56:&0<6<13-938784$2:6>3=#;1<1:6*<8685?!5?03<0(>66:79'7=g=>2.84o49;%1;g?0<,:2o6;5+39g92>"40o0=7)=60;48 6?62?1/?4<56:&0=6<13-928784$2;6>3=#;0<1:6*<9685?!5>03<0(>76:79'7<g=>2.85o49;%1:g?0<,:3o6;5+38g92>"41o0=7)=n0;48 6g62?1/?l<56:&0e6<13-9j8784$2c6>3=#;h<1:6*<a685?!5f03<0(>o6:79'7dg=>2.8mo4:;%1bg?3<,:ko6999;%6;3?20>2.?454;779m0=d=:2d?4n4=;%6:b??23->j=7:6b:&7ef<23->jh7;4$02fa?77mm1/==ki:02f`>h68o:1>6`>0g396>o6mh0;6):8d;036>h3?j0:j65f11fb>5<#<>n1==jk;o64g?5<3`kh6=44ic094?=n:m21<75f23594?"3?m09?95a46a95`=<a;;h6=4+46f9662<f==h6<h4;n05=?6=,==o6?99;o64g?7b32e99h4?:%64`?40>2d?;n4>f:9j11<72-><h7;:;o64g?7<3`?86=4+46f910=i<>i1>65f5383>!20l3?>7c:8c;18?l36290/8:j5549m02e=<21b9=4?:%64`?323g><o7;4;h7e>5<#<>n1985a46a92>=n=l0;6):8d;76?k20k3=07d;k:18'02b==<1e8:m58:9j1f<72-><h7;:;o64g??<3`?i6=4+46f910=i<>i1m65f5`83>!20l3?>7c:8c;`8?l3>290/8:j5549m02e=k21b954?:%64`?323g><o7j4;h74>5<#<>n1985a46a9a>=n?>0;6):8d;5;?k20k3;07d99:18'02b=?11e8:m52:9j30<72-><h797;o64g?5<3`=?6=4+46f93==i<>i1865f7283>!20l3=37c:8c;78?l>5290/8:j5799m02e=>21b4<4?:%64`?1?3g><o794;h:3>5<#<>n1;55a46a9<>=n?o0;6):8d;5;?k20k3307d9j:18'02b=?11e8:m5a:9j3a<72-><h797;o64g?d<3`=h6=4+46f93==i<>i1o65f7c83>!20l3=37c:8c;f8?l1f290/8:j5799m02e=m21b==ji:18'02b=99o97c:8c;18?jg72900c4h50;9j0=c=831dm<4?::k7ec<722e2i7>5;h;a>5<<a;nj6=44i8c94?=n1m0;66g;ad83>>o>k3:17d:60;29?l42:3:1(99k:372?k20k3:07d<:0;29 11c2;?:7c:8c;38?l43n3:1(99k:372?k20k3807d<;e;29 11c2;?:7c:8c;18?l77ll0;6):8d;33`a=i<>i1<65f11f`>5<#<>n1==jk;o64g?7<3`;;ho4?:%64`?77lm1e8:m52:9j663=83.?;i4=359m02e=821b>>=50;&73a<5;=1e8:m51:9j667=83.?;i4=359m02e=:21b>>>50;&73a<5;=1e8:m53:9j67`=83.?;i4=359m02e=<21b>?k50;&73a<5;=1e8:m55:9j67b=83.?;i4=359m02e=>21b>?m50;&73a<5;=1e8:m57:9j67d=83.?;i4=359m02e=021b>?o50;&73a<5;=1e8:m59:9j67?=83.?;i4=359m02e=i21b>?650;&73a<5;=1e8:m5b:9j670=83.?;i4=359m02e=k21b>?;50;&73a<5;=1e8:m5d:9j672=83.?;i4=359m02e=m21b>?=50;&73a<5;=1e8:m5f:9j674=83.?;i4=359m02e=9910e?<>:18'02b=::>0b99l:038?l4583:1(99k:317?k20k3;976g=1g83>!20l38886`;7b827>=n:8o1<7*;7e8171=i<>i1=954i33g>5<#<>n1>>:4n55`>43<3`88o7>5$55g>7533g><o7?9;:k17g<72-><h7<<4:l73f<6?21b>>o50;&73a<5;=1e8:m51998m75>290/8:j52268j11d28307d<<8;29 11c2;9?7c:8c;3b?>o5;>0;6):8d;000>h3?j0:n65f22494?"3?m09?95a46a95f=<a;996=4+46f9662<f==h6<j4;n043?6=,==o6?99;o64g?6<3f8<97>5$55g>7113g><o7?4;n047?6=,==o6?99;o64g?4<3f8<>7>5$55g>7113g><o7=4;n045?6=,==o6?99;o64g?2<3f8<<7>5$55g>7113g><o7;4;n05b?6=,==o6?99;o64g?0<3f8=i7>5$55g>7113g><o794;n05`?6=,==o6?99;o64g?><3f8=o7>5$55g>7113g><o774;n05f?6=,==o6?99;o64g?g<3f8=m7>5$55g>7113g><o7l4;n05<?6=,==o6?99;o64g?e<3f8=;7>5$55g>7113g><o7j4;n052?6=,==o6?99;o64g?c<3f8=97>5$55g>7113g><o7h4;n050?6=,==o6?99;o64g?7732e9:>4?:%64`?40>2d?;n4>1:9l634=83.?;i4=779m02e=9;10c?8>:18'02b=:><0b99l:018?j4183:1(99k:355?k20k3;?76a=5g83>!20l38<:6`;7b821>=h:>o1<7*;7e8133=i<>i1=;54o35g>5<#<>n1>:84n55`>41<3f8<o7>5$55g>7113g><o7?7;:m13g<72-><h7<86:l73f<6121d>:o50;&73a<5??1e8:m51`98k71>290/8:j52648j11d28h07b<88;29 11c2;==7c:8c;3`?>i5?=0;6):8d;042>h3?j0:h65`2b:94?"3?m09o:5a46a94>=h:j<1<7*;7e81g2=i<>i1=65`2b794?"3?m09o:5a46a96>=h:j>1<7*;7e81g2=i<>i1?65f24c94?"3?m09945a46a94>=n:<21<7*;7e811<=i<>i1=65f24594?"3?m09945a46a96>=n:<<1<7*;7e811<=i<>i1?65`2e294?"3?m09ok5a46a94>=h:jo1<7*;7e81gc=i<>i1=65`2bf94?"3?m09ok5a46a96>=h:ji1<7*;7e81gc=i<>i1?65f5783>!20l3?>7c:8c;28?l2a290/8:j5549m02e=n21d>lo50;&73a<5i01e8:m50:9l6d>=83.?;i4=a89m02e=921d>l850;&73a<5i01e8:m52:9l6d3=83.?;i4=a89m02e=;21d>l:50;&73a<5i01e8:m54:9l6d5=83.?;i4=a89m02e==21d>l<50;&73a<5i01e8:m56:9l6d7=83.?;i4=a89m02e=?21d>l>50;&73a<5i01e8:m58:9l6<`=83.?;i4=a89m02e=121d>4k50;&73a<5i01e8:m5a:9l6<b=83.?;i4=a89m02e=j21d>4l50;&73a<5i01e8:m5c:9l6<g=83.?;i4=a89m02e=l21d>4750;&73a<5i01e8:m5e:9l6<>=83.?;i4=a89m02e=n21d>4950;&73a<5i01e8:m51198k7?1290/8:j52`;8j11d28;07b<65;29 11c2;k27c:8c;31?>i51=0;6):8d;0b=>h3?j0:?65`28194?"3?m09m45a46a951=<g;396=4+46f96d?<f==h6<;4;n0a5?6=,==o6?o6;o64g?7132e9n=4?:%64`?4f12d?;n4>7:9l6d`=83.?;i4=a89m02e=9110c?oj:18'02b=:h30b99l:0;8?j4fl3:1(99k:3c:?k20k3;j76a=ab83>!20l38j56`;7b82f>=h:hh1<7*;7e81e<=i<>i1=n54o3c4>5<#<>n1>l74n55`>4b<3f82o7>5$55g>7g>3g><o7?j;:m1=4<72-><h7<n9:l73f<6n21b;44?:%64`?1?3g><o7>4;h51>5<#<>n1;55a46a9b>=n99o86=4+46f955c53g><o7>4;h33a4<72-><h7??e39m02e=921b==k?:18'02b=99o97c:8c;08?l47;3:1(99k:321?k20k3:07d<?1;29 11c2;:97c:8c;38?l7an3:1(99k:321?k20k3807d?ie;29 11c2;:97c:8c;18?l7al3:1(99k:321?k20k3>07d?ic;29 11c2;:97c:8c;78?l7aj3:1(99k:321?k20k3<07d?ia;29 11c2;:97c:8c;58?l7a13:1(99k:321?k20k3207d?i8;29 11c2;:97c:8c;;8?l7a?3:1(99k:321?k20k3k07d?i6;29 11c2;:97c:8c;`8?l7a<3:1(99k:321?k20k3i07d?i3;29 11c2;:97c:8c;f8?l7a:3:1(99k:321?k20k3o07d?i1;29 11c2;:97c:8c;d8?l7a83:1(99k:321?k20k3;;76g>eg83>!20l38;>6`;7b825>=n9lo1<7*;7e8147=i<>i1=?54i0gg>5<#<>n1>=<4n55`>45<3`;no7>5$55g>7653g><o7?;;:k2ag<72-><h7<?2:l73f<6=21b>=o50;&73a<58;1e8:m51798m76>290/8:j52108j11d28=07d<?8;29 11c2;:97c:8c;3;?>o58>0;6):8d;036>h3?j0:565f21494?"3?m09<?5a46a95d=<a;:>6=4+46f9654<f==h6<l4;h030?6=,==o6?>=;o64g?7d32c9<=4?:%64`?47:2d?;n4>d:9j5c3=83.?;i4=039m02e=9l10q~?63;296~X61:169;65e49~w4?62909wS?61:?622<b=2wx=4>50;0xZ4?734?=:7k:;|q2<c<72;qU=5h4=446>`3<uz;3i7>52z\2<`=:=?>1i85rs0:g>5<5sW;3h63:628f1>{t91h1<7<t^0:a?83193o>7p}>8`83>7}Y91k0188?:d78yv7?13:1>vP>889>10`=m<1v<67:181[7?027>9h4j5:p5=1=838pR<68;<76`?c23ty:4;4?:3y]5=0<5<?h6h;4}r3;1?6=:rT:485254`9a0=z{82?6=4={_3;0>;2=h0n96s|19194?4|V82870;:9;g6?xu60;0;6?uQ1908903?2l?0q~?70;296~X609169885e49~w41a2909wS?8f:?610<b=2wx=:k50;0xZ41b34?>87k:;|q23a<72;qU=:j4=470>`3<uz;<o7>52z\23f=:=<81i85rs05a>5<5sW;<n63:508f1>{t9>k1<7<t^05b?83283o>7p}>7883>7}Y9>3018:i:d78yv7003:1>vP>799>11c=m<1v<98:181[70?27>8i4j5:p523=838pR<9:;<77f?c23ty:;94?:3y]522<5<>j6h;4}r347?6=:rT:;>5255;9a0=z{8=96=4={_346>;2<10n96s|16394?4|V8=:70;;7;g6?xu6?90;6?uQ162890212l?0q~?9f;296~X6>o1699;5e49~w40b2909wS?9e:?601<b=2wx=;j50;0xZ40c34???7k:;|q22f<72;qU=;m4=461>`3<uz;=m7>52z\22d=:==:1i85rs04:>5<5sW;=563:3g8f1>{t9?21<7<t^04;?834m3o>7p}>6683>7}Y9?=018=k:d78yv71>3:1>vP>679>16e=m<1v<8::181[71=27>?o4j5:p532=838pR<8;;<70e?c23ty::>4?:3y]535<5<926h;4}r356?6=:rT::?5252:9a0=z{8<:6=4={_355>;2;>0n96s|14d94?4|V8?m70;<5;g6?xu6=l0;6?uQ14g890532l?0q~?:d;296~X6=m169>=5e49~w43d2909wS?:c:?677<b=2wx=8l50;0xZ43e34?8=7k:;|q21d<72;qU=8o4=413>`3<uz;>57>52z\21<=:=;l1i85rs07;>5<5sW;>463:2d8f1>{t9<=1<7<t^074?835l3o>7p}>5783>7}Y9<<018<l:d78yv72;3:1>vP>529>17?=m<1v<;=:181[72:27>>54j5:p507=838pR<;>;<713?c23ty:9=4?:3y]506<5<8=6h;4}r37b?6=:rT:8k525379a0=z{8>n6=4={_37a>;2:=0n96s|15f94?4|V8>o70;=3;g6?xu6<j0;6?uQ15a890452l?0q~?;b;296~X6<k169??5e49~w42f2909wS?;a:?665<b=2wx=9650;0xZ42?34?:i7k:;|q202<72;qU=994=43g>`3<uz;?:7>52z\203=:=8i1i85rs066>5<5sW;?963:1c8f1>{t9=>1<7<t^067?836i3o>7p}>4283>7}Y9=9018?6:d78yv73:3:1>vP>439>14>=m<1v<:>:181[73927>=:4j5:p516=838pR<:?;<722?c23ty:?k4?:3y]56`<5<;>6h;4}r30`?6=:rT:?i525019a0=z{89h6=4={_30g>;29;0n96s|12`94?4|V89i70;>1;g6?xu6;h0;6?uQ12c890772l?0q~?<9;296~X6;0169=h5e49~w45?2909wS?<8:?64`<b=2wx=>950;0xZ45034?;h7k:;|q273<72;qU=>84=42`>`3<uz;897>52z\270=:=9h1i85rs017>5<5sW;8863:0`8f1>{t9:81<7<t^011?83703o>7p}>3083>7}Y9:;018>8:d78yv7483:1>vP>319>150=m<1v<<i:181[75n27><84j5:p57c=838pR<<j;<730?c23ty:>i4?:3y]57b<5<:86h;4}r31g?6=:rT:>n525109a0=z{88i6=4={_31f>;2880n96s|13c94?4|V88j70;?0;g6?xu6:00;6?uQ13;891`a2l?0q~?=7;296~X6:>168kj5e49~w4412909wS?=6:?7bf<b=2wx=?;50;0xZ44234>mn7k:;|q261<72;qU=?:4=5db>`3<uz;9?7>52z\266=:<o31i85rs001>5<5sW;9>63;f98f1>{t9;;1<7<t^002?82a?3o>7p}>2183>7}Y9;:019h9:d78yv76n3:1>vP>1g9>0c3=m<1v<?j:181[76m27?j94j5:p54e=838pR<?l;<6e6?c23ty:=o4?:3y]54d<5=l:6h;4}r32e?6=:rT:=l524g29a0=z{8;26=4={_32=>;3mo0n96s|10:94?4|V8;370:je;g6?xu69>0;6?uQ105891cc2l?0q~?>6;296~X69?168hm5e49~w4722909wS?>5:?7ag<b=2wx=<:50;0xZ47334>nm7k:;|q256<72;qU=<=4=5g:>`3<uz;:=7>52z\254=:<l=1i85rs033>5<5sW;:<63;e78f1>{t99l1<7<t^02e?82b=3o>7p}>0d83>7}Y99o019k;:d78yv77l3:1>vP>0e9>0`5=m<1v<>l:181[77k27?i?4j5:p55d=838pR<>m;<6f5?c23ty:<l4?:3y]55g<5=o;6h;4}r33=?6=:rT:<4524ed9a0=z{8:36=4={_33<>;3ll0n96s|11494?4|V8:=70:kc;g6?xu68<0;6?uQ117891be2l?0q~??4;296~X68=168io5e49~w4642909wS??3:?7`<<b=2wx==<50;0xZ46534>o47k:;|q244<72;qU==?4=5f4>`3<uz;;<7>52z\245=:<m<1i85rsgd94?4|Vol019j::d78yv`b2909wShj;<6g0?c23tymh7>52z\e`>;3l:0n96s|fc83>7}Ynk168i?5e49~wcg=838pRko4=5f3>`3<uzl26=4={_d:?82dn3o>7p}i8;296~Xa027?oh4j5:pb2<72;qUj:524bf9a0=z{o<1<7<t^g4891ed2l?0q~h::181[`234>hn7k:;|qe0?6=:rTm863;c`8f1>{tn:0;6?uQf29>0f?=m<1vk<50;0xZc4<5=i36h;4}rd3>5<5sWl;70:l6;g6?xubn3:1>vPjf:?7g0<b=2wxih4?:3y]a`=:<j>1i85rsdf94?4|Vln019m<:d78yvcd2909wSkl;<6`6?c23tynn7>52z\ff>;3k80n96s|e`83>7}Ymh168n>5e49~w`?=838pRh74=5`e>`3<uzo36=4={_g;?82em3o>7p}j7;296~Xb?27?ni4j5:p5`3=838pR<k:;<7ae?c23ty:i94?:3y]5`2<5<h26h;4}r3f7?6=:rT:i>525c:9a0=z{8o96=4={_3f6>;2j>0n96s|1d394?4|V8o:70;m6;g6?xu6m90;6?uQ1d2890d22l?0q~?kf;296~X6lo169o:5e49~w4bb2909wS?ke:?6f6<b=2wx=ij50;0xZ4bc34?i>7k:;|q2`f<72;qU=im4=4`2>`3<uz;om7>52z\2`d=:=hl1i85rs0f:>5<5sW;o563:ad8f1>{t9m21<7<t^0f;?83fl3o>7p}>d683>7}Y9m=018ol:d78yv7c>3:1>vP>d79>1dd=m<1v<j::181[7c=27>ml4j5:p5a2=838pR<j;;<7b=?c23ty:h>4?:3y]5a5<5<k36h;4}r3g6?6=:rT:h?525`59a0=z{8n:6=4={_3g5>;2i?0n96s|1bd94?4|V8im70;n4;g6?xu6kl0;6?uQ1bg890g42l?0q~?ld;296~X6km169l<5e49~w4ed2909wS?lc:?6e4<b=2wx=nl50;0xZ4ee34?j<7k:;|q2gd<72;qU=no4=4;e>`3<uz;h57>52z\2g<=:=0o1i85rs0a;>5<5sW;h463:9e8f1>{t9j=1<7<t^0a4?83>k3o>7p}>c783>7}Y9j<0187m:d78yv7d<3:1>vP>c59>1<?=m<1v<m<:181[7d;27>554j5:p5f4=838pR<m=;<7:3?c23ty:o<4?:3y]5f7<5<3=6h;4}r3`4?6=:rT:o=525879a0=z{8hm6=4={_3ab>;21=0n96s|1cg94?4|V8hn70;63;g6?xu6jm0;6?uQ1cf890?52l?0q~?mc;296~X6jj1694?5e49~w4de2909wS?mb:?6=5<b=2wx=o750;0xZ4d>34?3i7k:;|q2f=<72;qU=o64=4:g>`3<uz;i;7>52z\2f2=:=1i1i85rs0`5>5<5sW;i:63:8c8f1>{t9k?1<7<t^0`6?83?i3o>7p}>b583>7}Y9k>01866:d78yv7e;3:1>vP>b29>1=>=m<1v<l=:181[7e:27>4:4j5:p5g7=838pR<l>;<7;2?c23ty:n=4?:3y]5g6<5<2>6h;4}r3ba?6=:rT:mh525919a0=z{8ko6=4={_3b`>;20;0n96s|1`a94?4|V8kh70;71;g6?xu6ik0;6?uQ1``890>72l?0q~?na;296~X6ih169:h5e49~w4g>2909wS?n9:?63`<b=2wx=l650;0xZ4g?34?<h7k:;|q2e2<72;qU=l94=45`>`3<uz;j:7>52z\2e3=:=>h1i85rs0c6>5<5sW;j963:7`8f1>{t9h91<7<t^0c0?83003o>7p}>a383>7}Y9h801898:d78yv7f93:1>vP>a09>120=m<1v<o?:181[7f827>;84j5:p5<`=838pR<7i;<740?c23ty:5h4?:3y]5<c<5<=86h;4}r3:`?6=:rT:5i525609a0=z{83h6=4={_3:g>;2?80n96s|18`94?4|V83i70;80;g6?xu61h0;6?uQ18c8900a2l?0q~?68;296~X611169;j5e49~w4?02909wS?67:?62f<b=2wx=4850;0xZ4?134?=n7k:;|q2=0<72;qU=4;4=44b>`3<uz;287>52z\2=1=:=?31i85rs0:`>5<5sW;3o63:638f1>{t91;1<7<t^0:2?832?3o>7p}>7783>7}Y9><018:l:d78yv71j3:1>vP>6c9>117=m<1v<8?:181[71827>?;4j5:p502=838pR<;;;<71e?c23ty:844?:3y]51?<5<;m6h;4}r30a?6=:rT:?h525069a0=z{8986=4={_307>;2800n96s|13:94?4|V88370:ie;g6?xu69m0;6?uQ10f891`42l?0q~?>2;296~X69;168h65e49~w4602909wS??7:?7`a<b=2wxjn4?:3y]bf=:<m81i85rsg394?4|Vo;019m8:d78yv7b>3:1>vP>e79>1gd=m<1v<jm:181[7cj27>n=4j5:p5a6=838pR<j?;<7b1?c23ty:o84?:3y]5f3<5<3j6h;4}r3ae?6=:rT:nl5259d9a0=z{8km6=4={_3bb>;20=0n96s|1`694?4|V8k?70;89;g6?xu6100;6?uQ18;8900b2l?0q~?:5;296~X6=<169?l5e49~w`0=838pRh84=5``>`3<uz>im7>52z\7fd=:=j91i85rs5`;>5<5sW>i463:c38f1>{t<k=1<7<t^5`4?83d93o>7p};b783>7}Y<k<018m?:d78yv2e=3:1>vP;b49>1g`=m<1v9l;:181[2e<27>nh4j5:p0g5=838pR9l<;<7a`?c23ty?n?4?:3y]0g4<5<hh6h;4}rab>5<6:rThm63j8`87e==:m1818:h4=d:0>11a34o387:8f:?f<0<3?o16i58546d89`>02==m70k78;64b>;b000?;k52e6c902`<5l=i699i;<g4g?20n27n;i4;7g9>a2c=<>l01h9i:55e?8c?83><j63j80873c=z{j91<7?6{_a0?8?7?39:963n6487<1=:i?8185:4=`7f>1>334k>m7:74:?b<<<30=16m5;549689d>62=2?70o8d;6;0>;f?00?4952a6790=2<5h=:696;;<c5`?2?<27j:44;859>e00=<1>014??:5:7?8?7n3>386360d87<1=:19n185:4=82`>1>3343;n7:74:?:4d<30=165=7549689<6?2=2?7p}l2;292~Xd:27ook4=f`9>`=7=i;16h5?5e49>`2g=;8?01imj:55e?xud93:18vPl1:?g35<f:27o;=4j5:?g22<49<1vh<50;0b[c534hm>7o=;<`ee?g534ho>7o=;<`g7?g534ho87o=;<`g1?g534hn87o=;<`f1?g534hn:7o=;<`f3?g534hh57o=;<``e?g534hm97o=;<`e2?g534hh>7o=;<``7?g534hmn7o=;<`eg?g534hm?7o=;<a24?g534i:;7o=;<a2<?g534i:57o=;<a2e?g534i:n7o=;<a2g?g534i:h7o=;<a2a?g534i:j7o=;<a25?g534i:>7o=;<a27?g534i:87o=;<a21?g534i::7o=;<a14?g534n;n7=>5:?g4f<49<16h=j54`:89a6b2=k370j?f;6b<>{tm80;6>uQe09>a27=i;16i;m5a39~wa`=833>wSji;<`ag?56>273jo4n2:?;b=<f:273j94n2:?;b5<f:273in4n2:?;a=<f:273i94n2:?;a5<f:273hn4n2:?:55<f:272<k4n2:?:4`<f:272<i4n2:?:4f<f:272<o4n2:?:4d<f:272<44n2:?:4=<f:272=<4=d89>=45=:m2014=n:3f;?8?3=38o46365181`==:1<h1>i64=845>7b?343<=7<k8:?:3f<5l11655952e:89<?52;n3707>e;0g<>;>:009h55292096a><50986?j7;<;00?4c0272?84=d99>=60=:m2014=8:3f;?8?4038o46363881`==:1:h1>i64=81`>7b?3438h7<k8:?:7`<5l1165>h52e:89<272;n3707;1;0g<>;><;09h55295196a><50>?6?j7;<;72?4c02728:4=d99>=1>=:m2014:6:3f;?8?3i38o46364c81`==:1=i1>i64=86g>7b?343?i7<k8:?:0c<5l11658?52e:89<352;n3707:3;0g<>;>==09h55294796a><50?=6?j7;<;63?4c0272954=d99>=0?=:m2014;n:3f;?8?2k38o46365e81`==:1<o1>i64=87e>7b?343=<7<k8:?:24<5l1165;<52e:89<042;n370794;0g<>;>><09h55297596a><50<36?j7;<;5=?4c0272:l4=d99>=3d=:m20148l:3f;?8?1l38o46366d81`==:1?l1>i64=853>7b?343<>7<k8:?:36<5l1165::52e:89<122;n370786;0g<>;>?>09h55296:96a><50=26?j7;<;4e?4c0272;o4=d99>=2b=:m20149j:3f;?8?0n38o46368181`==:11;1>i64=8:1>7b?3433?7<k8:?:<1<5l11655;52e:89<>12;n370778;0g<>;>0009h55299c96a><502i6?j7;<;;g?4c02724i4=d99>==c=:m20146i:3f;?8?>838o46369081`==:18>1>i64=836>7b?343::7<k8:?:52<5l1165<652e:89<7>2;n3707>a;0g<>;>9k09h55290a96a><50;o6?j7;<;2b?4c0272>=4=d99>=77=:m2014<=:3f;?8?5;38o46362581`==:1;?1>i64=805>7b?3439;7<k8:?:6=<5l1165?o52e:89<4e2;n3707=c;0g<>;>:m09h55293g96a><508m6?j7;<;04?4c0272?<4=d99~wac=83<pRik4=efb>d4<5mnj6h;4=d21>`3<5l:<6>?:;<fg0?56=2wxhi4?:2y]`a=:m9=1?<:4=ed4>6733tyh57>54z\`=>;b?80n963j8`87ed=:k8:1i85rscg94?basWhn70k81;c6?8c1k3k>70k7a;6b=>;b<o02463kd`8:<>;b8;02463j028:<>;b8802463j438:<>;b;o02463j3c8:<>;b;>02463j328:<>;b:o02463j2c8:<>;b:>02463j228:<>;b9o02463k808:<>;c?902463mf38b1>;enh0j963md38b1>;el:0j963md58b1>;el<0j963me58b1>;em<0j963me78b1>;em>0j963mc88b1>;ekh0j963mf48b1>;en?0j963mc38b1>;ek:0j963mfc8b1>;enj0j963mf28b1>;d9902463l168:<>;d9102463l188:<>;d9h02463l1c8:<>;d9j02463l1e8:<>;d9l02463l1g8:<>;d9802463l138:<>;d9:02463l158:<>;d9<02463l178:<>;d:90j963n648:<>;f>;02463n5d8:<>;f=h02463n888:<>;f0<02463n808:<>;f?m02463n788:<>;f?<02463n708:<>;f>m02463n688:<>;f=?02463k0e87e<=:l9o18l74=e2e>1g>342mn777;<:e<???342m8777;<:e4???342no777;<:f<???342n8777;<:f4???342oo777;<;24???343;j777;<;3a???343;h777;<;3g???343;n777;<;3e???343;5777;<;3<???34nhi777;<f``???343:=7l>;<;27?gd3438m7ol;<;71?gd343><7ol;<;6f?gd343=:7ol;<;45?gd343<o7ol;<;;3?gd3432>7ol;<;2a?gd343957ol;<;06?gd3438?7ol;<;00?gd343897ol;<;02?gd3438;7ol;<;0<?gd343857ol;<;0f?gd3438o7ol;<;0`?gd3438i7ol;<;0b?gd343?<7ol;<;75?gd343?>7ol;<;77?gd343?87ol;<;72?gd343?;7ol;<;7<?gd343?57ol;<;7e?gd343?n7ol;<;7g?gd343?h7ol;<;7a?gd343?j7ol;<;65?gd343>>7ol;<;67?gd343>87ol;<;61?gd343>:7ol;<;63?gd343>47ol;<;6=?gd343>m7ol;<;6g?gd343>h7ol;<;6a?gd343>j7ol;<;54?gd343==7ol;<;56?gd343=?7ol;<;50?gd343=97ol;<;53?gd343=47ol;<;5=?gd343=m7ol;<;5f?gd343=o7ol;<;5`?gd343=i7ol;<;5b?gd343<<7ol;<;46?gd343<?7ol;<;40?gd343<97ol;<;42?gd343<;7ol;<;4<?gd343<57ol;<;4e?gd343<n7ol;<;4`?gd343<i7ol;<;4b?gd3433<7ol;<;;5?gd3433>7ol;<;;7?gd343387ol;<;;1?gd3433:7ol;<;;<?gd343357ol;<;;e?gd3433n7ol;<;;g?gd3433h7ol;<;;a?gd3433j7ol;<;:4?gd3432=7ol;<;20?gd343:97ol;<;22?gd343:;7ol;<;2<?gd343:57ol;<;2e?gd343:n7ol;<;2g?gd343:h7ol;<;2b?gd3439<7ol;<;15?gd3439>7ol;<;17?gd343987ol;<;11?gd3439:7ol;<;13?gd343947ol;<;1e?gd3439n7ol;<;1g?gd3439h7ol;<;1a?gd3439j7ol;<;04?gd3438=7ol;|qb1?6=<8ipRl;4=d4:><><5l=36464=d4a><><5l=?6464=d4e><><5l<o6464=d51><><5<i>6464=7c0><><5>826464=61e><><5>?>6464=64a><><5>2:6464=6;4><><5>ko6464=6a0><><5<ni6464=4d2><><5?:<6464=73g><><5?986464=76:><><5??m6464=756><><5?2i6464=7c2><><5?h26464=7ae><><5?o>6464=7da><><5>;:6464=63e><><5>8:6464=600><><5>8>6464=604><><5>8i6464=60g><><5>8m6464=612><><5>986464=616><><5>9<6464=61:><><5>9i6464=61g><><5>>:6464=660><><5>>>6464=664><><5>>26464=66a><><5>>o6464=66e><><5>?:6464=670><><5>?<6464=67:><><5>?i6464=67g><><5>?m6464=642><><5><86464=646><><5><<6464=64:><><5><o6464=64e><><5>=:6464=650><><5>=>6464=654><><5>=26464=65a><><5>=o6464=65e><><5>286464=6:6><><5>2<6464=6::><><5>2i6464=6:g><><5>2m6464=6;2><><5>386464=6;6><><5>326464=6;a><><5>3o6464=6;e><><5>k:6464=6c0><><5>k>6464=6c4><><5>k26464=6ca><><5>km6464=6`2><><5>h86464=6`6><><5>h<6464=6`:><><5>hi6464=6`g><><5>hm6464=6a2><><5<i<6464=4a:><><5<ii6464=4ag><><5<im6464=4f2><><5<n86464=4f6><><5<n<6464=4f:><><5<no6464=4fe><><5<o:6464=4g0><><5<o>6464=4g4><><5<o26464=4ga><><5<oo6464=4ge><><5<l86464=4d6><><5<l<6464=4d:><><5<li6464=4dg><><5<lm6464=722><><5?:86464=726><><5?:26464=72a><><5?:o6464=72e><><5?;:6464=730><><5?;>6464=734><><5?;26464=73a><><5?;m6464=702><><5?886464=706><><5?8<6464=70:><><5?8i6464=70g><><5?8m6464=712><><5?9>6464=714><><5?926464=71a><><5?9o6464=71e><><5?>:6464=760><><5?>>6464=764><><5?>i6464=76g><><5?>m6464=772><><5??86464=776><><5??<6464=77:><><5??i6464=77g><><5?<:6464=740><><5?<>6464=744><><5?<26464=74a><><5?<o6464=74e><><5?=:6464=750><><5?=<6464=75:><><5?=i6464=75g><><5?=m6464=7:2><><5?286464=7:6><><5?2<6464=7::><><5?2o6464=7:e><><5?3:6464=7;0><><5?3>6464=7;4><><5?326464=7;a><><5?3o6464=7;e><><5?k>6464=7c4><><5?k26464=7ca><><5?ko6464=7ce><><5?h:6464=7`0><><5?h>6464=7`4><><5?hi6464=7`g><><5?hm6464=7a2><><5?i86464=7a6><><5?i<6464=7a:><><5?ii6464=7ag><><5?n:6464=7f0><><5?n>6464=7f4><><5?n26464=7fa><><5?no6464=7fe><><5?o:6464=7g0><><5?o<6464=7g:><><5?oi6464=7gg><><5?om6464=7d2><><5?l86464=7d6><><5?l<6464=7d:><><5?lo6464=7de><><5>::6464=620><><5>:>6464=624><><5>:26464=62a><><5>:o6464=62e><><5>;86464=636><><5>;<6464=63:><><5>;i6464=63g><><5<i?6l;4=7c1>d3<5>836l;4=61f>d3<5>??6l;4=64b>d3<5>2;6l;4=6;5>d3<5>kh6l;4=6a1>d3<5<nj6l;4=4d3>d3<5?:=6l;4=73`>d3<5?996l;4=76;>d3<5??n6l;4=757>d3<5?2j6l;4=7c3>d3<5?h36l;4=7af>d3<5?o?6l;4=7db>d3<5>;;6l;4=63f>d3<5>8;6l;4=601>d3<5>8?6l;4=605>d3<5>8j6l;4=60`>d3<5>8n6l;4=613>d3<5>996l;4=617>d3<5>9=6l;4=61;>d3<5>9j6l;4=61`>d3<5>>;6l;4=661>d3<5>>?6l;4=665>d3<5>>36l;4=66b>d3<5>>h6l;4=66f>d3<5>?;6l;4=671>d3<5>?=6l;4=67;>d3<5>?j6l;4=67`>d3<5>?n6l;4=643>d3<5><96l;4=647>d3<5><=6l;4=64;>d3<5><h6l;4=64f>d3<5>=;6l;4=651>d3<5>=?6l;4=655>d3<5>=36l;4=65b>d3<5>=h6l;4=65f>d3<5>296l;4=6:7>d3<5>2=6l;4=6:;>d3<5>2j6l;4=6:`>d3<5>2n6l;4=6;3>d3<5>396l;4=6;7>d3<5>336l;4=6;b>d3<5>3h6l;4=6;f>d3<5>k;6l;4=6c1>d3<5>k?6l;4=6c5>d3<5>k36l;4=6cb>d3<5>kn6l;4=6`3>d3<5>h96l;4=6`7>d3<5>h=6l;4=6`;>d3<5>hj6l;4=6``>d3<5>hn6l;4=6a3>d3<5<i=6l;4=4a;>d3<5<ij6l;4=4a`>d3<5<in6l;4=4f3>d3<5<n96l;4=4f7>d3<5<n=6l;4=4f;>d3<5<nh6l;4=4ff>d3<5<o;6l;4=4g1>d3<5<o?6l;4=4g5>d3<5<o36l;4=4gb>d3<5<oh6l;4=4gf>d3<5<l96l;4=4d7>d3<5<l=6l;4=4d;>d3<5<lj6l;4=4d`>d3<5<ln6l;4=723>d3<5?:96l;4=727>d3<5?:36l;4=72b>d3<5?:h6l;4=72f>d3<5?;;6l;4=731>d3<5?;?6l;4=735>d3<5?;36l;4=73b>d3<5?;n6l;4=703>d3<5?896l;4=707>d3<5?8=6l;4=70;>d3<5?8j6l;4=70`>d3<5?8n6l;4=713>d3<5?9?6l;4=715>d3<5?936l;4=71b>d3<5?9h6l;4=71f>d3<5?>;6l;4=761>d3<5?>?6l;4=765>d3<5?>j6l;4=76`>d3<5?>n6l;4=773>d3<5??96l;4=777>d3<5??=6l;4=77;>d3<5??j6l;4=77`>d3<5?<;6l;4=741>d3<5?<?6l;4=745>d3<5?<36l;4=74b>d3<5?<h6l;4=74f>d3<5?=;6l;4=751>d3<5?==6l;4=75;>d3<5?=j6l;4=75`>d3<5?=n6l;4=7:3>d3<5?296l;4=7:7>d3<5?2=6l;4=7:;>d3<5?2h6l;4=7:f>d3<5?3;6l;4=7;1>d3<5?3?6l;4=7;5>d3<5?336l;4=7;b>d3<5?3h6l;4=7;f>d3<5?k?6l;4=7c5>d3<5?k36l;4=7cb>d3<5?kh6l;4=7cf>d3<5?h;6l;4=7`1>d3<5?h?6l;4=7`5>d3<5?hj6l;4=7``>d3<5?hn6l;4=7a3>d3<5?i96l;4=7a7>d3<5?i=6l;4=7a;>d3<5?ij6l;4=7a`>d3<5?n;6l;4=7f1>d3<5?n?6l;4=7f5>d3<5?n36l;4=7fb>d3<5?nh6l;4=7ff>d3<5?o;6l;4=7g1>d3<5?o=6l;4=7g;>d3<5?oj6l;4=7g`>d3<5?on6l;4=7d3>d3<5?l96l;4=7d7>d3<5?l=6l;4=7d;>d3<5?lh6l;4=7df>d3<5>:;6l;4=621>d3<5>:?6l;4=625>d3<5>:36l;4=62b>d3<5>:h6l;4=62f>d3<5>;96l;4=637>d3<5>;=6l;4=63;>d3<5>;j6l;4=63`>d3<5l2j6ol4=eg2><><5mo96464=ea`><><5mkh6464=eca><><5mkj6464=ec:><><5mh>6464=e`7><><5mh86464=e`1><><5mh:6464=e`3><><5mkm6464=ecf><><5mko6464=ec;><><5l:j6464=d2:><><5mn>6464=ef:><><5mn36464=e7a><><5m?h6464=e7g><><5m?n6464=e7e><><5m<;6464=e42><><5m<96464=e5a><><5m2;6464=e5f><><5m=m6464=e4;><><5m<m6464=e4a><><5m<h6464=e4g><><5m<n6464=e66><><5m>=6464=e62><><5khn6464=c`e><><5m>86464=e67><><5kin6464=cg3><><5ki;6464=b00><><5j8:6464=b11><><5j9;6464=b16><><5j986464=b1;><><5j9=6464=b1a><><5j926464=b1f><><5j9h6464=b62><><5j9m6464=b67><><5j>96464=b64><><5j>>6464=b6b><><5j>36464=b05><><5j8?6464=b0:><><5j8<6464=b0`><><5j8j6464=b0e><><5j8o6464=bf5><><5jn86464=bae><><5jii6464=bdb><><5jl=6464=bd1><><5jon6464=bgb><><5jo=6464=bg1><><5jnn6464=bfb><><5ji<6464=b55><><5j=86464=b4e><><5j<i6464=b;b><><5j3=6464=b;1><><5j2n6464=b:b><><5j2=6464=b:1><><5j=n6464=b5b><><5j<<6464=e2g>gd<5m:n6ol4=e2e>gd<5mo?6464=eg5><><5l?;6464=eab><><5l3i6464=4a0><><5<i96464=4a2><><5<i;6464=4`e><><5<hn6464=4`g><><5<hh6464=44;><><5<<<6464=445><><5<<>6464=447><><5<<86464=442><><5<<;6464=47e><><5<?n6464=47g><><5<?h6464=47a><><5<?j6464=47:><><5<?36464=475><><5<?>6464=477><><5<?86464=471><><5<?:6464=473><><5<>m6464=46f><><5<>o6464=46a><><5<>j6464=46:><><5<>36464=464><><5<>=6464=466><><5<>?6464=460><><5<>96464=463><><5<9m6464=41f><><5<9o6464=41`><><5<9i6464=41b><><5<926464=41;><><5<9<6464=416><><5<9?6464=410><><5<996464=412><><5<9;6464=40e><><5<8n6464=40g><><5<8h6464=40:><><5<836464=404><><5<8=6464=406><><5<8?6464=400><><5<896464=402><><5<8;6464=43f><><5<;o6464=43`><><5<;i6464=43b><><5<;26464=43;><><5<;<6464=435><><5<;>6464=430><><5<;96464=432><><5<;;6464=42e><><5<:n6464=42g><><5<:h6464=42a><><5<:j6464=42;><><5<:<6464=425><><5<:>6464=427><><5<:86464=421><><5<::6464=423><><5=lm6464=5dg><><5=lh6464=5da><><5=lj6464=5d:><><5=l36464=5d4><><5=l=6464=5d6><><5=l?6464=5d1><><5=l:6464=5d3><><5=om6464=5gf><><5=oo6464=5g`><><5=oi6464=5gb><><5=o26464=5g4><><5=o=6464=5g6><><5=o?6464=5g0><><5=o96464=5g2><><5=o;6464=5fe><><5=nn6464=5f`><><5=ni6464=5fb><><5=n26464=5f;><><5=n<6464=5f5><><5=n>6464=5f7><><5=n86464=5f2><><5=n;6464=5ae><><5=in6464=5ag><><5=ih6464=5aa><><5=ij6464=5a:><><5=i36464=5a5><><5=i>6464=5a7><><5=i86464=5a1><><5=i:6464=5a3><><5=hm6464=5`f><><5=ho6464=4`b><><5<h26464=4`;><><5<h<6464=4`5><><5<h>6464=4`7><><5<h86464=4`1><><5<h:6464=4ce><><5<kn6464=4cg><><5<kh6464=4ca><><5<kj6464=4c:><><5<k36464=4c4><><5<k=6464=4c7><><5<k86464=4c1><><5<k:6464=4c3><><5<3m6464=4;f><><5<3o6464=4;`><><5<3i6464=4;:><><5<336464=4;4><><5<3=6464=4;6><><5<3?6464=4;0><><5<396464=4;2><><5<3;6464=4:f><><5<2o6464=4:`><><5<2i6464=4:b><><5<226464=4:;><><5<2<6464=4:5><><5<2>6464=4:0><><5<296464=4:2><><5<2;6464=45e><><5<=n6464=45g><><5<=h6464=45a><><5<=j6464=45;><><5<=<6464=455><><5<=>6464=457><><5<=86464=451><><5<=:6464=453><><5<<m6464=44g><><5<<h6464=44a><><5<<j6464=44:><><5<<96464=474><><5<>h6464=462><><5<9=6464=40b><><5<;m6464=437><><5<:26464=5df><><5=l86464=5g;><><5=no6464=5f1><><5=i<6464=4`a><><5<h;6464=4c6><><5<3j6464=4:e><><5<2?6464=45:><><5<<n6464=40a><><5=hh6464=d:1><><5l286464=d:7><><5l2>6464=d:5><><5l2<6464=d:;><><5l226464=d5b><><5l=i6464=d5`><><5l=o6464=d5f><><5l=m6464=d:3><><5l2:6464=ef5><><5mn<6464=d25><><5m226464=e5g><><5m=h6464=e4b><><5m<26464=832>g2<50;86o<4=81b>g4<50>>6o<4=873>g4<50?i6o<4=845>g4<50=:6o<4=85`>g4<502<6o<4=8;1>g4<50;n6o<4=80:>g4<50996o<4=810>g4<509?6o<4=816>g4<509=6o<4=814>g4<50936o<4=81:>g4<509i6o<4=81`>g4<509o6o<4=81f>g4<509m6o<4=863>g4<50>:6o<4=861>g4<50>86o<4=867>g4<50>=6o<4=864>g4<50>36o<4=86:>g4<50>j6o<4=86a>g4<50>h6o<4=86g>g4<50>n6o<4=86e>g4<50?:6o<4=871>g4<50?86o<4=877>g4<50?>6o<4=875>g4<50?<6o<4=87;>g4<50?26o<4=87b>g4<50?h6o<4=87g>g4<50?n6o<4=87e>g4<50<;6o<4=842>g4<50<96o<4=840>g4<50<?6o<4=846>g4<50<<6o<4=84;>g4<50<26o<4=84b>g4<50<i6o<4=84`>g4<50<o6o<4=84f>g4<50<m6o<4=853>g4<50=96o<4=850>g4<50=?6o<4=856>g4<50==6o<4=854>g4<50=36o<4=85:>g4<50=j6o<4=85a>g4<50=o6o<4=85f>g4<50=m6o<4=8:3>g4<502:6o<4=8:1>g4<50286o<4=8:7>g4<502>6o<4=8:5>g4<50236o<4=8::>g4<502j6o<4=8:a>g4<502h6o<4=8:g>g4<502n6o<4=8:e>g4<503;6o<4=8;2>g4<50;?6o<4=836>g4<50;=6o<4=834>g4<50;36o<4=83:>g4<50;j6o<4=83a>g4<50;h6o<4=83g>g4<50;m6o<4=803>g4<508:6o<4=801>g4<50886o<4=807>g4<508>6o<4=805>g4<508<6o<4=80;>g4<508j6o<4=80a>g4<508h6o<4=80g>g4<508n6o<4=80e>g4<509;6o<4=812>g4<uznh6=4={_f`?8dek3><;6s|47594?23;r7:<k;52gc890e32=<01;o=:548924?2=<01:=j:54892332=<01:8n:54892>72=<01:79:54892gd2=<01:m=:54890bf2=<018h?:54893612=<01;?l:54893552=<01;:7:548933b2=<01;9;:54893>f2=<01;o?:54893d?2=<01;mj:54893c32=<01;hn:54892772=<01:?j:54892472=<01:<=:54892432=<01:<9:548924f2=<01:<l:548924b2=<01:=?:54892552=<01:=;:54892512=<01:=7:548925f2=<01:=l:54892272=<01::=:54892232=<01::9:548922?2=<01::n:548922d2=<01::j:54892372=<01:;=:54892312=<01:;7:548923f2=<01:;l:548923b2=<01:8?:54892052=<01:8;:54892012=<01:87:548920d2=<01:8j:54892172=<01:9=:54892132=<01:99:548921?2=<01:9n:548921d2=<01:9j:54892>52=<01:6;:54892>12=<01:67:54892>f2=<01:6l:54892>b2=<01:7?:54892?52=<01:7;:54892??2=<01:7n:54892?d2=<01:7j:54892g72=<01:o=:54892g32=<01:o9:54892g?2=<01:on:54892gb2=<01:l?:54892d52=<01:l;:54892d12=<01:l7:54892df2=<01:ll:54892db2=<01:m?:54890e12=<018m7:54890ef2=<018ml:54890eb2=<018j?:54890b52=<018j;:54890b12=<018j7:54890bd2=<018jj:54890c72=<018k=:54890c32=<018k9:54890c?2=<018kn:54890cd2=<018kj:54890`52=<018h;:54890`12=<018h7:54890`f2=<018hl:54890`b2=<01;>?:54893652=<01;>;:548936?2=<01;>n:548936d2=<01;>j:54893772=<01;?=:54893732=<01;?9:548937?2=<01;?n:548937b2=<01;<?:54893452=<01;<;:54893412=<01;<7:548934f2=<01;<l:548934b2=<01;=?:54893532=<01;=9:548935?2=<01;=n:548935d2=<01;=j:54893272=<01;:=:54893232=<01;:9:548932f2=<01;:l:548932b2=<01;;?:54893352=<01;;;:54893312=<01;;7:548933f2=<01;;l:54893072=<01;8=:54893032=<01;89:548930?2=<01;8n:548930d2=<01;8j:54893172=<01;9=:54893112=<01;97:548931f2=<01;9l:548931b2=<01;6?:54893>52=<01;6;:54893>12=<01;67:54893>d2=<01;6j:54893?72=<01;7=:54893?32=<01;79:54893??2=<01;7n:54893?d2=<01;7j:54893g32=<01;o9:54893g?2=<01;on:54893gd2=<01;oj:54893d72=<01;l=:54893d32=<01;l9:54893df2=<01;ll:54893db2=<01;m?:54893e52=<01;m;:54893e12=<01;m7:54893ef2=<01;ml:54893b72=<01;j=:54893b32=<01;j9:54893b?2=<01;jn:54893bd2=<01;jj:54893c72=<01;k=:54893c12=<01;k7:54893cf2=<01;kl:54893cb2=<01;h?:54893`52=<01;h;:54893`12=<01;h7:54893`d2=<01;hj:54892672=<01:>=:54892632=<01:>9:548926?2=<01:>n:548926d2=<01:>j:54892752=<01:?;:54892712=<01:?7:548927f2=<01:?l:5489`5b28o270k<a;3f=>;b;?0:i452e2095`?<5l8n6<k6;<g1e?7b127n>;4>e89>a74=9l301h?j:0g:?8b?i39:863k8`8053=:jj:185:4=bf1>4c>34ihi7?j9:?`gd<6m016ok751d;89f`228o270mi1;3f=>;dmm0:i452cd;95`?<5jo>6<k6;<af5?7b127hhi4>e89>ga?=9l301nm9:0g:?8e0:3;n563l6d82a<=:k?k1=h74=b;:>4c>34i297?j9:?`=4<6m016o5j51d;89f>>28o270m75;3f=>;d080:i452c6f95`?<5j=26<k6;<a52?7b127j:<4>e89>e0b=9l301l;6:0g:?8g?03;n563n8582a<=:i1:1=h74=`5`>4c>34k<47?j9:?b31<6m016m:>51d;89d0d28o270o98;3f=>;f=<0:i4528g595`?<51l86<k6;<:fb?7b1273io4>e89><`1=9l3015k<:0g:?8>cn3;n5637dc82a<=:l131i852903955be343:=7??d`9>=47=<0>014?>:5;6?8?693>2:6361087=2=:1891==jn;<;27?2fn272=>4;ad9>=45=99nn707>3;33`f=:1891==jm;<;0e?77lh165>o54`d89<5f2=kn707<a;33``=:1:k1==jl;<;0e?77lk1659;511fb?8?3=3>jj6364487e`=:1=?1==jj;<;71?77lj1659;511fa?8?283;;hl5294290d`<50?;69oj;<;64?77ll1658>511f`?8?283;;ho5294`955bf343>n7:nf:?:1g<3il1658l511ff?8?2j3;;hn5294`955be343=:7??d`9>=30=<hl01489:5cf?8?1>3;;hh52974955bd343=:7??dc9>=27=99nj70781;6bb>;>?80?mh52963955bb343<=7??db9>=27=99ni7078c;33`d=:1>i18lh4=85`>1gb343<o7??dd9>=2e=99nh7078c;33`g=:11=1==jn;<;;3?2fn2724:4;ad9>==1=99nn70777;33`f=:11=1==jm;<;:6?77lh1654<54`d89<?52=kn70762;33``=:1081==jl;<;:6?77lk165<k511fb?8?6m3>jj6361d87e`=:18o1==jj;<;2a?77lj165<k511fa?8?513;;hl5293;90d`<508269oj;<;1=?77ll165?7511f`?8?513;;ho52920955bf3438>7:nf:?:77<3il165><511ff?8?4:3;;hn52920955be3438?7??d`9>=65=<hl014=<:5cf?8?4;3;;hh52921955bd3438?7??dc9>=62=99nj707<4;6bb>;>;=0?mh52926955bb343887??db9>=62=99ni707<5;33`d=:1:?18lh4=816>1gb343897??dd9>=63=99nh707<5;33`g=:1:<1==jn;<;02?2fn272?;4;ad9>=60=99nn707<6;33`f=:1:<1==jm;<;03?77lh165>954`d89<502=kn707<7;33``=:1:=1==jl;<;03?77lk165>6511fb?8?403>jj6363987e`=:1:21==jj;<;0<?77lj165>6511fa?8?413;;hl5292;90d`<509269oj;<;0=?77ll165>7511f`?8?413;;ho5292`955bf3438n7:nf:?:7g<3il165>l511ff?8?4j3;;hn5292`955be3438o7??d`9>=6e=<hl014=l:5cf?8?4k3;;hh5292a955bd3438o7??dc9>=6b=99nj707<d;6bb>;>;m0?mh5292f955bb3438h7??db9>=6b=99ni707<e;33`d=:1:o18lh4=81f>1gb3438i7??dd9>=6c=99nh707<e;33`g=:1:l1==jn;<;0b?2fn272?k4;ad9>=6`=99nn707<f;33`f=:1:l1==jm;<;74?77lh1659>54`d89<272=kn707;0;33``=:1=:1==jl;<;74?77lk1659?511fb?8?393>jj6364087e`=:1=;1==jj;<;75?77lj1659?511fa?8?3:3;;hl5295090d`<50>969oj;<;76?77ll1659<511f`?8?3:3;;ho52951955bf343??7:nf:?:06<3il1659=511ff?8?3;3;;hn52951955be343?87??d`9>=12=<hl014:;:5cf?8?3<3;;hh52956955bd343?87??dc9>=10=99nj707;6;6bb>;><?0?mh52954955bb343?:7??db9>=10=99ni707;7;33`d=:1==18lh4=864>1gb343?;7??dd9>=11=99nh707;7;33`g=:1=21==jn;<;7<?2fn272854;ad9>=1>=99nn707;8;33`f=:1=21==jm;<;7=?77lh1659754`d89<2>2=kn707;9;33``=:1=31==jl;<;7=?77lk1659o511fb?8?3i3>jj6364`87e`=:1=k1==jj;<;7e?77lj1659o511fa?8?3j3;;hl5295`90d`<50>i69oj;<;7f?77ll1659l511f`?8?3j3;;ho5295a955bf343?o7:nf:?:0f<3il1659m511ff?8?3k3;;hn5295a955be343?h7??d`9>=1b=<hl014:k:5cf?8?3l3;;hh5295f955bd343?h7??dc9>=1c=99nj707;e;6bb>;><l0?mh5295g955bb343?i7??db9>=1c=99ni707;f;33`d=:1=l18lh4=86e>1gb343?j7??dd9>=1`=99nh707;f;33`g=:1<;1==jn;<;65?2fn2729<4;ad9>=07=99nn707:1;33`f=:1<;1==jm;<;66?77lh1658<54`d89<352=kn707:2;33``=:1<81==jl;<;66?77lk1658=511fb?8?2;3>jj6365287e`=:1<91==jj;<;67?77lj1658=511fa?8?2<3;;hl5294690d`<50??69oj;<;60?77ll1658:511f`?8?2<3;;ho52947955bf343>97:nf:?:10<3il1658;511ff?8?2=3;;hn52947955be343>:7??d`9>=00=<hl014;9:5cf?8?2>3;;hh52944955bd343>:7??dc9>=01=99nj707:7;6bb>;>=>0?mh52945955bb343>;7??db9>=01=99ni707:8;33`d=:1<218lh4=87;>1gb343>47??dd9>=0>=99nh707:8;33`g=:1<31==jn;<;6=?2fn272944;ad9>=0?=99nn707:9;33`f=:1<31==jm;<;6e?77lh1658o54`d89<3f2=kn707:a;33``=:1<k1==jl;<;6e?77lk1658m511fb?8?2k3>jj6365b87e`=:1<i1==jj;<;6g?77lj1658m511fa?8?2l3;;hl5294f90d`<50?o69oj;<;6`?77ll1658j511f`?8?2l3;;ho5294g955bf343>i7:nf:?:1`<3il1658k511ff?8?2m3;;hn5294g955be343>j7??d`9>=0`=<hl014;i:5cf?8?2n3;;hh5294d955bd343>j7??dc9>=36=99nj70790;6bb>;>>90?mh52972955bb343=<7??db9>=36=99ni70791;33`d=:1?;18lh4=842>1gb343==7??dd9>=37=99nh70791;33`g=:1?81==jn;<;56?2fn272:?4;ad9>=34=99nn70792;33`f=:1?81==jm;<;57?77lh165;=54`d89<042=kn70793;33``=:1?91==jl;<;57?77lk165;:511fb?8?1<3>jj6366587e`=:1?>1==jj;<;50?77lj165;:511fa?8?1=3;;hl5297790d`<50<>69oj;<;51?77ll165;;511f`?8?1=3;;ho52975955bf343=;7:nf:?:22<3il165;9511ff?8?1?3;;hn52975955be343=47??d`9>=3>=<hl01487:5cf?8?103;;hh5297:955bd343=47??dc9>=3?=99nj70799;6bb>;>>00?mh5297;955bb343=57??db9>=3?=99ni7079a;33`d=:1?k18lh4=84b>1gb343=m7??dd9>=3g=99nh7079a;33`g=:1?h1==jn;<;5f?2fn272:o4;ad9>=3d=99nn7079b;33`f=:1?h1==jm;<;5g?77lh165;m54`d89<0d2=kn7079c;33``=:1?i1==jl;<;5g?77lk165;j511fb?8?1l3>jj6366e87e`=:1?n1==jj;<;5`?77lj165;j511fa?8?1m3;;hl5297g90d`<50<n69oj;<;5a?77ll165;k511f`?8?1m3;;ho5297d955bf343=j7:nf:?:2c<3il165;h511ff?8?1n3;;hn5297d955be343<<7??d`9>=26=<hl0149?:5cf?8?083;;hh52962955bd343<<7??dc9>=24=99nj70782;6bb>;>?;0?mh52960955bb343<>7??db9>=24=99ni70783;33`d=:1>918lh4=850>1gb343<?7??dd9>=25=99nh70783;33`g=:1>>1==jn;<;40?2fn272;94;ad9>=22=99nn70784;33`f=:1>>1==jm;<;41?77lh165:;54`d89<122=kn70785;33``=:1>?1==jl;<;41?77lk165:8511fb?8?0>3>jj6367787e`=:1><1==jj;<;42?77lj165:8511fa?8?0?3;;hl5296590d`<50=<69oj;<;43?77ll165:9511f`?8?0?3;;ho5296:955bf343<47:nf:?:3=<3il165:6511ff?8?003;;hn5296:955be343<57??d`9>=2?=<hl01496:5cf?8?013;;hh5296;955bd343<57??dc9>=2g=99nj7078a;6bb>;>?h0?mh5296c955bb343<m7??db9>=2g=99ni7078b;33`d=:1>h18lh4=85a>1gb343<n7??dd9>=2d=99nh7078b;33`g=:1>n1==jn;<;4`?2fn272;i4;ad9>=2b=99nn7078d;33`f=:1>n1==jm;<;4a?77lh165:k54`d89<1b2=kn7078e;33``=:1>o1==jl;<;4a?77lk165:h511fb?8?0n3>jj6367g87e`=:1>l1==jj;<;4b?77lj165:h511fa?8??83;;hl5299290d`<502;69oj;<;;4?77ll1655>511f`?8??83;;ho52993955bf3433=7:nf:?:<4<3il1655?511ff?8??93;;hn52993955be3433>7??d`9>==4=<hl0146=:5cf?8??:3;;hh52990955bd3433>7??dc9>==5=99nj70773;6bb>;>0:0?mh52991955bb3433?7??db9>==5=99ni70774;33`d=:11>18lh4=8:7>1gb343387??dd9>==2=99nh70774;33`g=:11?1==jn;<;;1?2fn272484;ad9>==3=99nn70775;33`f=:11?1==jm;<;;2?77lh1655854`d89<>12=kn70776;33``=:11<1==jl;<;;2?77lk16556511fb?8??03>jj6368987e`=:1121==jj;<;;<?77lj16556511fa?8??13;;hl5299;90d`<502269oj;<;;=?77ll16557511f`?8??13;;ho5299c955bf3433m7:nf:?:<d<3il1655o511ff?8??i3;;hn5299c955be3433n7??d`9>==d=<hl0146m:5cf?8??j3;;hh5299`955bd3433n7??dc9>==e=99nj7077c;6bb>;>0j0?mh5299a955bb3433o7??db9>==e=99ni7077d;33`d=:11n18lh4=8:g>1gb3433h7??dd9>==b=99nh7077d;33`g=:11o1==jn;<;;a?2fn2724h4;ad9>==c=99nn7077e;33`f=:11o1==jm;<;;b?77lh1655h54`d89<>a2=kn7077f;33``=:11l1==jl;<;;b?77lk1654>511fb?8?>83>jj6369187e`=:10:1==jj;<;:4?77lj1654>511fa?8?>93;;hl5298390d`<503:69oj;<;:5?77ll1654?511f`?8?>93;;ho52906955bf343:87:nf:?:51<3il165<:511ff?8?6<3;;hn52906955be343:97??d`9>=43=<hl014?::5cf?8?6=3;;hh52907955bd343:97??dc9>=40=99nj707>6;6bb>;>9?0?mh52904955bb343::7??db9>=40=99ni707>7;33`d=:18=18lh4=834>1gb343:;7??dd9>=41=99nh707>7;33`g=:1821==jn;<;2<?2fn272=54;ad9>=4>=99nn707>8;33`f=:1821==jm;<;2=?77lh165<754`d89<7>2=kn707>9;33``=:1831==jl;<;2=?77lk165<o511fb?8?6i3>jj6361`87e`=:18k1==jj;<;2e?77lj165<o511fa?8?6j3;;hl5290`90d`<50;i69oj;<;2f?77ll165<l511f`?8?6j3;;ho5290a955bf343:o7:nf:?:5f<3il165<m511ff?8?6k3;;hn5290a955be343:h7??d`9>=4b=<hl014?k:5cf?8?6l3;;hh5290f955bd343:h7??dc9>=4`=99nj707>f;6bb>;>9o0?mh5290d955bb343:j7??db9>=4`=99ni707=0;33`d=:1;:18lh4=803>1gb3439<7??dd9>=76=99nh707=0;33`g=:1;;1==jn;<;15?2fn272><4;ad9>=77=99nn707=1;33`f=:1;;1==jm;<;16?77lh165?<54`d89<452=kn707=2;33``=:1;81==jl;<;16?77lk165?=511fb?8?5;3>jj6362287e`=:1;91==jj;<;17?77lj165?=511fa?8?5<3;;hl5293690d`<508?69oj;<;10?77ll165?:511f`?8?5<3;;ho52937955bf343997:nf:?:60<3il165?;511ff?8?5=3;;hn52937955be3439:7??d`9>=70=<hl014<9:5cf?8?5>3;;hh52934955bd3439:7??dc9>=71=99nj707=7;6bb>;>:>0?mh52935955bb3439;7??db9>=71=99ni707=8;33`d=:1;218lh4=80;>1gb343947??dd9>=7>=99nh707=8;33`g=:1;k1==jn;<;1e?2fn272>l4;ad9>=7g=99nn707=a;33`f=:1;k1==jm;<;1f?77lh165?l54`d89<4e2=kn707=b;33``=:1;h1==jl;<;1f?77lk165?m511fb?8?5k3>jj6362b87e`=:1;i1==jj;<;1g?77lj165?m511fa?8?5l3;;hl5293f90d`<508o69oj;<;1`?77ll165?j511f`?8?5l3;;ho5293g955bf3439i7:nf:?:6`<3il165?k511ff?8?5m3;;hn5293g955be3439j7??d`9>=7`=<hl014<i:5cf?8?5n3;;hh5293d955bd3439j7??dc9>=66=99nj707<0;6bb>;>;90?mh52922955bb3438<7??db9>=66=99ni707<1;33`d=:1:;18lh4=812>1gb3438=7??dd9>=67=99nh707<1;33`g=z{0h:6=4:{<;a6?20?27j<>4<189>=`g=;8301l<=:23:?8g4<39:56s|9`394?46s43j>7:87:?b46<49=16m?h530689<b12:;?707kc;122>;>m;08=9529d49742<50oj6>?;;<;fa?56>272j>4<159>=c?=;8<014hk:237?8g7:39::63n068051=:i9h1?<84=`33>67334k:87=>6:?b5d<49=16m<k530689d452:;?70o=6;122>;f:k08=952a229742<5h9?6>?;;<c03?56>272n54<159>=ge=;8<014m>:237?8?d=39::636c88051=:1jo1?<84=8f1>673343o;7=>6:p=d`=83?p14l?:554?8?a;39:563n15805==:i8o1?<74=`13>67>3ty25k4?:4y>=d6=<>=014jn:234?8?bk39:;63n228052=:i:?1?<94}r;b`?6==r72mh4;769>=c?=;8201l>8:23:?8g6i39:563n2c805<=z{03o6=4:{<;:a?20?272hl4<149>=`e=;8?01l<<:236?8g4=39:96s|9``94?3|50kh6998;<;fb?560272ji4<189>=g>=;83014j8:23;?xu>1k0;68u298a9021<50nj6>?6;<;fg?56127j>>4<189>e63=;830q~7n9;291~;>ih0?;:52a10974><5h:i6>?7;<;ag?560272o44<189~w<?>290>w076a;643>;>lk08=8529df9743<5h8>6>?:;<c02?56=2wx5l950;7x9<g?2==<707k6;12=>;f9908=4529b3974?<50in6>?7;|q:=2<72<q6546546589<be2:;<707jd;123>;f:<08=:52a249741<uz3j97>56z?:e3<3?>165o:530789d4a2:;2707j6;12=>;f:>08=552a2:974><uz3297>55z?:=3<3?>165il530;89<cc2:;270o=5;12=>;f;?08=45rs8c0>5<2s43j87:87:?:`a<491165h<530;89<e22:;3707k2;12=>{t1091<78t=8;7>110343i87=>4:?:`f<491165hk530:89d412:;370o<7;12<>{t0j=1<7ot=824>110342mn7:74:?;b=<30=164k:549689=`72=2?706jc;6;0>;?m10?49528d690=2<51o;696;;<:gg?2?<2wx4n650;0x9=e32:;?706l5;643>{t0j31<7<t=9a7>672342h:7:87:pf0?=838p14l;:23;?8?6938<j6s|b4:94?4|50ni6>?7;<;05?4112wxn8950;0x9<bf2:;?707<1;06a>{tj<<1<7<t=8fa>6733438<7<99:pf03=838p14jl:236?8?4838>i6s|b4694?4|50ni6>?9;<;1b?4112wxn8=50;0x9<bf2:;=707=f;06a>{tj<;1<7<t=8fb>67?3439i7<99:pf06=838p14jl:234?8?5m38>i6s|b5d94?4|50l36>?8;<;1`?4112wxn9k50;0x9<`02:;>707=d;06a>{tj=n1<7<t=8d;>67?3439o7<99:pf1e=838p14h6:234?8?5k38>i6s|b5`94?4|50l36>?9;<;1f?4112wxn9o50;0x9<`02:;<707=b;06a>{tj=31<7<t=8d;>67>3439m7<99:pf1>=838p14h8:23;?8?5i38>i6s|b5494?4|5h::6>?8;<;1<?4112wxn9;50;0x9d672:;>707=8;06a>{tj=>1<7<t=`22>67?3439;7<99:pf15=838p1l>=:234?8?5?38>i6s|b5094?4|5h::6>?9;<;12?4112wxn9?50;0x9d672:;<707=6;06a>{tj=:1<7<t=`22>67>343997<99:pf6`=838p1l>?:23;?8?5=38>i6s|b2g94?4|50o;6>?7;<;10?4112wxn>j50;0x9<ce2:;2707=4;06a>{tj:h1<7<t=8d6>6713439?7<99:pf6g=838p1l><:23;?8?5;38>i6s|b2;94?4|50o;6>?8;<;16?4112wxn>650;0x9<ce2:;=707=2;06a>{tj:=1<7<t=8g3>67>3439=7<99:pf60=838p14km:23;?8?5938>i6s|b2794?4|50h>6>?7;<;14?4112wxn>:50;0x9<e72:;2707=0;06a>{tj:91<7<t=8aa>671343:j7<99:pf64=838p14j9:23;?8?6n38>i6s|b2294?4|50h>6>?8;<;2`?4112wxn?h50;0x9<e72:;=707>d;06a>{tj;o1<7<t=8`6>67>343:o7<99:pf7b=838p14m?:23;?8?6k38>i6s|b3a94?4|50l;6>?7;<;2f?4112wxn?l50;0x9<`62:;2707>b;06a>{tj;k1<7<t=8d1>671343:m7<99:pf7?=838p14h<:23;?8?6i38>i6s|b3:94?4|50l;6>?8;<;2=?4112wxn?950;0x9<`62:;=707>9;06a>{tj;?1<7<t=8d3>67>343:47<99:pf72=838p14h>:23;?8?6038>i6s|b3194?4|50lj6>?7;<;23?4112wxn?<50;0x9<`e2:;2707>7;06a>{tj;;1<7<t=8d`>671343::7<99:pf76=838p14hk:23;?8?6>38>i6s|b0d94?4|50lj6>?8;<;21?4112wxn<k50;0x9<`e2:;=707>5;06a>{tj8n1<7<t=8db>67>343:87<99:pf4e=838p14hm:23;?8?6<38>i6s|b0;94?4|5h:n6>?7;<;:5?4112wxn<650;0x9d7>2:;270761;06a>{tj8=1<7<t=`07>6713432<7<99:pf40=838p1l<i:23;?8?>838>i6s|b0794?4|5h:n6>?8;<;;b?4112wxn<:50;0x9d7>2:;=7077f;06a>{tj891<7<t=`2f>67>3433i7<99:pf44=838p1l?6:23;?8??m38>i6s|b0394?4|50i96>?7;<;;`?4112wxn<>50;0x9<e42:;27077d;06a>{tj9o1<7<t=8a7>6703433o7<99:pf5b=838p14m::234?8??k38>i6s|b1a94?4|50i96>?8;<;;f?4112wxn=l50;0x9<e42:;=7077b;06a>{tj9k1<7<t=8a1>67>3433m7<99:pf5?=838p14m<:23;?8??i38>i6s|b1:94?4|5h;=6>?7;<;;=?4112wxn=950;0x9d702:;270779;06a>{tj9<1<7<t=`3;>671343347<99:pf53=838p1l?n:23;?8??038>i6s|b1194?4|5h;=6>?8;<;;2?4112wxn=<50;0x9d702:;=70776;06a>{tj9;1<7<t=`35>67>343397<99:pf56=838p1l?8:23;?8??=38>i6s|agd94?4|50h26>?7;<;;0?4112wxmkk50;0x9<df2:;270774;06a>{tion1<7<t=8`a>6703433?7<99:pece=838p14ll:234?8??;38>i6s|ag`94?4|50h26>?8;<;;6?4112wxmko50;0x9<df2:;=70772;06a>{tio21<7<t=8`:>67>3433=7<99:pec1=838p14ln:23;?8??938>i6s|ag494?4|5h;m6>?7;<;;4?4112wxmk;50;0x9d472:;270770;06a>{tio>1<7<t=`02>671343<j7<99:pec5=838p1l<=:23;?8?0n38>i6s|ag094?4|5h;m6>?8;<;4a?4112wxmk?50;0x9d472:;=7078e;06a>{tio:1<7<t=`3e>67>343<h7<99:pe``=838p1l<?:23;?8?0l38>i6s|adf94?4|50ho6>?7;<;4f?4112wxmhm50;0x9<db2:;27078b;06a>{tilh1<7<t=8`e>671343<m7<99:pe`g=838p14m>:23;?8?0i38>i6s|ad;94?4|50ho6>?8;<;4=?4112wxmh650;0x9<db2:;=70789;06a>{til=1<7<t=8`g>67>343<47<99:pe`0=838p14lj:23;?8?0038>i6s|ad794?4|5h;i6>?7;<;43?4112wxmh:50;0x9d7d2:;270787;06a>{til81<7<t=`3g>671343<:7<99:pe`7=838p1l?j:23;?8?0>38>i6s|ad294?4|5h;i6>?8;<;41?4112wxmih50;0x9d7d2:;=70785;06a>{timo1<7<t=`3a>67>343<87<99:peab=838p1l?l:23;?8?0<38>i6s|aea94?4|5h926>?7;<;47?4112wxmil50;0x9<d12:;270783;06a>{timk1<7<t=8`4>671343<>7<99:pea?=838p14l7:23;?8?0:38>i6s|ae594?4|5h926>?8;<;44?4112wxmi850;0x9<d12:;=70780;06a>{tim?1<7<t=`1:>67>343=j7<99:pea2=838p14l9:23;?8?1n38>i6s|ae194?4|5h8>6>?7;<;5a?4112wxmi<50;0x9d442:;?7079e;06a>{tim;1<7<t=`06>673343=h7<99:pea6=838p1l<9:236?8?1l38>i6s|abd94?4|5h8>6>?9;<;5g?4112wxmnk50;0x9d442:;=7079c;06a>{tiji1<7<t=`00>67?343=n7<99:pefd=838p1l<9:234?8?1j38>i6s|abc94?4|50nn6>?7;<;5e?4112wxmn750;0x9<ba2:;27079a;06a>{tij21<7<t=8g2>671343=57<99:pef1=838p14k=:23;?8?1138>i6s|ab494?4|50nn6>?8;<;5<?4112wxmn;50;0x9<ba2:;=70798;06a>{tij>1<7<t=8ff>67>343=;7<99:pef5=838p14ji:23;?8?1?38>i6s|ab394?4|5h:?6>?7;<;51?4112wxmn>50;0x9d622:;270795;06a>{tikl1<7<t=`25>671343=87<99:pegc=838p1l>8:23;?8?1<38>i6s|acf94?4|5h:?6>?8;<;57?4112wxmom50;0x9d622:;=70793;06a>{tikh1<7<t=`27>67>343=>7<99:pegg=838p1l>::23;?8?1:38>i6s|ac;94?4|5h:36>?7;<;55?4112wxmo650;0x9d6>2:;270791;06a>{tik<1<7<t=`2b>670343=<7<99:peg3=838p1l>m:234?8?1838>i6s|ac694?4|5h:36>?8;<;6b?4112wxmo=50;0x9d6>2:;=707:f;06a>{tik81<7<t=`2;>67>343>i7<99:peg7=838p1l>6:23;?8?2m38>i6s|ac294?4|50o<6>?7;<;6`?4112wxmlh50;0x9<c?2:;2707:d;06a>{tiho1<7<t=8g:>671343>o7<99:pedb=838p14kn:23;?8?2k38>i6s|b``94?4|50o<6>?8;<;6e?4112wxnlo50;0x9<c?2:;=707:a;06a>{tjh31<7<t=8g4>67>343>57<99:pfd>=838p14k7:23;?8?2138>i6s|b`594?4|5h:h6>?7;<;6<?4112wxnl850;0x9d6c2:;2707:8;06a>{tjh?1<7<t=`2e>671343>;7<99:pfd2=838p1l??:23;?8?2?38>i6s|b`194?4|5h:h6>?8;<;62?4112wxnl<50;0x9d6c2:;=707:6;06a>{tjh:1<7<t=`2`>67>343>97<99:pf<`=838p1l>k:23;?8?2=38>i6s|b8g94?4|5h;:6>?7;<;60?4112wxn4j50;0x9d752:;2707:4;06a>{tj0i1<7<t=`30>670343>?7<99:pf<d=838p1l?;:234?8?2;38>i6s|b8c94?4|5h;:6>?8;<;66?4112wxn4750;0x9d752:;=707:2;06a>{tj021<7<t=`32>67>343>=7<99:pf<1=838p1l?=:23;?8?2938>i6s|b8794?4|50oo6>?7;<;7b?4112wxn4:50;0x9<cd2:;?707;f;06a>{tj091<7<t=8gg>673343?i7<99:pf<4=838p14kj:236?8?3m38>i6s|b8394?4|50oo6>?9;<;7`?4112wxn4>50;0x9<cd2:;=707;d;06a>{tj1l1<7<t=8g`>67?343?o7<99:pf=c=838p14kj:234?8?3k38>i6s|b9f94?4|50o86>?7;<;7f?4112wxn5m50;0x9<c32:;2707;b;06a>{tj1k1<7<t=8g6>671343?m7<99:pf=?=838p14k9:23;?8?3i38>i6s|b9:94?4|50o86>?8;<;7=?4112wxn5950;0x9<c32:;=707;9;06a>{tj1<1<7<t=8g0>67>343?47<99:pf=3=838p14k;:23;?8?3038>i6s|b9694?4|50im6>?7;<;73?4112wxn5=50;0x9<b72:;2707;7;06a>{tj181<7<t=8f2>671343?:7<99:pf=7=838p14j=:23;?8?3>38>i6s|b6d94?4|50im6>?8;<;70?4112wxn:k50;0x9<b72:;=707;4;06a>{tj>n1<7<t=8ae>67>343??7<99:pf2e=838p14j?:23;?8?3;38>i6s|b6`94?4|5h836>?7;<;76?4112wxn:o50;0x9d4>2:;2707;2;06a>{tj>31<7<t=`0b>671343?=7<99:pf2>=838p1l<m:23;?8?3938>i6s|b6594?4|5h836>?8;<;74?4112wxn:850;0x9d4>2:;=707;0;06a>{tj>>1<7<t=`0;>67>3438j7<99:pf25=838p1l<6:23;?8?4n38>i6s|b6094?4|50i=6>?7;<;0a?4112wxn:?50;0x9<e02:;2707<e;06a>{tj>:1<7<t=8a;>6713438h7<99:pf3`=838p14m6:23;?8?4l38>i6s|b7g94?4|50i=6>?8;<;0g?4112wxn;j50;0x9<e02:;=707<c;06a>{tj?i1<7<t=8a5>67>3438n7<99:pf3d=838p14m8:23;?8?4j38>i6s|b7;94?4|5h9:6>?7;<;0=?4112wxn;650;0x9d552:;2707<9;06a>{tj?=1<7<t=`10>671343847<99:pf30=838p1l=;:23;?8?4038>i6s|b7794?4|5h9:6>?8;<;03?4112wxn;:50;0x9d552:;=707<7;06a>{tj?91<7<t=`12>67>3438:7<99:pf34=838p1l==:23;?8?4>38>i6s|b7394?4|50ij6>?7;<;01?4112wxn;>50;0x9<ed2:;2707<5;06a>{tj<o1<7<t=8ag>670343887<99:pf0b=838p14mj:234?8?4<38>i6s|b4a94?4|50ij6>?8;<;07?4112wxn8l50;0x9<ed2:;=707<3;06a>{tj<k1<7<t=8ab>67>3438>7<99:pf04=838p14ml:23;?8?4:38>i6s|b5594?4|5h8h6>?7;<;1=?4112wxn>m50;0x9d4c2:;2707=9;06a>{tj:;1<7<t=`0f>671343:i7<99:pf70=838p1l=?:23;?8?6m38>i6s|b0c94?4|5h8h6>?8;<;:6?4112wxn=h50;0x9d4c2:;=70762;06a>{tj9>1<7<t=`0`>67>3433;7<99:pec?=838p1l<k:23;?8???38>i6s|adg94?4|50n86>?7;<;4g?4112wxmh=50;0x9<b32:;27078c;06a>{tim21<7<t=8f6>670343<=7<99:pefb=838p14j8:234?8?0938>i6s|ab094?4|50n86>?8;<;52?4112wxmo950;0x9<b32:;=70796;06a>{tjhi1<7<t=8f0>67>343>n7<99:pfd7=838p14j;:23;?8?2j38>i6s|b8494?4|5h9=6>?7;<;64?4112wxn5l50;0x9d522:;?707:0;06a>{tj1:1<7<t=`15>673343?97<99:pf23=838p1l=8:236?8?3=38>i6s|b7c94?4|5h9=6>?9;<;0e?4112wxn8h50;0x9d522:;=707<a;06a>{tj8h1<7<t=`16>67?343:?7<99:pede=838p1l=8:234?8?6;38>i6s|bc394?>4s4k=97:71:?b23<49=165<?5649>=45===165>o5559>=13===1658>5559>=0d===165;85559>=27===165:m5559>==1===1654<5559>=4c===165?75559>=64===165>=5559>=62===165>;5559>=60===165>95559>=6>===165>75559>=6d===165>m5559>=6b===165>k5559>=6`===1659>5559>=17===1659<5559>=15===1659:5559>=10===165995559>=1>===165975559>=1g===1659l5559>=1e===1659j5559>=1c===1659h5559>=07===1658<5559>=05===1658:5559>=03===165885559>=01===165865559>=0?===1658o5559>=0e===1658j5559>=0c===1658h5559>=36===165;?5559>=34===165;=5559>=32===165;;5559>=31===165;65559>=3?===165;o5559>=3d===165;m5559>=3b===165;k5559>=3`===165:>5559>=24===165:=5559>=22===165:;5559>=20===165:95559>=2>===165:75559>=2g===165:l5559>=2b===165:k5559>=2`===1655>5559>==7===1655<5559>==5===1655:5559>==3===165585559>==>===165575559>==g===1655l5559>==e===1655j5559>==c===1655h5559>=<6===1654?5559>=42===165<;5559>=40===165<95559>=4>===165<75559>=4g===165<l5559>=4e===165<j5559>=4`===165?>5559>=77===165?<5559>=75===165?:5559>=73===165?85559>=71===165?65559>=7g===165?l5559>=7e===165?j5559>=7c===165?h5559>=66===165>?5559~wgd72903?v3n6387<4=:i?91?<:4=832>35<50;868=4=81b>05<50>>68=4=873>05<50?i68=4=845>05<50=:68=4=85`>05<502<68=4=8;1>05<50;n68=4=80:>05<509968=4=810>05<509?68=4=816>05<509=68=4=814>05<509368=4=81:>05<509i68=4=81`>05<509o68=4=81f>05<509m68=4=863>05<50>:68=4=861>05<50>868=4=867>05<50>=68=4=864>05<50>368=4=86:>05<50>j68=4=86a>05<50>h68=4=86g>05<50>n68=4=86e>05<50?:68=4=871>05<50?868=4=877>05<50?>68=4=875>05<50?<68=4=87;>05<50?268=4=87b>05<50?h68=4=87g>05<50?n68=4=87e>05<50<;68=4=842>05<50<968=4=840>05<50<?68=4=846>05<50<<68=4=84;>05<50<268=4=84b>05<50<i68=4=84`>05<50<o68=4=84f>05<50<m68=4=853>05<50=968=4=850>05<50=?68=4=856>05<50==68=4=854>05<50=368=4=85:>05<50=j68=4=85a>05<50=o68=4=85f>05<50=m68=4=8:3>05<502:68=4=8:1>05<502868=4=8:7>05<502>68=4=8:5>05<502368=4=8::>05<502j68=4=8:a>05<502h68=4=8:g>05<502n68=4=8:e>05<503;68=4=8;2>05<50;?68=4=836>05<50;=68=4=834>05<50;368=4=83:>05<50;j68=4=83a>05<50;h68=4=83g>05<50;m68=4=803>05<508:68=4=801>05<508868=4=807>05<508>68=4=805>05<508<68=4=80;>05<508j68=4=80a>05<508h68=4=80g>05<508n68=4=80e>05<509;68=4=812>05<uzhjj7>582y>e0c=<1;01l;i:237?8?693<9707>3;71?8?4i3?9707;5;71?8?283?9707:b;71?8?1>3?970781;71?8?0k3?970777;71?8?>:3?9707>e;71?8?513?9707<2;71?8?4;3?9707<4;71?8?4=3?9707<6;71?8?4?3?9707<8;71?8?413?9707<b;71?8?4k3?9707<d;71?8?4m3?9707<f;71?8?383?9707;1;71?8?3:3?9707;3;71?8?3<3?9707;6;71?8?3?3?9707;8;71?8?313?9707;a;71?8?3j3?9707;c;71?8?3l3?9707;e;71?8?3n3?9707:1;71?8?2:3?9707:3;71?8?2<3?9707:5;71?8?2>3?9707:7;71?8?203?9707:9;71?8?2i3?9707:c;71?8?2l3?9707:e;71?8?2n3?970790;71?8?193?970792;71?8?1;3?970794;71?8?1=3?970797;71?8?103?970799;71?8?1i3?97079b;71?8?1k3?97079d;71?8?1m3?97079f;71?8?083?970782;71?8?0;3?970784;71?8?0=3?970786;71?8?0?3?970788;71?8?013?97078a;71?8?0j3?97078d;71?8?0m3?97078f;71?8??83?970771;71?8??:3?970773;71?8??<3?970775;71?8??>3?970778;71?8??13?97077a;71?8??j3?97077c;71?8??l3?97077e;71?8??n3?970760;71?8?>93?9707>4;71?8?6=3?9707>6;71?8?6?3?9707>8;71?8?613?9707>a;71?8?6j3?9707>c;71?8?6l3?9707>f;71?8?583?9707=1;71?8?5:3?9707=3;71?8?5<3?9707=5;71?8?5>3?9707=7;71?8?503?9707=a;71?8?5j3?9707=c;71?8?5l3?9707=e;71?8?5n3?9707<0;71?8?493?97p}mad83>=5|5h?j696>;<c6f?56<272=<491:?:56<29272?l4:1:?:00<292729=4:1:?:1g<29272:;4:1:?:34<29272;n4:1:?:<2<292725?4:1:?:5`<29272>44:1:?:77<29272?>4:1:?:71<29272?84:1:?:73<29272?:4:1:?:7=<29272?44:1:?:7g<29272?n4:1:?:7a<29272?h4:1:?:7c<292728=4:1:?:04<292728?4:1:?:06<29272894:1:?:03<292728:4:1:?:0=<29272844:1:?:0d<292728o4:1:?:0f<292728i4:1:?:0`<292728k4:1:?:14<292729?4:1:?:16<29272994:1:?:10<292729;4:1:?:12<29272954:1:?:1<<292729l4:1:?:1f<292729i4:1:?:1`<292729k4:1:?:25<29272:<4:1:?:27<29272:>4:1:?:21<29272:84:1:?:22<29272:54:1:?:2<<29272:l4:1:?:2g<29272:n4:1:?:2a<29272:h4:1:?:2c<29272;=4:1:?:37<29272;>4:1:?:31<29272;84:1:?:33<29272;:4:1:?:3=<29272;44:1:?:3d<29272;o4:1:?:3a<29272;h4:1:?:3c<292724=4:1:?:<4<292724?4:1:?:<6<29272494:1:?:<0<292724;4:1:?:<=<29272444:1:?:<d<292724o4:1:?:<f<292724i4:1:?:<`<292724k4:1:?:=5<292725<4:1:?:51<29272=84:1:?:53<29272=:4:1:?:5=<29272=44:1:?:5d<29272=o4:1:?:5f<29272=i4:1:?:5c<29272>=4:1:?:64<29272>?4:1:?:66<29272>94:1:?:60<29272>;4:1:?:62<29272>54:1:?:6d<29272>o4:1:?:6f<29272>i4:1:?:6`<29272>k4:1:?:75<29272?<4:1:pfgg=8328w0o79;6;5>;f0h08=95290392`=:18919=5292c915=:1=?19=52942915=:1<h19=52974915=:1>;19=5296a915=:11=19=52980915=:18o19=5293;915=:1:819=52921915=:1:>19=52927915=:1:<19=52925915=:1:219=5292;915=:1:h19=5292a915=:1:n19=5292g915=:1:l19=52952915=:1=;19=52950915=:1=919=52956915=:1=<19=52955915=:1=219=5295;915=:1=k19=5295`915=:1=i19=5295f915=:1=o19=5295d915=:1<;19=52940915=:1<919=52946915=:1<?19=52944915=:1<=19=5294:915=:1<319=5294c915=:1<i19=5294f915=:1<o19=5294d915=:1?:19=52973915=:1?819=52971915=:1?>19=52977915=:1?=19=5297:915=:1?319=5297c915=:1?h19=5297a915=:1?n19=5297g915=:1?l19=52962915=:1>819=52961915=:1>>19=52967915=:1><19=52965915=:1>219=5296;915=:1>k19=5296`915=:1>n19=5296g915=:1>l19=52992915=:11;19=52990915=:11919=52996915=:11?19=52994915=:11219=5299;915=:11k19=5299`915=:11i19=5299f915=:11o19=5299d915=:10:19=52983915=:18>19=52907915=:18<19=52905915=:18219=5290;915=:18k19=5290`915=:18i19=5290f915=:18l19=52932915=:1;;19=52930915=:1;919=52936915=:1;?19=52934915=:1;=19=5293:915=:1;k19=5293`915=:1;i19=5293f915=:1;o19=5293d915=:1::19=52923915=z{kh26=473z?b<0<30816m58530689<762?n014?<:4d89<5f2<l014:::4d89<372<l014;m:4d89<012<l0149>:4d89<1d2<l01468:4d89<?52<l014?j:4d89<4>2<l014==:4d89<542<l014=;:4d89<522<l014=9:4d89<502<l014=7:4d89<5>2<l014=m:4d89<5d2<l014=k:4d89<5b2<l014=i:4d89<272<l014:>:4d89<252<l014:<:4d89<232<l014:9:4d89<202<l014:7:4d89<2>2<l014:n:4d89<2e2<l014:l:4d89<2c2<l014:j:4d89<2a2<l014;>:4d89<352<l014;<:4d89<332<l014;::4d89<312<l014;8:4d89<3?2<l014;6:4d89<3f2<l014;l:4d89<3c2<l014;j:4d89<3a2<l0148?:4d89<062<l0148=:4d89<042<l0148;:4d89<022<l01488:4d89<0?2<l01486:4d89<0f2<l0148m:4d89<0d2<l0148k:4d89<0b2<l0148i:4d89<172<l0149=:4d89<142<l0149;:4d89<122<l01499:4d89<102<l01497:4d89<1>2<l0149n:4d89<1e2<l0149k:4d89<1b2<l0149i:4d89<>72<l0146>:4d89<>52<l0146<:4d89<>32<l0146::4d89<>12<l01467:4d89<>>2<l0146n:4d89<>e2<l0146l:4d89<>c2<l0146j:4d89<>a2<l0147?:4d89<?62<l014?;:4d89<722<l014?9:4d89<702<l014?7:4d89<7>2<l014?n:4d89<7e2<l014?l:4d89<7c2<l014?i:4d89<472<l014<>:4d89<452<l014<<:4d89<432<l014<::4d89<412<l014<8:4d89<4?2<l014<n:4d89<4e2<l014<l:4d89<4c2<l014<j:4d89<4a2<l014=?:4d89<562<l0q~lm8;29<6}:i1;185?4=`:1>673343:=78l;<;27?3b3438m7;j;<;71?3b343><7;j;<;6f?3b343=:7;j;<;45?3b343<o7;j;<;;3?3b3432>7;j;<;2a?3b343957;j;<;06?3b3438?7;j;<;00?3b343897;j;<;02?3b3438;7;j;<;0<?3b343857;j;<;0f?3b3438o7;j;<;0`?3b3438i7;j;<;0b?3b343?<7;j;<;75?3b343?>7;j;<;77?3b343?87;j;<;72?3b343?;7;j;<;7<?3b343?57;j;<;7e?3b343?n7;j;<;7g?3b343?h7;j;<;7a?3b343?j7;j;<;65?3b343>>7;j;<;67?3b343>87;j;<;61?3b343>:7;j;<;63?3b343>47;j;<;6=?3b343>m7;j;<;6g?3b343>h7;j;<;6a?3b343>j7;j;<;54?3b343==7;j;<;56?3b343=?7;j;<;50?3b343=97;j;<;53?3b343=47;j;<;5=?3b343=m7;j;<;5f?3b343=o7;j;<;5`?3b343=i7;j;<;5b?3b343<<7;j;<;46?3b343<?7;j;<;40?3b343<97;j;<;42?3b343<;7;j;<;4<?3b343<57;j;<;4e?3b343<n7;j;<;4`?3b343<i7;j;<;4b?3b3433<7;j;<;;5?3b3433>7;j;<;;7?3b343387;j;<;;1?3b3433:7;j;<;;<?3b343357;j;<;;e?3b3433n7;j;<;;g?3b3433h7;j;<;;a?3b3433j7;j;<;:4?3b3432=7;j;<;20?3b343:97;j;<;22?3b343:;7;j;<;2<?3b343:57;j;<;2e?3b343:n7;j;<;2g?3b343:h7;j;<;2b?3b3439<7;j;<;15?3b3439>7;j;<;17?3b343987;j;<;11?3b3439:7;j;<;13?3b343947;j;<;1e?3b3439n7;j;<;1g?3b3439h7;j;<;1a?3b3439j7;j;<;04?3b3438=7;j;|qaf2<7219p1l9k:5:2?8g0m39:86361085f>;>9:0>h6363`86`>;><<0>h6365186`>;>=k0>h6366786`>;>?80>h6367b86`>;>0>0>h6369386`>;>9l0>h6362886`>;>;;0>h6363286`>;>;=0>h6363486`>;>;?0>h6363686`>;>;10>h6363886`>;>;k0>h6363b86`>;>;m0>h6363d86`>;>;o0>h6364186`>;><80>h6364386`>;><:0>h6364586`>;><?0>h6364686`>;><10>h6364886`>;><h0>h6364c86`>;><j0>h6364e86`>;><l0>h6364g86`>;>=80>h6365386`>;>=:0>h6365586`>;>=<0>h6365786`>;>=>0>h6365986`>;>=00>h6365`86`>;>=j0>h6365e86`>;>=l0>h6365g86`>;>>90>h6366086`>;>>;0>h6366286`>;>>=0>h6366486`>;>>>0>h6366986`>;>>00>h6366`86`>;>>k0>h6366b86`>;>>m0>h6366d86`>;>>o0>h6367186`>;>?;0>h6367286`>;>?=0>h6367486`>;>??0>h6367686`>;>?10>h6367886`>;>?h0>h6367c86`>;>?m0>h6367d86`>;>?o0>h6368186`>;>080>h6368386`>;>0:0>h6368586`>;>0<0>h6368786`>;>010>h6368886`>;>0h0>h6368c86`>;>0j0>h6368e86`>;>0l0>h6368g86`>;>190>h6369086`>;>9=0>h6361486`>;>9?0>h6361686`>;>910>h6361886`>;>9h0>h6361c86`>;>9j0>h6361e86`>;>9o0>h6362186`>;>:80>h6362386`>;>::0>h6362586`>;>:<0>h6362786`>;>:>0>h6362986`>;>:h0>h6362c86`>;>:j0>h6362e86`>;>:l0>h6362g86`>;>;90>h6363086`>{tjk<1<76<{<c4=?2?927j;l4<159>=47=>h165<=55b9>=6g==j1659;55b9>=06==j1658l55b9>=30==j165:?55b9>=2e==j1655955b9>=<4==j165<k55b9>=7?==j165><55b9>=65==j165>:55b9>=63==j165>855b9>=61==j165>655b9>=6?==j165>l55b9>=6e==j165>j55b9>=6c==j165>h55b9>=16==j1659?55b9>=14==j1659=55b9>=12==j1659855b9>=11==j1659655b9>=1?==j1659o55b9>=1d==j1659m55b9>=1b==j1659k55b9>=1`==j1658?55b9>=04==j1658=55b9>=02==j1658;55b9>=00==j1658955b9>=0>==j1658755b9>=0g==j1658m55b9>=0b==j1658k55b9>=0`==j165;>55b9>=37==j165;<55b9>=35==j165;:55b9>=33==j165;955b9>=3>==j165;755b9>=3g==j165;l55b9>=3e==j165;j55b9>=3c==j165;h55b9>=26==j165:<55b9>=25==j165::55b9>=23==j165:855b9>=21==j165:655b9>=2?==j165:o55b9>=2d==j165:j55b9>=2c==j165:h55b9>==6==j1655?55b9>==4==j1655=55b9>==2==j1655;55b9>==0==j1655655b9>==?==j1655o55b9>==d==j1655m55b9>==b==j1655k55b9>==`==j1654>55b9>=<7==j165<:55b9>=43==j165<855b9>=41==j165<655b9>=4?==j165<o55b9>=4d==j165<m55b9>=4b==j165<h55b9>=76==j165??55b9>=74==j165?=55b9>=72==j165?;55b9>=70==j165?955b9>=7>==j165?o55b9>=7d==j165?m55b9>=7b==j165?k55b9>=7`==j165>>55b9>=67==j1vol::18;7~;f?<0?4<52a649742<50;:6;74=830>0d<509j68l4=866>0d<50?;68l4=87a>0d<50<=68l4=852>0d<50=h68l4=8:4>0d<503968l4=83f>0d<508268l4=811>0d<509868l4=817>0d<509>68l4=815>0d<509<68l4=81;>0d<509268l4=81a>0d<509h68l4=81g>0d<509n68l4=81e>0d<50>;68l4=862>0d<50>968l4=860>0d<50>?68l4=865>0d<50><68l4=86;>0d<50>268l4=86b>0d<50>i68l4=86`>0d<50>o68l4=86f>0d<50>m68l4=872>0d<50?968l4=870>0d<50??68l4=876>0d<50?=68l4=874>0d<50?368l4=87:>0d<50?j68l4=87`>0d<50?o68l4=87f>0d<50?m68l4=843>0d<50<:68l4=841>0d<50<868l4=847>0d<50<>68l4=844>0d<50<368l4=84:>0d<50<j68l4=84a>0d<50<h68l4=84g>0d<50<n68l4=84e>0d<50=;68l4=851>0d<50=868l4=857>0d<50=>68l4=855>0d<50=<68l4=85;>0d<50=268l4=85b>0d<50=i68l4=85g>0d<50=n68l4=85e>0d<502;68l4=8:2>0d<502968l4=8:0>0d<502?68l4=8:6>0d<502=68l4=8:;>0d<502268l4=8:b>0d<502i68l4=8:`>0d<502o68l4=8:f>0d<502m68l4=8;3>0d<503:68l4=837>0d<50;>68l4=835>0d<50;<68l4=83;>0d<50;268l4=83b>0d<50;i68l4=83`>0d<50;o68l4=83e>0d<508;68l4=802>0d<508968l4=800>0d<508?68l4=806>0d<508=68l4=804>0d<508368l4=80b>0d<508i68l4=80`>0d<508o68l4=80f>0d<508m68l4=813>0d<509:68l4}r`a0?6=0:q6m:?549389d152:;?707>1;4;?8?6;3?j707<a;7b?8?3=3?j707:0;7b?8?2j3?j70796;7b?8?093?j7078c;7b?8???3?j70762;7b?8?6m3?j707=9;7b?8?4:3?j707<3;7b?8?4<3?j707<5;7b?8?4>3?j707<7;7b?8?403?j707<9;7b?8?4j3?j707<c;7b?8?4l3?j707<e;7b?8?4n3?j707;0;7b?8?393?j707;2;7b?8?3;3?j707;4;7b?8?3>3?j707;7;7b?8?303?j707;9;7b?8?3i3?j707;b;7b?8?3k3?j707;d;7b?8?3m3?j707;f;7b?8?293?j707:2;7b?8?2;3?j707:4;7b?8?2=3?j707:6;7b?8?2?3?j707:8;7b?8?213?j707:a;7b?8?2k3?j707:d;7b?8?2m3?j707:f;7b?8?183?j70791;7b?8?1:3?j70793;7b?8?1<3?j70795;7b?8?1?3?j70798;7b?8?113?j7079a;7b?8?1j3?j7079c;7b?8?1l3?j7079e;7b?8?1n3?j70780;7b?8?0:3?j70783;7b?8?0<3?j70785;7b?8?0>3?j70787;7b?8?003?j70789;7b?8?0i3?j7078b;7b?8?0l3?j7078e;7b?8?0n3?j70770;7b?8??93?j70772;7b?8??;3?j70774;7b?8??=3?j70776;7b?8??03?j70779;7b?8??i3?j7077b;7b?8??k3?j7077d;7b?8??m3?j7077f;7b?8?>83?j70761;7b?8?6<3?j707>5;7b?8?6>3?j707>7;7b?8?603?j707>9;7b?8?6i3?j707>b;7b?8?6k3?j707>d;7b?8?6n3?j707=0;7b?8?593?j707=2;7b?8?5;3?j707=4;7b?8?5=3?j707=6;7b?8?5?3?j707=8;7b?8?5i3?j707=b;7b?8?5k3?j707=d;7b?8?5m3?j707=f;7b?8?483?j707<1;7b?xuej:0;65=t=`4g>1>634k=i7=>4:?:54<1?272=>4:9:?:7d<21272884:9:?:15<212729o4:9:?:23<21272;<4:9:?:3f<212724:4:9:?:=7<21272=h4:9:?:6<<21272??4:9:?:76<21272?94:9:?:70<21272?;4:9:?:72<21272?54:9:?:7<<21272?o4:9:?:7f<21272?i4:9:?:7`<21272?k4:9:?:05<212728<4:9:?:07<212728>4:9:?:01<212728;4:9:?:02<21272854:9:?:0<<212728l4:9:?:0g<212728n4:9:?:0a<212728h4:9:?:0c<212729<4:9:?:17<212729>4:9:?:11<21272984:9:?:13<212729:4:9:?:1=<21272944:9:?:1d<212729n4:9:?:1a<212729h4:9:?:1c<21272:=4:9:?:24<21272:?4:9:?:26<21272:94:9:?:20<21272::4:9:?:2=<21272:44:9:?:2d<21272:o4:9:?:2f<21272:i4:9:?:2`<21272:k4:9:?:35<21272;?4:9:?:36<21272;94:9:?:30<21272;;4:9:?:32<21272;54:9:?:3<<21272;l4:9:?:3g<21272;i4:9:?:3`<21272;k4:9:?:<5<212724<4:9:?:<7<212724>4:9:?:<1<21272484:9:?:<3<21272454:9:?:<<<212724l4:9:?:<g<212724n4:9:?:<a<212724h4:9:?:<c<212725=4:9:?:=4<21272=94:9:?:50<21272=;4:9:?:52<21272=54:9:?:5<<21272=l4:9:?:5g<21272=n4:9:?:5a<21272=k4:9:?:65<21272><4:9:?:67<21272>>4:9:?:61<21272>84:9:?:63<21272>:4:9:?:6=<21272>l4:9:?:6g<21272>n4:9:?:6a<21272>h4:9:?:6c<21272?=4:9:?:74<212wxno<50;:08g113>3=63n6`8051=:18;1:;5290191==:1:k1955295791==:1<:1955294`91==:1?<1955296391==:1>i1955299591==:1081955290g91==:1;31955292091==:1:91955292691==:1:?1955292491==:1:=1955292:91==:1:31955292`91==:1:i1955292f91==:1:o1955292d91==:1=:1955295391==:1=81955295191==:1=>1955295491==:1==1955295:91==:1=31955295c91==:1=h1955295a91==:1=n1955295g91==:1=l1955294391==:1<81955294191==:1<>1955294791==:1<<1955294591==:1<21955294;91==:1<k1955294a91==:1<n1955294g91==:1<l1955297291==:1?;1955297091==:1?91955297691==:1??1955297591==:1?21955297;91==:1?k1955297`91==:1?i1955297f91==:1?o1955297d91==:1>:1955296091==:1>91955296691==:1>?1955296491==:1>=1955296:91==:1>31955296c91==:1>h1955296f91==:1>o1955296d91==:11:1955299391==:1181955299191==:11>1955299791==:11<1955299:91==:1131955299c91==:11h1955299a91==:11n1955299g91==:11l1955298291==:10;1955290691==:18?1955290491==:18=1955290:91==:1831955290c91==:18h1955290a91==:18n1955290d91==:1;:1955293391==:1;81955293191==:1;>1955293791==:1;<1955293591==:1;21955293c91==:1;h1955293a91==:1;n1955293g91==:1;l1955292291==:1:;1955rsccg>5<?;r7j9;4;809>e01=;8>014?>:7289<742<=014=n:4589<222<=014;?:4589<3e2<=01489:4589<162<=0149l:4589<>02<=0147=:4589<7b2<=014<6:4589<552<=014=<:4589<532<=014=::4589<512<=014=8:4589<5?2<=014=6:4589<5e2<=014=l:4589<5c2<=014=j:4589<5a2<=014:?:4589<262<=014:=:4589<242<=014:;:4589<212<=014:8:4589<2?2<=014:6:4589<2f2<=014:m:4589<2d2<=014:k:4589<2b2<=014:i:4589<362<=014;=:4589<342<=014;;:4589<322<=014;9:4589<302<=014;7:4589<3>2<=014;n:4589<3d2<=014;k:4589<3b2<=014;i:4589<072<=0148>:4589<052<=0148<:4589<032<=0148::4589<002<=01487:4589<0>2<=0148n:4589<0e2<=0148l:4589<0c2<=0148j:4589<0a2<=0149?:4589<152<=0149<:4589<132<=0149::4589<112<=01498:4589<1?2<=01496:4589<1f2<=0149m:4589<1c2<=0149j:4589<1a2<=0146?:4589<>62<=0146=:4589<>42<=0146;:4589<>22<=01469:4589<>?2<=01466:4589<>f2<=0146m:4589<>d2<=0146k:4589<>b2<=0146i:4589<?72<=0147>:4589<732<=014?::4589<712<=014?8:4589<7?2<=014?6:4589<7f2<=014?m:4589<7d2<=014?k:4589<7a2<=014<?:4589<462<=014<=:4589<442<=014<;:4589<422<=014<9:4589<402<=014<7:4589<4f2<=014<m:4589<4d2<=014<k:4589<4b2<=014<i:4589<572<=014=>:458yvgf:3:18v37c78053=:0oh185?4=9d`>673343:<7k:;|qbe4<72=q64n8530789=`?2=2:706i9;120>;>8o0n96s|a`294?2|51i=6>?;;<:e0?2?9273j84<159>=5c=m<1vl7i:1878>d=39:5637f187<4=:0o;1?<:4=82g>`3<uzk2i7>54z?;g0<491164hm549389=cc2:;?707?c;g6?xuf1m0;69u28b79741<51o3696>;<:f=?56<272<o4j5:pe<e=83>p15m::235?8>b<3>3=637e48051=:19k1i85rs`;a>5<3s42h97=>5:?;a5<308164h?530689<6>2l?0q~o6a;290~;?k<08=9528ea90=7<51no6>?;;<;3<?c23tyino4?:02x9=e32==<707?7;120>;f><0j>63n638b6>;f=l0j>63n5`8b6>;f000j>63n848b6>;f080j>63n7e8b6>;f?00j>63n748b6>;f?80j>63n6e8b6>;f>00j>63n578b6>{tihh1<7=t=833>1>6343i87=>6:?:`<<49=1vlon:1858?7n3>3=636b58052=:1mn1?<94=8ge>67034k9;7=>7:?b7=<49>1vlo6:1808?7m3>3=636b5805<=:1m31?<;4}rcb<?6=1r72<i4;809>=g4=;8>014l?:235?8?fm39::636ab8053=:1hk1?<84=8c;>673343j:7=>6:?:e1<49?1vlo8:18:8?7k3>3=636b38053=:1k:1?<:4=8cf>672343jo7=>5:?:ed<49=165l6530789<g12:;>707n4;121>{tih<1<77t=82a>1>6343i>7=>5:?:f5<49<165lk530689<gd2:;?707na;121>;>i108=;529`49742<50k?6>?;;|qbe0<72<hp14>n:5:2?8?f:39:8636a18053=:10o1?<84=8;`>6713432m7=>6:?:==<49=16548530489<?32:;=707j0;122>;>mk08=8529g79743<5h:n6>?9;<c2=?56=27j>94<149>=g3=;8<014m?:236?8?dj39:9636dd8053=:1ml1?<;4=8g2>672343n?7=>6:?:a1<49<165h;530789<c02:;=707j8;121>;>m008=8529g29740<50l:6>?:;<;e6?56=272jl4<179>=cd=;8?014hl:236?8g7<39::63n048050=:i9<1?<;4=`2;>67134k;57=>5:?b4f<49?16m=j530789d6a2:;>70o>1;122>;f9;08=852a049740<5h;<6>?:;<c2<?56=27j=o4<179>e4e=;8?01l?k:236?8g6n39::63n218050=:i;;1?<;4=`0;>67134k957=>5:?b6d<49<16m?m530489d4c2:;>70o=e;121>;f;808=;52a209743<5h986>?:;<c0=?56>272n;4<149>=g1=;8?014l6:235?8?ei39:9636be8053=:1ko1?<;4=8`e>672343h>7=>6:?:g6<49<165n8530489<e02:;>707l8;121>;>kh08=;529ba9743<50im6>?9;<;g4?56=272h<4<149>=a5=;8<014j;:236?8g7i39:863n128051=:1kh1?<:4=8a7>673343hh7=>4:?:`0<49=165k9530689<`?2:;>70o?0;120>;f8808=85rs`c7>5<2jr72<44;809>=d4=;8?014o?:237?8?>m39:96369b8050=:10k1?<:4=8;;>6723432:7=>5:?:=1<49=165h>530789<ce2:;<707i5;120>;f8l08=852a0;9741<5h8?6>?;;<;a1?56=272o=4<169>=fd=;8>014jj:236?8?cn39:;636e08051=:1l91?<;4=8g7>670343n97=>4:?:a2<49<165h6530589<c>2:;?707i0;121>;>n808=:529g09742<50lj6>?:;<;ef?56?272jn4<159>e52=;8?01l>::234?8g7>39:863n098050=:i931?<94=`2`>67234k;h7=>7:?b4c<49=16m<?530789d752:;<70o>6;121>;f9>08=:52a0:9742<5h;i6>?:;<c2g?56?27j=i4<159>e4`=;8?01l<?:234?8g5939:863n298050=:i;31?<94=`0b>67334k9o7=>5:?b6a<49>16m?k530689d562:;>70o<2;123>;f;:08=952a2;9743<50h=6>?8;<;a3?56<272n44<149>=gg=;8=014lk:236?8?em39:;636bg8051=:1j81?<;4=8a0>670343h:7=>5:?:g2<49>165n6530689<ef2:;>707lc;123>;>ko08=8529e29741<50n:6>?;;<;g7?56=272h94<169>e5g=;8?01l?<:236?8?ej39:9636c58050=:1jn1?<;4=8f6>672343m;7=>6:?:b=<49=16m=>530489d662:;?7p}na283>13|50:3696>;<;b6?56>272m=4<149>=<c=;8>0147l:237?8?>i39:9636998053=:10<1?<:4=8;7>672343n<7=>4:?:ag<49=16m=k530689d7>2:;?707m5;120>;>k908=9529eg9742<50nm6>?;;<;f7?56<272i94<159>=`1=;8>014k7:237?8?a839:8636f08051=:1ok1?<:4=8da>67334k;87=>4:?b40<49=16m=6530689d6>2:;?70o?c;120>;f8m08=952a039742<5h;96>?;;<c22?56<27j=:4<159>e4d=;8>01l?l:237?8g6n39:863n218051=:i;21?<:4=`0:>67334k9o7=>4:?b6a<49=16m>?530689d552:;?70o<9;120>;>j?08=9529c;9742<50hj6>?;;<;a`?56<272nh4<159>=f4=;8>014m<:237?8?d>39:8636c68051=:1jk1?<:4=8a`>673343hj7=>4:?:`5<49=165i=530689<b32:;?70o?a;122>;f9:08=;529c`9740<50i?6>?9;<;``?56>272h84<179>=c0=<0k014hi:5;b?xub?:0;6?u2e609a0=:m>;185?4}rg5a?6=:r7n:i4j5:?f2f<3081vh9?:1818c1n3o>70k9d;6;5>{tm>?1<7<t=d57>`3<5l=9696>;|qf33<72:q6i;l5e49>a3`=<1;01h8n:237?xub?>0;6?u2e6390=4<5l<h6h;4}rg4=?6=:r7n4l4<109>a<d=m<1vh7l:1818c003o>70k6b;6;5>{tm0n1<7=t=d5;>1>634o<=7:<;<g5g?243tyn5h4?:3y>a3?=m<16i::54938yv`1m3:1>v381e87<4=:18;1>>j4}rd5`?6=:r7<=o4;809>=67=:;=0q~h9c;296~;0900?4<52923964e<uzl=n7>52z?452<308165>>52358yv`1i3:1>v381487<4=:1::1><m4}rd5=?6=:r7<=>4;809>=7`=:;=0q~h97;296~;08o0?4<5293d964e<uzl=:7>52z?44a<308165?k52358yv`1=3:1>v380c87<4=:1;o1><m4}rd50?6=:r7<<44;809>=7b=:;=0q~h93;296~;08>0?4<5293f964e<uzl=>7>52z?440<308165?m52358yv`193:1>v380287<4=:1;i1><m4}rd54?6=:r7<<<4;809>=7d=:;=0q~h:f;296~;1no0?4<5293`964e<uzl>i7>52z?5ba<308165?o52358yv`2k3:1>v39f887<4=:1;k1><m4}rd6f?6=:r7=j:4;809>=7>=:;=0q~h:a;296~;1n<0?4<5293:964e<uzl>57>52z?5b6<308165?952358yv`203:1>v39f087<4=:1;=1><m4}rd63?6=:r7=ik4;809>=70=:;=0q~h:6;296~;1mm0?4<52934964e<uzl>97>52z?5ag<308165?;52358yv`2<3:1>v39e887<4=:1;?1><m4}rd67?6=:r7=i:4;809>=72=:;=0q~h:1;296~;1m:0?4<52936964e<uzl><7>52z?5a4<308165?=52358yv`3n3:1>v39dg87<4=:1;91><m4}rd7a?6=:r7=hi4;809>=74=:;=0q~h;d;296~;1lk0?4<52930964e<uzl?o7>52z?5`<<308165??52358yv`3j3:1>v39d687<4=:1;;1><m4}rd7e?6=:r7=h84;809>=76=:;=0q~h;9;296~;1l:0?4<52932964e<uzl?47>52z?5`4<308165<h52358yv`3>3:1>v39ce87<4=:18l1><m4}rd71?6=:r7=oo4;809>=4b=:;=0q~h;4;296~;1k00?4<5290f964e<uzl??7>52z?5g2<308165<m52358yv`3:3:1>v39c487<4=:18i1><m4}rd75?6=:r7=o>4;809>=4d=:;=0q~h;0;296~;1k80?4<5290`964e<uzl8j7>52z?5fc<308165<o52358yv`4m3:1>v39be87<4=:18k1><m4}rd0`?6=:r7=no4;809>=4?=:;=0q~h<b;296~;1j>0?4<5290;964e<uzl8m7>52z?5f0<308165<652358yv`413:1>v39b287<4=:1821><m4}rd0<?6=:r7=n<4;809>=41=:;=0q~h<7;296~;1io0?4<52905964e<uzl8:7>52z?5ea<308165<852358yv`4=3:1>v39ac87<4=:18<1><m4}rd00?6=:r7=m44;809>=43=:;=0q~h<3;296~;1i>0?4<52907964e<uzl8>7>52z?5e0<308165<:52358yv`5n3:1>v399g87<4=:18>1><m4}rd1a?6=:r7=5i4;809>=<7=:;=0q~h=d;296~;11k0?4<52983964e<uzl9o7>52z?5=<<3081654>52358yv`5j3:1>v399687<4=:10:1><m4}rd1e?6=:r7=584;809>==`=:;=0q~h=9;296~;11:0?4<5299d964e<uzl947>52z?5=4<3081655k52358yv`5?3:1>v398g87<4=:11o1><m4}rd12?6=:r7=4i4;809>==b=:;=0q~h=4;296~;1000?4<5299f964e<uzl9?7>52z?5<2<3081655m52358yv`5:3:1>v398487<4=:11i1><m4}rd15?6=:r7=4>4;809>==d=:;=0q~h=0;296~;1080?4<5299`964e<uzl:j7>52z?53c<3081655o52358yv`6m3:1>v397e87<4=:11k1><m4}rd2`?6=:r7=;o4;809>==?=:;=0q~h>c;296~;1?00?4<5299;964e<uzl:n7>52z?532<3081655652358yv`613:1>v397287<4=:1121><m4}rd2<?6=:r7=;<4;809>==0=:;=0q~h>7;296~;1>o0?4<52994964e<uzl::7>52z?52a<3081655;52358yv`6=3:1>v396c87<4=:11?1><m4}rd20?6=:r7=:44;809>==2=:;=0q~h>3;296~;1>>0?4<52996964e<uzl:>7>52z?520<3081655=52358yv`693:1>v396287<4=:1191><m4}rd24?6=:r7=:<4;809>==4=:;=0q~h?e;296~;1=m0?4<52990964e<uzl;h7>52z?51g<3081655?52358yv`7k3:1>v395887<4=:11;1><m4}rd3f?6=:r7=9:4;809>==6=:;=0q~h?a;296~;1=<0?4<52992964e<uzl;57>52z?516<308165:h52358yv`703:1>v395087<4=:1>l1><m4}rd33?6=:r7=8k4;809>=2c=:;=0q~h?6;296~;1<m0?4<5296g964e<uzl;97>52z?50g<308165:j52358yv`7;3:1>v394687<4=:1>n1><m4}rd36?6=:r7=884;809>=2d=:;=0q~h?1;296~;1<:0?4<5296`964e<uzl;<7>52z?504<308165:o52358yvcan3:1>v393g87<4=:1>k1><m4}rgea?6=:r7=?i4;809>=2?=:;=0q~kid;296~;1;k0?4<5296;964e<uzomo7>52z?57<<308165:652358yvcaj3:1>v393687<4=:1>21><m4}rgee?6=:r7=?84;809>=21=:;=0q~ki8;296~;1;80?4<52965964e<uzom;7>52z?56c<308165:852358yvca>3:1>v392e87<4=:1><1><m4}rge1?6=:r7=>o4;809>=23=:;=0q~ki4;296~;1:00?4<52967964e<uzom?7>52z?562<308165::52358yvca:3:1>v392487<4=:1>>1><m4}rge5?6=:r7=>>4;809>=25=:;=0q~ki0;296~;1:80?4<52961964e<uzonj7>52z?55c<308165:<52358yvcbl3:1>v391c87<4=:1>81><m4}rgfg?6=:r7==44;809>=26=:;=0q~kjb;296~;19>0?4<52962964e<uzonm7>52z?550<308165;h52358yvcb13:1>v391287<4=:1?l1><m4}rgf<?6=:r7==<4;809>=3c=:;=0q~kj7;296~;18o0?4<5297g964e<uzon:7>52z?54a<308165;j52358yvcb=3:1>v390c87<4=:1?n1><m4}rgf0?6=:r7=<44;809>=3e=:;=0q~kj2;296~;18<0?4<5297a964e<uzon=7>52z?546<308165;l52358yvcb83:1>v390087<4=:1?h1><m4}rggb?6=:r7>jk4;809>=3g=:;=0q~kke;296~;2nm0?4<5297c964e<uzooh7>52z?6bg<308165;752358yvcck3:1>v3:f887<4=:1?31><m4}rggf?6=:r7>j:4;809>=3>=:;=0q~kka;296~;2n<0?4<5297:964e<uzoo57>52z?6b6<308165;952358yvcc?3:1>v3:eg87<4=:1?=1><m4}rgg2?6=:r7>ii4;809>=33=:;=0q~kk5;296~;2mk0?4<52977964e<uzoo87>52z?6a<<308165;:52358yvcc;3:1>v3:e687<4=:1?>1><m4}rgg6?6=:r7>i84;809>=35=:;=0q~kk1;296~;2m:0?4<52971964e<uzoo<7>52z?6a4<308165;<52358yvcdn3:1>v3:dg87<4=:1?81><m4}rg`a?6=:r7>hi4;809>=37=:;=0q~klc;296~;2l00?4<52973964e<uzohn7>52z?6`2<308165;>52358yvcdi3:1>v3:d487<4=:1?:1><m4}rg`=?6=:r7>h>4;809>=0`=:;=0q~kl8;296~;2l80?4<5294d964e<uzoh;7>52z?6gc<3081658k52358yvcd>3:1>v3:ce87<4=:1<o1><m4}rg`1?6=:r7>oo4;809>=0b=:;=0q~kl4;296~;2k00?4<5294f964e<uzoh?7>52z?6g2<3081658m52358yv`d83:1>v38c087<4=:1<i1><m4}rdab?6=:r7<nk4;809>=0g=:;=0q~hme;296~;0jm0?4<5294c964e<uzlih7>52z?4fg<3081658752358yv`ek3:1>v38b887<4=:1<31><m4}rdaf?6=:r7<n:4;809>=0>=:;=0q~hma;296~;0j<0?4<5294:964e<uzli57>52z?4f6<3081658952358yv`e03:1>v38b087<4=:1<=1><m4}rda3?6=:r7<mk4;809>=00=:;=0q~hm5;296~;0ik0?4<52944964e<uzli87>52z?4e<<3081658;52358yv`e;3:1>v38a687<4=:1<?1><m4}rda6?6=:r7<m84;809>=02=:;=0q~hm1;296~;0i:0?4<52946964e<uzli<7>52z?4e4<3081658=52358yv`fn3:1>v389g87<4=:1<91><m4}rdba?6=:r7<5i4;809>=04=:;=0q~hnd;296~;01k0?4<52940964e<uzljo7>52z?4=<<3081658?52358yv`fi3:1>v389487<4=:1<;1><m4}rdb=?6=:r7<5>4;809>=1`=:;=0q~hn8;296~;0180?4<5295d964e<uzlj;7>52z?4<c<3081659k52358yv`f>3:1>v388e87<4=:1=o1><m4}rdb1?6=:r7<4o4;809>=1b=:;=0q~hn4;296~;0000?4<5295f964e<uzlj?7>52z?4<2<3081659m52358yv`f:3:1>v388487<4=:1=i1><m4}rdb5?6=:r7<4>4;809>=1d=:;=0q~h6f;296~;0?o0?4<5295`964e<uzl2i7>52z?43a<3081659o52358yv`>l3:1>v387c87<4=:1=k1><m4}rd:g?6=:r7<;44;809>=1?=:;=0q~h6b;296~;0?>0?4<5295;964e<uzl2m7>52z?430<3081659652358yv`>13:1>v387287<4=:1=21><m4}rd:<?6=:r7<;<4;809>=11=:;=0q~h67;296~;0>o0?4<52955964e<uzl2:7>52z?42a<3081659852358yv`><3:1>v386887<4=:1=<1><m4}rd:7?6=:r7<::4;809>=12=:;=0q~h62;296~;0><0?4<52956964e<uzl2=7>52z?426<3081659=52358yv`>83:1>v386087<4=:1=91><m4}rd;b?6=:r7<9k4;809>=14=:;=0q~h7e;296~;0=m0?4<52950964e<uzl3h7>52z?41g<3081659?52358yv`?k3:1>v385887<4=:1=;1><m4}rd;f?6=:r7<9:4;809>=16=:;=0q~h79;296~;0=:0?4<52952964e<uzl347>52z?414<308165>h52358yv`??3:1>v384g87<4=:1:l1><m4}rd;2?6=:r7<8i4;809>=6c=:;=0q~h75;296~;0<k0?4<5292g964e<uzl387>52z?40<<308165>j52358yv`?;3:1>v384687<4=:1:n1><m4}rd;6?6=:r7<884;809>=6e=:;=0q~h71;296~;0<:0?4<5292a964e<uzl3<7>52z?404<308165>l52358yv`0m3:1>v383e87<4=:1:h1><m4}rd4`?6=:r7<?o4;809>=6?=:;=0q~h8c;296~;0;00?4<5292;964e<uzl<n7>52z?472<308165>652358yv`0i3:1>v383487<4=:1:21><m4}rd4=?6=:r7<?>4;809>=61=:;=0q~h88;296~;0;80?4<52925964e<uzl<;7>52z?46c<308165>852358yv`0>3:1>v382e87<4=:1:<1><m4}rd41?6=:r7<>o4;809>=63=:;=0q~h83;296~;0:>0?4<52927964e<uzl<>7>52z?460<308165>:52358yv`093:1>v382287<4=:1:>1><m4}rd44?6=:r7<><4;809>=65=:;=0q~h9f;296~;09o0?4<52921964e<uzl=47>52z?454<308165><52358yv`2l3:1>v39fc87<4=:1:81><m4}rd66?6=:r7=i84;809>=7?=:;=0q~h;7;296~;1ko0?4<5293;964e<uzl8o7>52z?5f<<308165<k52358yv`483:1>v39a087<4=:18o1><m4}rd11?6=:r7=4o4;809>=<4=:;=0q~h>a;296~;1?<0?4<52980964e<uzl;j7>52z?51c<3081655952358yv`7<3:1>v394887<4=:11=1><m4}rge=?6=:r7=?>4;809>=2e=:;=0q~kje;296~;19m0?4<5296a964e<uzon?7>52z?542<308165:?52358yvcc03:1>v3:f087<4=:1>;1><m4}rg``?6=:r7>ho4;809>=30=:;=0q~hl1;296~;0k:0?4<52974964e<uzli:7>52z?4ea<3081658l52358yv`fj3:1>v389687<4=:1<h1><m4}rdb4?6=:r7<4<4;809>=06=:;=0q~h65;296~;0>k0?4<52942964e<uzl3m7>52z?410<3081659;52358yv`0n3:1>v383g87<4=:1=?1><m4}rd40?6=:r7<>44;809>=6g=:;=0q~h<1;296~;1i:0?4<5292c964e<uzoh>7>52z?6g0<308165<=52358yv`dk3:1=8u2e7;90=7<5m>96>?;;<`e6?2234hmm7::;<`g6?2>34ho?7:6;<`g0?2>34ho97:6;<`f0?2>34hn97:6;<`f2?2>34hn;7:6;<``=?2>34hhm7:6;<`e1?2>34hm:7:6;<``6?2>34hh?7:6;<`ef?2>34hmo7:6;<`e7?2>3tynm=4?:06x9`0e2=2:70li2;65?8dai3>=70lk2;6b?8dc;3>j70lk4;6b?8dc=3>j70lj4;6b?8db=3>j70lj6;6b?8db?3>j70ll9;6b?8ddi3>j70li5;6b?8da>3>j70ll2;6b?8dd;3>j70lib;6b?8dak3>j70li3;6b?xuakk0;6?u2bca9742<5l>m696>;|qeg=<72:q6i;7549689a072=2:70j91;g6?xuak>0;69u2e6690=2<5l<m696;;<f6b?2?927o:=4j5:pbf0=83?p1h8m:5:7?8c1l3>3863j7387<1=:l<o185?4=e7e>`3<uzlh97>53z?f3=<31h16h8j549389a3b2l?0q~hl4;297~;c=j0?4<52d4f9a0=:m0h18:h4}rd`7?6=0r7n4l4;929>`fe=m<16i=>530589a3e2=2:70j:c;g6?8b?k39:963j5187<1=:ljk185:4}rd`e?6=;r7o:?4;809>fg`=<1>01i:;:5:7?xuak00;6>=t=e42>1>634n=>7k:;<`aa?2?<27o8>4;859>ffc=<1>01ok?:5:7?8e5;3>3863l2087<1=:k:8185:4=b13>1>334i897:74:?`76<30=16o>6549689f512=2?70m<b;6;0>;d;00?4952c2g90=2<5j9h696;;<a75?2?<27h?k4;859>g12=<1>01n:=:5:7?8e3?3>3863l4487<1=:k=k185:4=b6;>1>334i9:7:74:?`61<30=16o?7549689f402=2?70m=c;6;0>;d:h0?4952c3d90=2<5j8o696;;<ag2?2?<27hh>4;859>gf`=<1>01nmm:5:7?8eai3>3863lf787<1=:ko8185:4=bgf>1>334inm7:74:?`a3<30=16oh<549689fbb2=2?70mka;6;0>;dk>0?4952d1f90<5<5m:n697<;<f3b?2>;2wxi4h50;3;8bfk3>3863kac87<1=:lhk185:4=ec:>1>334ni97:74:?gf1<30=16ho=549689ad52=2?70jm1;6;0>;cj90?4952d`d90=2<5mkn696;;<fb`?2?<27om54;859>a5g=<1>01h>6:5:7?8bdn3hh70j7c;120>;c>m0?4<52d7g9a0=:ll>185:4=eg5>1>334nhn7=>5:?gga<ek2wxio950;:18e5l3>3=636108;f>;>9:0<;6363`843>;><<0<;63651843>;>=k0<;63667843>;>?80<;6367b843>;>0>0<;63693843>;>9l0<;63628843>;>;;0<;63632843>;>;=0<;63634843>;>;?0<;63636843>;>;10<;63638843>;>;k0<;6363b843>;>;m0<;6363d843>;>;o0<;63641843>;><80<;63643843>;><:0<;63645843>;><?0<;63646843>;><10<;63648843>;><h0<;6364c843>;><j0<;6364e843>;><l0<;6364g843>;>=80<;63653843>;>=:0<;63655843>;>=<0<;63657843>;>=>0<;63659843>;>=00<;6365`843>;>=j0<;6365e843>;>=l0<;6365g843>;>>90<;63660843>;>>;0<;63662843>;>>=0<;63664843>;>>>0<;63669843>;>>00<;6366`843>;>>k0<;6366b843>;>>m0<;6366d843>;>>o0<;63671843>;>?;0<;63672843>;>?=0<;63674843>;>??0<;63676843>;>?10<;63678843>;>?h0<;6367c843>;>?m0<;6367d843>;>?o0<;63681843>;>080<;63683843>;>0:0<;63685843>;>0<0<;63687843>;>010<;63688843>;>0h0<;6368c843>;>0j0<;6368e843>;>0l0<;6368g843>;>190<;63690843>;>9=0<;63614843>;>9?0<;63616843>;>910<;63618843>;>9h0<;6361c843>;>9j0<;6361e843>;>9o0<;63621843>;>:80<;63623843>;>::0<;63625843>;>:<0<;63627843>;>:>0<;63629843>;>:h0<;6362c843>;>:j0<;6362e843>;>:l0<;6362g843>;>;90<;63630843>{tmk<1<76={<a1e?2?9272=<479:?:56<0>272?l486:?:00<0>2729=486:?:1g<0>272:;486:?:34<0>272;n486:?:<2<0>2725?486:?:5`<0>272>4486:?:77<0>272?>486:?:71<0>272?8486:?:73<0>272?:486:?:7=<0>272?4486:?:7g<0>272?n486:?:7a<0>272?h486:?:7c<0>2728=486:?:04<0>2728?486:?:06<0>27289486:?:03<0>2728:486:?:0=<0>27284486:?:0d<0>2728o486:?:0f<0>2728i486:?:0`<0>2728k486:?:14<0>2729?486:?:16<0>27299486:?:10<0>2729;486:?:12<0>27295486:?:1<<0>2729l486:?:1f<0>2729i486:?:1`<0>2729k486:?:25<0>272:<486:?:27<0>272:>486:?:21<0>272:8486:?:22<0>272:5486:?:2<<0>272:l486:?:2g<0>272:n486:?:2a<0>272:h486:?:2c<0>272;=486:?:37<0>272;>486:?:31<0>272;8486:?:33<0>272;:486:?:3=<0>272;4486:?:3d<0>272;o486:?:3a<0>272;h486:?:3c<0>2724=486:?:<4<0>2724?486:?:<6<0>27249486:?:<0<0>2724;486:?:<=<0>27244486:?:<d<0>2724o486:?:<f<0>2724i486:?:<`<0>2724k486:?:=5<0>2725<486:?:51<0>272=8486:?:53<0>272=:486:?:5=<0>272=4486:?:5d<0>272=o486:?:5f<0>272=i486:?:5c<0>272>=486:?:64<0>272>?486:?:66<0>272>9486:?:60<0>272>;486:?:62<0>272>5486:?:6d<0>272>o486:?:6f<0>272>i486:?:6`<0>272>k486:?:75<0>272?<486:pag3=8329w0m=7;6;5>;>9803463612841>;>;h0<963644841>;>=90<96365c841>;>>?0<963670841>;>?j0<963686841>;>1;0<96361d841>;>:00<963633841>;>;:0<963635841>;>;<0<963637841>;>;>0<963639841>;>;00<96363c841>;>;j0<96363e841>;>;l0<96363g841>;><90<963640841>;><;0<963642841>;><=0<963647841>;><>0<963649841>;><00<96364`841>;><k0<96364b841>;><m0<96364d841>;><o0<963650841>;>=;0<963652841>;>==0<963654841>;>=?0<963656841>;>=10<963658841>;>=h0<96365b841>;>=m0<96365d841>;>=o0<963661841>;>>80<963663841>;>>:0<963665841>;>><0<963666841>;>>10<963668841>;>>h0<96366c841>;>>j0<96366e841>;>>l0<96366g841>;>?90<963673841>;>?:0<963675841>;>?<0<963677841>;>?>0<963679841>;>?00<96367`841>;>?k0<96367e841>;>?l0<96367g841>;>090<963680841>;>0;0<963682841>;>0=0<963684841>;>0?0<963689841>;>000<96368`841>;>0k0<96368b841>;>0m0<96368d841>;>0o0<963691841>;>180<963615841>;>9<0<963617841>;>9>0<963619841>;>900<96361`841>;>9k0<96361b841>;>9m0<96361g841>;>:90<963620841>;>:;0<963622841>;>:=0<963624841>;>:?0<963626841>;>:10<96362`841>;>:k0<96362b841>;>:m0<96362d841>;>:o0<963631841>;>;80<96s|ec694?>5s4i987:71:?:54<??272=>484:?:7d<0<27288484:?:15<0<2729o484:?:23<0<272;<484:?:3f<0<2724:484:?:=7<0<272=h484:?:6<<0<272??484:?:76<0<272?9484:?:70<0<272?;484:?:72<0<272?5484:?:7<<0<272?o484:?:7f<0<272?i484:?:7`<0<272?k484:?:05<0<2728<484:?:07<0<2728>484:?:01<0<2728;484:?:02<0<27285484:?:0<<0<2728l484:?:0g<0<2728n484:?:0a<0<2728h484:?:0c<0<2729<484:?:17<0<2729>484:?:11<0<27298484:?:13<0<2729:484:?:1=<0<27294484:?:1d<0<2729n484:?:1a<0<2729h484:?:1c<0<272:=484:?:24<0<272:?484:?:26<0<272:9484:?:20<0<272::484:?:2=<0<272:4484:?:2d<0<272:o484:?:2f<0<272:i484:?:2`<0<272:k484:?:35<0<272;?484:?:36<0<272;9484:?:30<0<272;;484:?:32<0<272;5484:?:3<<0<272;l484:?:3g<0<272;i484:?:3`<0<272;k484:?:<5<0<2724<484:?:<7<0<2724>484:?:<1<0<27248484:?:<3<0<27245484:?:<<<0<2724l484:?:<g<0<2724n484:?:<a<0<2724h484:?:<c<0<2725=484:?:=4<0<272=9484:?:50<0<272=;484:?:52<0<272=5484:?:5<<0<272=l484:?:5g<0<272=n484:?:5a<0<272=k484:?:65<0<272><484:?:67<0<272>>484:?:61<0<272>8484:?:63<0<272>:484:?:6=<0<272>l484:?:6g<0<272>n484:?:6a<0<272>h484:?:6c<0<272?=484:?:74<0<2wxin>50;:18e303>3=636108:0>;>9:0<?6363`847>;><<0<?63651847>;>=k0<?63667847>;>?80<?6367b847>;>0>0<?63693847>;>9l0<?63628847>;>;;0<?63632847>;>;=0<?63634847>;>;?0<?63636847>;>;10<?63638847>;>;k0<?6363b847>;>;m0<?6363d847>;>;o0<?63641847>;><80<?63643847>;><:0<?63645847>;><?0<?63646847>;><10<?63648847>;><h0<?6364c847>;><j0<?6364e847>;><l0<?6364g847>;>=80<?63653847>;>=:0<?63655847>;>=<0<?63657847>;>=>0<?63659847>;>=00<?6365`847>;>=j0<?6365e847>;>=l0<?6365g847>;>>90<?63660847>;>>;0<?63662847>;>>=0<?63664847>;>>>0<?63669847>;>>00<?6366`847>;>>k0<?6366b847>;>>m0<?6366d847>;>>o0<?63671847>;>?;0<?63672847>;>?=0<?63674847>;>??0<?63676847>;>?10<?63678847>;>?h0<?6367c847>;>?m0<?6367d847>;>?o0<?63681847>;>080<?63683847>;>0:0<?63685847>;>0<0<?63687847>;>010<?63688847>;>0h0<?6368c847>;>0j0<?6368e847>;>0l0<?6368g847>;>190<?63690847>;>9=0<?63614847>;>9?0<?63616847>;>910<?63618847>;>9h0<?6361c847>;>9j0<?6361e847>;>9o0<?63621847>;>:80<?63623847>;>::0<?63625847>;>:<0<?63627847>;>:>0<?63629847>;>:h0<?6362c847>;>:j0<?6362e847>;>:l0<?6362g847>;>;90<?63630847>{tmkl1<76={<a71?2?9272=<463:?:56<?:272?l472:?:00<?:2729=472:?:1g<?:272:;472:?:34<?:272;n472:?:<2<?:2725?472:?:5`<?:272>4472:?:77<?:272?>472:?:71<?:272?8472:?:73<?:272?:472:?:7=<?:272?4472:?:7g<?:272?n472:?:7a<?:272?h472:?:7c<?:2728=472:?:04<?:2728?472:?:06<?:27289472:?:03<?:2728:472:?:0=<?:27284472:?:0d<?:2728o472:?:0f<?:2728i472:?:0`<?:2728k472:?:14<?:2729?472:?:16<?:27299472:?:10<?:2729;472:?:12<?:27295472:?:1<<?:2729l472:?:1f<?:2729i472:?:1`<?:2729k472:?:25<?:272:<472:?:27<?:272:>472:?:21<?:272:8472:?:22<?:272:5472:?:2<<?:272:l472:?:2g<?:272:n472:?:2a<?:272:h472:?:2c<?:272;=472:?:37<?:272;>472:?:31<?:272;8472:?:33<?:272;:472:?:3=<?:272;4472:?:3d<?:272;o472:?:3a<?:272;h472:?:3c<?:2724=472:?:<4<?:2724?472:?:<6<?:27249472:?:<0<?:2724;472:?:<=<?:27244472:?:<d<?:2724o472:?:<f<?:2724i472:?:<`<?:2724k472:?:=5<?:2725<472:?:51<?:272=8472:?:53<?:272=:472:?:5=<?:272=4472:?:5d<?:272=o472:?:5f<?:272=i472:?:5c<?:272>=472:?:64<?:272>?472:?:66<?:272>9472:?:60<?:272>;472:?:62<?:272>5472:?:6d<?:272>o472:?:6f<?:272>i472:?:6`<?:272>k472:?:75<?:272?<472:pagc=8329w0m;2;6;5>;>9802>636128;5>;>;h03=636448;5>;>=903=6365c8;5>;>>?03=636708;5>;>?j03=636868;5>;>1;03=6361d8;5>;>:003=636338;5>;>;:03=636358;5>;>;<03=636378;5>;>;>03=636398;5>;>;003=6363c8;5>;>;j03=6363e8;5>;>;l03=6363g8;5>;><903=636408;5>;><;03=636428;5>;><=03=636478;5>;><>03=636498;5>;><003=6364`8;5>;><k03=6364b8;5>;><m03=6364d8;5>;><o03=636508;5>;>=;03=636528;5>;>==03=636548;5>;>=?03=636568;5>;>=103=636588;5>;>=h03=6365b8;5>;>=m03=6365d8;5>;>=o03=636618;5>;>>803=636638;5>;>>:03=636658;5>;>><03=636668;5>;>>103=636688;5>;>>h03=6366c8;5>;>>j03=6366e8;5>;>>l03=6366g8;5>;>?903=636738;5>;>?:03=636758;5>;>?<03=636778;5>;>?>03=636798;5>;>?003=6367`8;5>;>?k03=6367e8;5>;>?l03=6367g8;5>;>0903=636808;5>;>0;03=636828;5>;>0=03=636848;5>;>0?03=636898;5>;>0003=6368`8;5>;>0k03=6368b8;5>;>0m03=6368d8;5>;>0o03=636918;5>;>1803=636158;5>;>9<03=636178;5>;>9>03=636198;5>;>9003=6361`8;5>;>9k03=6361b8;5>;>9m03=6361g8;5>;>:903=636208;5>;>:;03=636228;5>;>:=03=636248;5>;>:?03=636268;5>;>:103=6362`8;5>;>:k03=6362b8;5>;>:m03=6362d8;5>;>:o03=636318;5>;>;803=6s|ecf94?>5s4i8j7:71:?:54<>9272=>470:?:7d<?827288470:?:15<?82729o470:?:23<?8272;<470:?:3f<?82724:470:?:=7<?8272=h470:?:6<<?8272??470:?:76<?8272?9470:?:70<?8272?;470:?:72<?8272?5470:?:7<<?8272?o470:?:7f<?8272?i470:?:7`<?8272?k470:?:05<?82728<470:?:07<?82728>470:?:01<?82728;470:?:02<?827285470:?:0<<?82728l470:?:0g<?82728n470:?:0a<?82728h470:?:0c<?82729<470:?:17<?82729>470:?:11<?827298470:?:13<?82729:470:?:1=<?827294470:?:1d<?82729n470:?:1a<?82729h470:?:1c<?8272:=470:?:24<?8272:?470:?:26<?8272:9470:?:20<?8272::470:?:2=<?8272:4470:?:2d<?8272:o470:?:2f<?8272:i470:?:2`<?8272:k470:?:35<?8272;?470:?:36<?8272;9470:?:30<?8272;;470:?:32<?8272;5470:?:3<<?8272;l470:?:3g<?8272;i470:?:3`<?8272;k470:?:<5<?82724<470:?:<7<?82724>470:?:<1<?827248470:?:<3<?827245470:?:<<<?82724l470:?:<g<?82724n470:?:<a<?82724h470:?:<c<?82725=470:?:=4<?8272=9470:?:50<?8272=;470:?:52<?8272=5470:?:5<<?8272=l470:?:5g<?8272=n470:?:5a<?8272=k470:?:65<?8272><470:?:67<?8272>>470:?:61<?8272>8470:?:63<?8272>:470:?:6=<?8272>l470:?:6g<?8272>n470:?:6a<?8272>h470:?:6c<?8272?=470:?:74<?82wxiom50;:18e4k3>3=636108:4>;>9:0<j6363`84b>;><<0<j6365184b>;>=k0<j6366784b>;>?80<j6367b84b>;>0>0<j6369384b>;>9l0<j6362884b>;>;;0<j6363284b>;>;=0<j6363484b>;>;?0<j6363684b>;>;10<j6363884b>;>;k0<j6363b84b>;>;m0<j6363d84b>;>;o0<j6364184b>;><80<j6364384b>;><:0<j6364584b>;><?0<j6364684b>;><10<j6364884b>;><h0<j6364c84b>;><j0<j6364e84b>;><l0<j6364g84b>;>=80<j6365384b>;>=:0<j6365584b>;>=<0<j6365784b>;>=>0<j6365984b>;>=00<j6365`84b>;>=j0<j6365e84b>;>=l0<j6365g84b>;>>90<j6366084b>;>>;0<j6366284b>;>>=0<j6366484b>;>>>0<j6366984b>;>>00<j6366`84b>;>>k0<j6366b84b>;>>m0<j6366d84b>;>>o0<j6367184b>;>?;0<j6367284b>;>?=0<j6367484b>;>??0<j6367684b>;>?10<j6367884b>;>?h0<j6367c84b>;>?m0<j6367d84b>;>?o0<j6368184b>;>080<j6368384b>;>0:0<j6368584b>;>0<0<j6368784b>;>010<j6368884b>;>0h0<j6368c84b>;>0j0<j6368e84b>;>0l0<j6368g84b>;>190<j6369084b>;>9=0<j6361484b>;>9?0<j6361684b>;>910<j6361884b>;>9h0<j6361c84b>;>9j0<j6361e84b>;>9o0<j6362184b>;>:80<j6362384b>;>::0<j6362584b>;>:<0<j6362784b>;>:>0<j6362984b>;>:h0<j6362c84b>;>:j0<j6362e84b>;>:l0<j6362g84b>;>;90<j6363084b>{tmkh1<76={<a0=?2?9272=<47f:?:56<0m272?l48e:?:00<0m2729=48e:?:1g<0m272:;48e:?:34<0m272;n48e:?:<2<0m2725?48e:?:5`<0m272>448e:?:77<0m272?>48e:?:71<0m272?848e:?:73<0m272?:48e:?:7=<0m272?448e:?:7g<0m272?n48e:?:7a<0m272?h48e:?:7c<0m2728=48e:?:04<0m2728?48e:?:06<0m2728948e:?:03<0m2728:48e:?:0=<0m2728448e:?:0d<0m2728o48e:?:0f<0m2728i48e:?:0`<0m2728k48e:?:14<0m2729?48e:?:16<0m2729948e:?:10<0m2729;48e:?:12<0m2729548e:?:1<<0m2729l48e:?:1f<0m2729i48e:?:1`<0m2729k48e:?:25<0m272:<48e:?:27<0m272:>48e:?:21<0m272:848e:?:22<0m272:548e:?:2<<0m272:l48e:?:2g<0m272:n48e:?:2a<0m272:h48e:?:2c<0m272;=48e:?:37<0m272;>48e:?:31<0m272;848e:?:33<0m272;:48e:?:3=<0m272;448e:?:3d<0m272;o48e:?:3a<0m272;h48e:?:3c<0m2724=48e:?:<4<0m2724?48e:?:<6<0m2724948e:?:<0<0m2724;48e:?:<=<0m2724448e:?:<d<0m2724o48e:?:<f<0m2724i48e:?:<`<0m2724k48e:?:=5<0m2725<48e:?:51<0m272=848e:?:53<0m272=:48e:?:5=<0m272=448e:?:5d<0m272=o48e:?:5f<0m272=i48e:?:5c<0m272>=48e:?:64<0m272>?48e:?:66<0m272>948e:?:60<0m272>;48e:?:62<0m272>548e:?:6d<0m272>o48e:?:6f<0m272>i48e:?:6`<0m272>k48e:?:75<0m272?<48e:pagg=8329w0m<6;6;5>;>9803i6361284`>;>;h0<h6364484`>;>=90<h6365c84`>;>>?0<h6367084`>;>?j0<h6368684`>;>1;0<h6361d84`>;>:00<h6363384`>;>;:0<h6363584`>;>;<0<h6363784`>;>;>0<h6363984`>;>;00<h6363c84`>;>;j0<h6363e84`>;>;l0<h6363g84`>;><90<h6364084`>;><;0<h6364284`>;><=0<h6364784`>;><>0<h6364984`>;><00<h6364`84`>;><k0<h6364b84`>;><m0<h6364d84`>;><o0<h6365084`>;>=;0<h6365284`>;>==0<h6365484`>;>=?0<h6365684`>;>=10<h6365884`>;>=h0<h6365b84`>;>=m0<h6365d84`>;>=o0<h6366184`>;>>80<h6366384`>;>>:0<h6366584`>;>><0<h6366684`>;>>10<h6366884`>;>>h0<h6366c84`>;>>j0<h6366e84`>;>>l0<h6366g84`>;>?90<h6367384`>;>?:0<h6367584`>;>?<0<h6367784`>;>?>0<h6367984`>;>?00<h6367`84`>;>?k0<h6367e84`>;>?l0<h6367g84`>;>090<h6368084`>;>0;0<h6368284`>;>0=0<h6368484`>;>0?0<h6368984`>;>000<h6368`84`>;>0k0<h6368b84`>;>0m0<h6368d84`>;>0o0<h6369184`>;>180<h6361584`>;>9<0<h6361784`>;>9>0<h6361984`>;>900<h6361`84`>;>9k0<h6361b84`>;>9m0<h6361g84`>;>:90<h6362084`>;>:;0<h6362284`>;>:=0<h6362484`>;>:?0<h6362684`>;>:10<h6362`84`>;>:k0<h6362b84`>;>:m0<h6362d84`>;>:o0<h6363184`>;>;80<h6s|ec;94?>5s4i8?7:71:?:54<?l272=>48c:?:7d<0k2728848c:?:15<0k2729o48c:?:23<0k272;<48c:?:3f<0k2724:48c:?:=7<0k272=h48c:?:6<<0k272??48c:?:76<0k272?948c:?:70<0k272?;48c:?:72<0k272?548c:?:7<<0k272?o48c:?:7f<0k272?i48c:?:7`<0k272?k48c:?:05<0k2728<48c:?:07<0k2728>48c:?:01<0k2728;48c:?:02<0k2728548c:?:0<<0k2728l48c:?:0g<0k2728n48c:?:0a<0k2728h48c:?:0c<0k2729<48c:?:17<0k2729>48c:?:11<0k2729848c:?:13<0k2729:48c:?:1=<0k2729448c:?:1d<0k2729n48c:?:1a<0k2729h48c:?:1c<0k272:=48c:?:24<0k272:?48c:?:26<0k272:948c:?:20<0k272::48c:?:2=<0k272:448c:?:2d<0k272:o48c:?:2f<0k272:i48c:?:2`<0k272:k48c:?:35<0k272;?48c:?:36<0k272;948c:?:30<0k272;;48c:?:32<0k272;548c:?:3<<0k272;l48c:?:3g<0k272;i48c:?:3`<0k272;k48c:?:<5<0k2724<48c:?:<7<0k2724>48c:?:<1<0k2724848c:?:<3<0k2724548c:?:<<<0k2724l48c:?:<g<0k2724n48c:?:<a<0k2724h48c:?:<c<0k2725=48c:?:=4<0k272=948c:?:50<0k272=;48c:?:52<0k272=548c:?:5<<0k272=l48c:?:5g<0k272=n48c:?:5a<0k272=k48c:?:65<0k272><48c:?:67<0k272>>48c:?:61<0k272>848c:?:63<0k272>:48c:?:6=<0k272>l48c:?:6g<0k272>n48c:?:6a<0k272>h48c:?:6c<0k272?=48c:?:74<0k2wxio650;:18e483>3=636108;g>;>9:0<n6363`84f>;><<0<n6365184f>;>=k0<n6366784f>;>?80<n6367b84f>;>0>0<n6369384f>;>9l0<n6362884f>;>;;0<n6363284f>;>;=0<n6363484f>;>;?0<n6363684f>;>;10<n6363884f>;>;k0<n6363b84f>;>;m0<n6363d84f>;>;o0<n6364184f>;><80<n6364384f>;><:0<n6364584f>;><?0<n6364684f>;><10<n6364884f>;><h0<n6364c84f>;><j0<n6364e84f>;><l0<n6364g84f>;>=80<n6365384f>;>=:0<n6365584f>;>=<0<n6365784f>;>=>0<n6365984f>;>=00<n6365`84f>;>=j0<n6365e84f>;>=l0<n6365g84f>;>>90<n6366084f>;>>;0<n6366284f>;>>=0<n6366484f>;>>>0<n6366984f>;>>00<n6366`84f>;>>k0<n6366b84f>;>>m0<n6366d84f>;>>o0<n6367184f>;>?;0<n6367284f>;>?=0<n6367484f>;>??0<n6367684f>;>?10<n6367884f>;>?h0<n6367c84f>;>?m0<n6367d84f>;>?o0<n6368184f>;>080<n6368384f>;>0:0<n6368584f>;>0<0<n6368784f>;>010<n6368884f>;>0h0<n6368c84f>;>0j0<n6368e84f>;>0l0<n6368g84f>;>190<n6369084f>;>9=0<n6361484f>;>9?0<n6361684f>;>910<n6361884f>;>9h0<n6361c84f>;>9j0<n6361e84f>;>9o0<n6362184f>;>:80<n6362384f>;>::0<n6362584f>;>:<0<n6362784f>;>:>0<n6362984f>;>:h0<n6362c84f>;>:j0<n6362e84f>;>:l0<n6362g84f>;>;90<n6363084f>{tmk91<76={<a15?2?9272=<476:?:56<0i272?l48a:?:00<0i2729=48a:?:1g<0i272:;48a:?:34<0i272;n48a:?:<2<0i2725?48a:?:5`<0i272>448a:?:77<0i272?>48a:?:71<0i272?848a:?:73<0i272?:48a:?:7=<0i272?448a:?:7g<0i272?n48a:?:7a<0i272?h48a:?:7c<0i2728=48a:?:04<0i2728?48a:?:06<0i2728948a:?:03<0i2728:48a:?:0=<0i2728448a:?:0d<0i2728o48a:?:0f<0i2728i48a:?:0`<0i2728k48a:?:14<0i2729?48a:?:16<0i2729948a:?:10<0i2729;48a:?:12<0i2729548a:?:1<<0i2729l48a:?:1f<0i2729i48a:?:1`<0i2729k48a:?:25<0i272:<48a:?:27<0i272:>48a:?:21<0i272:848a:?:22<0i272:548a:?:2<<0i272:l48a:?:2g<0i272:n48a:?:2a<0i272:h48a:?:2c<0i272;=48a:?:37<0i272;>48a:?:31<0i272;848a:?:33<0i272;:48a:?:3=<0i272;448a:?:3d<0i272;o48a:?:3a<0i272;h48a:?:3c<0i2724=48a:?:<4<0i2724?48a:?:<6<0i2724948a:?:<0<0i2724;48a:?:<=<0i2724448a:?:<d<0i2724o48a:?:<f<0i2724i48a:?:<`<0i2724k48a:?:=5<0i2725<48a:?:51<0i272=848a:?:53<0i272=:48a:?:5=<0i272=448a:?:5d<0i272=o48a:?:5f<0i272=i48a:?:5c<0i272>=48a:?:64<0i272>?48a:?:66<0i272>948a:?:60<0i272>;48a:?:62<0i272>548a:?:6d<0i272>o48a:?:6f<0i272>i48a:?:6`<0i272>k48a:?:75<0i272?<48a:pag7=83;=w0k?a;g6?8db83>3=63k438053=:jo818952bgc901=:jm818552be190==:jm>18552be790==:jl>18552bd790==:jl<18552bd590==:jj318552bbc90==:jo?18552bg490==:jj818552bb190==:joh18552bga90==:jo91855rsd`3>5<6>r7n<44j5:?ag`<30816h9<530789g`52=901ohn:5189gb52==01oj<:5589gb32==01oj::5589gc32==01ok::5589gc12==01ok8:5589ge>2==01omn:5589g`22==01oh9:5589ge52==01om<:5589g`e2==01ohl:5589g`42==0q~kn6;292~;cij0n963l2d8051=:km<185?4=bf4>67334n;i7=?0:?g4c<4891vho::1858bfj3o>70m=b;120>;dl:0?4<52ce69742<5m:n6?hj;<f3b?4am2wxil:50;4x9agf2l?01n<7:237?8edn3>3=63ld18051=:l9o1>kj4=e2e>7`c3tynm>4?:7y>`d?=m<16o?;530689fee2=2:70mlc;120>;c8l09jn52d1d96ce<uzojj7>56z?gf0<b=27h844<159>gcg=<1;01nhm:237?8b7m39:<63k0g8055=z{lkn6=49{<fa0?c234i?:7=>4:?`b3<30816ok9530689a6b2::m70j?f;13b>{tmhn1<78t=e`0>`3<5j>86>?;;<ae6?2?927hj>4<159>`5c=;9o01i>i:22f?xubij0;6;u2dc09a0=:k=:1?<:4=bgf>1>634inj7=>4:?g4`<48m16h=h531f8yvcfj3:1:v3kb08f1>;d;m08=952cdc90=7<5joi6>?;;<f3a?57k27o<k4<0b9~w`gf290=w0jm0;g6?8e4i39:863le787<4=:kl=1?<:4=e2f>66e34n;j7=?b:pad?=83<p1ioi:d789f502:;?70mj2;6;5>;dm:08=952d1g975g<5m:m6>>n;|qfe=<72?q6hlk5e49>g62=;8>01njj:5:2?8ecn39:863k0d804<=:l9l1?=74}rgb3?6=>r7omi4j5:?`74<49=16oio549389fbe2:;?70j?e;13<>;c8o08<55rsdc1>5<1s4nj47k:;<a16?56<27ho:4;809>gf>=;8>01i>j:3da?8b7n38mn6s|eb394?47=r7ink4;809>=47=99o<707>1;33a3=:18;1==k:;<;25?77m=165<=511fe?8?6;3;;i>52901955c6343:?7??e19>=6g=99nm707<a;33a6=:1:k1==k>;<;0e?77m91659;511fe?8?3=3;;i>52957955c6343?97??e19>=06=99nm707:0;33a6=:1<:1==k>;<;64?77m91658l511fe?8?2j3;;i>5294`955c6343>n7??e19>=30=99nm70796;33a6=:1?<1==k>;<;52?77m9165:?511fe?8?093;;i>52963955c6343<=7??e19>=2e=99nm7078c;33a6=:1>i1==k>;<;4g?77m916559511fe?8???3;;i>52995955c63433;7??e19>=<4=99nm70762;33a6=:1081==k>;<;:6?77m9165<k511fe?8?6m3;;i>5290g955c6343:i7??e19>=7?=99nm707=9;33a6=:1;31==k>;<;1=?77m9165><511fe?8?4:3;;i>52920955c63438>7??e19>=65=99nm707<3;33a6=:1:91==k>;<;07?77m9165>:511fe?8?4<3;;i>52926955c6343887??e19>=63=99nm707<5;33a6=:1:?1==k>;<;01?77m9165>8511fe?8?4>3;;i>52924955c63438:7??e19>=61=99nm707<7;33a6=:1:=1==k>;<;03?77m9165>6511fe?8?403;;i>5292:955c6343847??e19>=6?=99nm707<9;33a6=:1:31==k>;<;0=?77m9165>l511fe?8?4j3;;i>5292`955c63438n7??e19>=6e=99nm707<c;33a6=:1:i1==k>;<;0g?77m9165>j511fe?8?4l3;;i>5292f955c63438h7??e19>=6c=99nm707<e;33a6=:1:o1==k>;<;0a?77m9165>h511fe?8?4n3;;i>5292d955c63438j7??e19>=16=99nm707;0;33a6=:1=:1==k>;<;74?77m91659?511fe?8?393;;i>52953955c6343?=7??e19>=14=99nm707;2;33a6=:1=81==k>;<;76?77m91659=511fe?8?3;3;;i>52951955c6343??7??e19>=12=99nm707;4;33a6=:1=>1==k>;<;70?77m916598511fe?8?3>3;;i>52954955c6343?:7??e19>=11=99nm707;7;33a6=:1==1==k>;<;73?77m916596511fe?8?303;;i>5295:955c6343?47??e19>=1?=99nm707;9;33a6=:1=31==k>;<;7=?77m91659o511fe?8?3i3;;i>5295c955c6343?m7??e19>=1d=99nm707;b;33a6=:1=h1==k>;<;7f?77m91659m511fe?8?3k3;;i>5295a955c6343?o7??e19>=1b=99nm707;d;33a6=:1=n1==k>;<;7`?77m91659k511fe?8?3m3;;i>5295g955c6343?i7??e19>=1`=99nm707;f;33a6=:1=l1==k>;<;7b?77m91658?511fe?8?293;;i>52943955c6343>=7??e19>=04=99nm707:2;33a6=:1<81==k>;<;66?77m91658=511fe?8?2;3;;i>52941955c6343>?7??e19>=02=99nm707:4;33a6=:1<>1==k>;<;60?77m91658;511fe?8?2=3;;i>52947955c6343>97??e19>=00=99nm707:6;33a6=:1<<1==k>;<;62?77m916589511fe?8?2?3;;i>52945955c6343>;7??e19>=0>=99nm707:8;33a6=:1<21==k>;<;6<?77m916587511fe?8?213;;i>5294;955c6343>57??e19>=0g=99nm707:a;33a6=:1<k1==k>;<;6e?77m91658m511fe?8?2k3;;i>5294a955c6343>o7??e19>=0b=99nm707:d;33a6=:1<n1==k>;<;6`?77m91658k511fe?8?2m3;;i>5294g955c6343>i7??e19>=0`=99nm707:f;33a6=:1<l1==k>;<;6b?77m9165;>511fe?8?183;;i>52972955c6343=<7??e19>=37=99nm70791;33a6=:1?;1==k>;<;55?77m9165;<511fe?8?1:3;;i>52970955c6343=>7??e19>=35=99nm70793;33a6=:1?91==k>;<;57?77m9165;:511fe?8?1<3;;i>52976955c6343=87??e19>=33=99nm70795;33a6=:1??1==k>;<;51?77m9165;9511fe?8?1?3;;i>52975955c6343=;7??e19>=3>=99nm70798;33a6=:1?21==k>;<;5<?77m9165;7511fe?8?113;;i>5297;955c6343=57??e19>=3g=99nm7079a;33a6=:1?k1==k>;<;5e?77m9165;l511fe?8?1j3;;i>5297`955c6343=n7??e19>=3e=99nm7079c;33a6=:1?i1==k>;<;5g?77m9165;j511fe?8?1l3;;i>5297f955c6343=h7??e19>=3c=99nm7079e;33a6=:1?o1==k>;<;5a?77m9165;h511fe?8?1n3;;i>5297d955c6343=j7??e19>=26=99nm70780;33a6=:1>:1==k>;<;44?77m9165:<511fe?8?0:3;;i>52960955c6343<>7??e19>=25=99nm70783;33a6=:1>91==k>;<;47?77m9165::511fe?8?0<3;;i>52966955c6343<87??e19>=23=99nm70785;33a6=:1>?1==k>;<;41?77m9165:8511fe?8?0>3;;i>52964955c6343<:7??e19>=21=99nm70787;33a6=:1>=1==k>;<;43?77m9165:6511fe?8?003;;i>5296:955c6343<47??e19>=2?=99nm70789;33a6=:1>31==k>;<;4=?77m9165:o511fe?8?0i3;;i>5296c955c6343<m7??e19>=2d=99nm7078b;33a6=:1>h1==k>;<;4f?77m9165:j511fe?8?0l3;;i>5296f955c6343<h7??e19>=2c=99nm7078e;33a6=:1>o1==k>;<;4a?77m9165:h511fe?8?0n3;;i>5296d955c6343<j7??e19>==6=99nm70770;33a6=:11:1==k>;<;;4?77m91655?511fe?8??93;;i>52993955c63433=7??e19>==4=99nm70772;33a6=:1181==k>;<;;6?77m91655=511fe?8??;3;;i>52991955c63433?7??e19>==2=99nm70774;33a6=:11>1==k>;<;;0?77m91655;511fe?8??=3;;i>52997955c6343397??e19>==0=99nm70776;33a6=:11<1==k>;<;;2?77m916556511fe?8??03;;i>5299:955c6343347??e19>==?=99nm70779;33a6=:1131==k>;<;;=?77m91655o511fe?8??i3;;i>5299c955c63433m7??e19>==d=99nm7077b;33a6=:11h1==k>;<;;f?77m91655m511fe?8??k3;;i>5299a955c63433o7??e19>==b=99nm7077d;33a6=:11n1==k>;<;;`?77m91655k511fe?8??m3;;i>5299g955c63433i7??e19>==`=99nm7077f;33a6=:11l1==k>;<;;b?77m91654>511fe?8?>83;;i>52982955c63432<7??e19>=<7=99nm70761;33a6=:10;1==k>;<;:5?77m9165<:511fe?8?6<3;;i>52906955c6343:87??e19>=43=99nm707>5;33a6=:18?1==k>;<;21?77m9165<8511fe?8?6>3;;i>52904955c6343::7??e19>=41=99nm707>7;33a6=:18=1==k>;<;23?77m9165<6511fe?8?603;;i>5290:955c6343:47??e19>=4?=99nm707>9;33a6=:1831==k>;<;2=?77m9165<o511fe?8?6i3;;i>5290c955c6343:m7??e19>=4d=99nm707>b;33a6=:18h1==k>;<;2f?77m9165<m511fe?8?6k3;;i>5290a955c6343:o7??e19>=4b=99nm707>d;33a6=:18n1==k>;<;2`?77m9165<h511fe?8?6n3;;i>5290d955c6343:j7??e19>=76=99nm707=0;33a6=:1;:1==k>;<;14?77m9165??511fe?8?593;;i>52933955c63439=7??e19>=74=99nm707=2;33a6=:1;81==k>;<;16?77m9165?=511fe?8?5;3;;i>52931955c63439?7??e19>=72=99nm707=4;33a6=:1;>1==k>;<;10?77m9165?;511fe?8?5=3;;i>52937955c6343997??e19>=70=99nm707=6;33a6=:1;<1==k>;<;12?77m9165?9511fe?8?5?3;;i>52935955c63439;7??e19>=7>=99nm707=8;33a6=:1;21==k>;<;1<?77m9165?o511fe?8?5i3;;i>5293c955c63439m7??e19>=7d=99nm707=b;33a6=:1;h1==k>;<;1f?77m9165?m511fe?8?5k3;;i>5293a955c63439o7??e19>=7b=99nm707=d;33a6=:1;n1==k>;<;1`?77m9165?k511fe?8?5m3;;i>5293g955c63439i7??e19>=7`=99nm707=f;33a6=:1;l1==k>;<;1b?77m9165>>511fe?8?483;;i>52922955c63438<7??e19>=67=99nm707<1;33a6=:1:;1==k>;<;05?77m91vhl=:1808b3<3>3=63j508050=:1891><m4}rgb5?6=;r7o4l4<149>ff6=<1;01ik8:236?xuak;0;6?u2bca9743<50n26998;|q24a2=838p18m<:5:2?8c?93o>7p}>0e194?4|5<i9696>;<g;4?c23ty:<i<50;0x90e62=2:70k8f;g6?xu68m;1<7<t=4a3>1>634o<i7k:;|q24a6=838p18li:5:2?8c0l3o>7p}>0bd94?4|5<hn696>;<g4g?c23ty:<nk50;0x90dc2=2:70k8b;g6?xu68jn1<7<t=4``>1>634o<m7k:;|q242?=838p1:?l:d78900?2=2:7p}>06:94?4|5>;j6h;4=444>1>63ty:<:950;0x927?2l?01889:5:2?xu68><1<7<t=635>`3<5<<>696>;|q2423=838p1:?;:d7890032=2:7p}>06694?4|5>;96h;4=440>1>63ty:<:<50;0x926b2l?0188>:5:2?xu68>;1<7<t=62`>`3<5<<;696>;|q2426=838p1:>n:d78903a2=2:7p}>07d94?4|5>:36h;4=47f>1>63ty:<;k50;0x92612l?018;k:5:2?xu68?n1<7<t=627>`3<5<?h696>;|q243e=838p1:>=:d78903e2=2:7p}>07`94?4|5>:;6h;4=47b>1>63ty:<;o50;0x93`b2l?018;6:5:2?xu68?31<7<t=7d`>`3<5<?3696>;|q2431=838p1;h7:d7890312=2:7p}>07494?4|5?l=6h;4=476>1>63ty:<;;50;0x93`32l?018;;:5:2?xu68?>1<7<t=7d1>`3<5<?8696>;|q2435=838p1;h?:d7890352=2:7p}>07094?4|5?on6h;4=472>1>63ty:<;?50;0x93cd2l?018;?:5:2?xu68?:1<7<t=7gb>`3<5<>m696>;|q240`=838p1;k7:d78902b2=2:7p}>04g94?4|5?o=6h;4=46g>1>63ty:<8m50;0x93c52l?018:m:5:2?xu68<h1<7<t=7g3>`3<5<>j696>;|q240g=838p1;jj:d78902>2=2:7p}>04;94?4|5?nh6h;4=46;>1>63ty:<8650;0x93bf2l?018:8:5:2?xu68<=1<7<t=7f;>`3<5<>=696>;|q2400=838p1;j9:d7890222=2:7p}>04794?4|5?n?6h;4=467>1>63ty:<8:50;0x93b52l?018:<:5:2?xu68<91<7<t=7f3>`3<5<>9696>;|q2407=838p1;ml:d7890272=2:7p}>04294?4|5?ij6h;4=41e>1>63ty:<9h50;0x93e?2l?018=j:5:2?xu68=o1<7<t=7a5>`3<5<9o696>;|q241b=838p1;m;:d78905d2=2:7p}>05a94?4|5?i96h;4=41a>1>63ty:<9l50;0x93e72l?018=n:5:2?xu68=k1<7<t=7`f>`3<5<92696>;|q241?=838p1;ll:d78905?2=2:7p}>05:94?4|5?hj6h;4=414>1>63ty:<9850;0x93d12l?018=::5:2?xu68=?1<7<t=7`7>`3<5<9?696>;|q2412=838p1;l=:d7890542=2:7p}>05194?4|5?h;6h;4=411>1>63ty:<9<50;0x93gb2l?018=>:5:2?xu68=;1<7<t=7c`>`3<5<9;696>;|q2416=838p1;on:d78904a2=2:7p}>02d94?4|5?k36h;4=40f>1>63ty:<>k50;0x93g12l?018<k:5:2?xu68:n1<7<t=7c7>`3<5<8h696>;|q246g=838p1;7j:d78904>2=2:7p}>02;94?4|5?3h6h;4=40;>1>63ty:<>650;0x93?f2l?018<8:5:2?xu68:=1<7<t=7;;>`3<5<8=696>;|q2460=838p1;79:d7890422=2:7p}>02794?4|5?3?6h;4=407>1>63ty:<>:50;0x93?52l?018<<:5:2?xu68:91<7<t=7;3>`3<5<89696>;|q2464=838p1;6j:d7890462=2:7p}>02394?4|5?2h6h;4=403>1>63ty:<?h50;0x93>?2l?018?j:5:2?xu68;o1<7<t=7:5>`3<5<;o696>;|q247b=838p1;6;:d78907d2=2:7p}>03a94?4|5?296h;4=43a>1>63ty:<?l50;0x93>72l?018?n:5:2?xu68;k1<7<t=75f>`3<5<;2696>;|q247?=838p1;9l:d78907?2=2:7p}>03:94?4|5?=j6h;4=434>1>63ty:<?950;0x931?2l?018?9:5:2?xu68;<1<7<t=755>`3<5<;>696>;|q2472=838p1;9=:d7890742=2:7p}>03194?4|5?=;6h;4=431>1>63ty:<?<50;0x930b2l?018?>:5:2?xu68;;1<7<t=74`>`3<5<;;696>;|q2476=838p1;8n:d78906a2=2:7p}>00d94?4|5?<36h;4=42f>1>63ty:<<k50;0x93012l?018>k:5:2?xu688n1<7<t=747>`3<5<:h696>;|q244e=838p1;8=:d78906e2=2:7p}>00`94?4|5?<;6h;4=42b>1>63ty:<<750;0x933d2l?018>7:5:2?xu68821<7<t=77b>`3<5<:<696>;|q2441=838p1;;7:d7890612=2:7p}>00494?4|5??=6h;4=426>1>63ty:<<;50;0x93332l?018>;:5:2?xu688>1<7<t=771>`3<5<:8696>;|q2445=838p1;;?:d7890652=2:7p}>00094?4|5?>n6h;4=422>1>63ty:<<?50;0x932d2l?018>?:5:2?xu688:1<7<t=76b>`3<5=lm696>;|q245c=838p1;:9:d7891`c2=2:7p}>01f94?4|5?>?6h;4=5d`>1>63ty:<=m50;0x93252l?019hm:5:2?xu689h1<7<t=763>`3<5=lj696>;|q245g=838p1;=j:d7891`>2=2:7p}>01;94?4|5?9h6h;4=5d;>1>63ty:<=650;0x935f2l?019h8:5:2?xu689=1<7<t=71;>`3<5=l=696>;|q2450=838p1;=9:d7891`22=2:7p}>01794?4|5?9?6h;4=5d7>1>63ty:<==50;0x93572l?019h=:5:2?xu68981<7<t=70f>`3<5=l:696>;|q2457=838p1;<l:d7891`72=2:7p}>01294?4|5?8j6h;4=5ge>1>63tymjk4?:3y>27>=m<168hk54938yv`am3:1>v39278f1>;3mm0?4<5rsgdg>5<5s4<987k:;<6fg?2?92wxjkm50;0x93452l?019km:5:2?xuank0;6?u26329a0=:<lk185?4}rdee?6=:r7==h4j5:?7a<<3081vkh7:181806i3o>70:j7;6;5>{tno=1<7<t=73;>`3<5=o=696>;|qeb3<72;q6:<85e49>0`3=<1;0q~hi5;296~;19=0n963;e587<4=z{ol?6=4={<426?c234>n?7:71:pbc5=838p1;??:d7891c52=2:7p}if383>7}:>9o1i8524d390=7<uzlm=7>52z?54f<b=27?i=4;809~wc`72909w08?a;g6?82cn3>3=6s|fdd94?4|5?:36h;4=5ff>1>63tymii4?:3y>252=m<168im54938yv`bk3:1>v39038f1>;3lk0?4<5rsgga>5<5s4<;<7k:;<6ge?2?92wxjho50;0x90`b2l?019j6:5:2?xuam00;6?u25ga9a0=:<m2185?4}rdf<?6=:r7>jl4j5:?7`2<3081vkk8:18183a03o>70:k6;6;5>{tnl<1<7<t=4d5>`3<5=n>696>;|qea0<72;q69k:5e49>0a2=<1;0q~hj4;296~;2n;0n963;d287<4=z{oo96=4={<7fa?c234>o=7:71:pb`7=838p18kl:d7891b72=2:7p}ie183>7}:=lk1i8524bd90=7<uzloj7>52z?6a=<b=27?oh4;809~wcbb2909w0;j6;g6?82dl3>3=6s|fef94?4|5<o?6h;4=5a`>1>63tymhn4?:3y>1`4=m<168nl54938yv`cj3:1>v3:e18f1>;3kh0?4<5rsgfb>5<5s4?oi7k:;<6`=?2?92wxji750;0x90bd2l?019m7:5:2?xual>0;6?u25e:9a0=:<j<185?4}rdg2?6=:r7>h;4j5:?7g0<3081vkj::18183c<3o>70:l4;6;5>{tnm>1<7<t=4f1>`3<5=i8696>;|qe`6<72;q69i>5e49>0f4=<1;0q~hk2;296~;2kl0n963;c087<4=z{on:6=4={<7`g?c234>h<7:71:pba6=838p18mn:d7891da2=2:7p}icg83>7}:=j21i8524cg90=7<uzlhi7>52z?6g3<b=27?ni4;809~w46dj3:1>v38c18f1>;2jh0?4<5rs02`e?6=:r7<nh4j5:?6f<<3081v<>l9;296~;0jj0n963:b987<4=z{8:h47>52z?4fd<b=27>n:4;809~w46d?3:1>v38b98f1>;2j?0?4<5rs02`2?6=:r7<n;4j5:?6f0<3081v<>l5;296~;0j=0n963:b587<4=z{8:h87>52z?4f7<b=27>n>4;809~w46d;3:1>v38b18f1>;2j;0?4<5rs02`6?6=:r7<mh4j5:?6f4<3081v<>l0;296~;0ih0n963:ag87<4=z{8:ij7>52z?4e=<b=27>mh4;809~w46em3:1>v38a78f1>;2im0?4<5rs02a`?6=:r7<m94j5:?6ef<3081v<>mc;296~;0i;0n963:ac87<4=z{8:in7>52z?4e5<b=27>ml4;809~w46ei3:1>v389d8f1>;2i00?4<5rs02a=?6=:r7<5n4j5:?6e=<3081v<>m8;296~;01h0n963:a687<4=z{8:i;7>52z?4==<b=27>m;4;809~w46e=3:1>v38958f1>;2i=0?4<5rs02a0?6=:r7<5?4j5:?6e6<3081v<>m3;296~;0190n963:a387<4=z{8:i>7>52z?4<`<b=27>m<4;809~w46e93:1>v388b8f1>;2i90?4<5rs02a4?6=:r7<4l4j5:?6=c<3081v<>nf;296~;0010n963:9d87<4=z{8:ji7>52z?4<3<b=27>5i4;809~w46fl3:1>v38858f1>;21j0?4<5rs02bg?6=:r7<4?4j5:?6=g<3081v<>na;296~;0?l0n963:9887<4=z{8:j57>52z?43f<b=27>554;809~w46f03:1>v387`8f1>;21>0?4<5rs02b3?6=:r7<;54j5:?6=3<3081v<>n6;296~;0??0n963:9487<4=z{8:j97>52z?431<b=27>594;809~w46f<3:1>v38738f1>;21:0?4<5rs02b7?6=:r7<;=4j5:?6=7<3081v<>n2;296~;0>l0n963:9087<4=z{8:j=7>52z?42f<b=27>5=4;809~w46>n3:1>v38698f1>;20l0?4<5rs02:a?6=:r7<:;4j5:?6<a<3081v<>6d;296~;0>=0n963:8b87<4=z{8:2o7>52z?427<b=27>4o4;809~w46>j3:1>v38618f1>;20h0?4<5rs02:e?6=:r7<9h4j5:?6<<<3081v<>69;296~;0=j0n963:8987<4=z{8:247>52z?41d<b=27>4:4;809~w46>?3:1>v38598f1>;20?0?4<5rs02:2?6=:r7<9;4j5:?6<0<3081v<>64;296~;0=;0n963:8287<4=z{8:2?7>52z?415<b=27>4?4;809~w46>:3:1>v384d8f1>;2080?4<5rs02:5?6=:r7<8n4j5:?6<5<3081v<>60;296~;0<h0n963:7g87<4=z{8:3j7>52z?40=<b=27>;h4;809~w46?m3:1>v38478f1>;2?m0?4<5rs02;`?6=:r7<894j5:?63f<3081v<>7c;296~;0<;0n963:7c87<4=z{8:3n7>52z?405<b=27>;l4;809~w46?13:1>v383b8f1>;2?10?4<5rs02;<?6=:r7<?l4j5:?632<3081v<>77;296~;0;10n963:7787<4=z{8:3:7>52z?473<b=27>;84;809~w46?=3:1>v38358f1>;2?=0?4<5rs02;0?6=:r7<??4j5:?636<3081v<>73;296~;0;90n963:7387<4=z{8:3>7>52z?46`<b=27>;<4;809~w46?93:1>v382b8f1>;2?90?4<5rs02;4?6=:r7<>l4j5:?62c<3081v<>8e;296~;0:?0n963:6e87<4=z{8:<h7>52z?461<b=27>:n4;809~w460k3:1>v38238f1>;2>k0?4<5rs024f?6=:r7<>=4j5:?62d<3081v<>8a;296~;09l0n963:6887<4=z{8:<?7>52z?455<b=27>:?4;809~w46103:1>v39f`8f1>;2=>0?4<5rs026`?6=:r7=i94j5:?60f<3081v<>:2;296~;1kl0n963:4087<4=z{8:?;7>52z?5f=<b=27>?;4;809~w464j3:1>v39a18f1>;2:h0?4<5rs0204?6=:r7=4l4j5:?65c<3081v<>=5;296~;1?=0n963:1587<4=z{8::m7>52z?51`<b=27><44;809~w467n3:1>v39498f1>;3nl0?4<5rs0230?6=:r7=??4j5:?7b6<3081vkh6:181806k3o>70:j8;6;5>{tnlo1<7<t=725>`3<5=no696>;|qea6<72;q69k>5e49>0a4=<1;0q~hk8;296~;2lh0n963;c687<4=z{8:ho7>52z?4g7<b=27>no4;809~w46d93:1>v38ab8f1>;2j90?4<5rs02a2?6=:r7<5;4j5:?6e0<3081v<>nb;296~;0090n963:9`87<4=z{8:j<7>52z?42d<b=27>4k4;809~w46>=3:1>v38558f1>;20=0?4<5rs02;e?6=:r7<?h4j5:?63<<3081v<>8f;296~;0:10n963:6d87<4=z{8:8o7>52z?5e7<b=27>>o4;809~wcec2909w0;l4;g6?82ek3>3=6s|86294?4|5>;o6h;4=63`>1>63ty3:k4?:3y>34d=m<16;<o54938yv>1m3:1>v38188f1>;0910?4<5rs94g>5<5s4=:;7k:;<522?2?92wx4;m50;0x92722l?01:?;:5:2?xu?>k0;6?u27019a0=:?88185?4}r:5=?6=:r7<<k4j5:?44`<3081v587:181817l3o>709?c;6;5>{t0?=1<7<t=62a>`3<5>:j696>;|q;23<72;q6;=75e49>35>=<1;0q~695;296~;08>0n96380787<4=z{1<?6=4={<531?c234=;87:71:p<35=838p1:><:d7892652=2:7p}76383>7}:?9;1i85271290=7<uz2==7>52z?5bc<b=27=jh4;809~w=072909w08id;g6?80ak3>3=6s|84g94?4|5?l26h;4=7d;>1>63ty39i4?:3y>2c1=m<16:k854938yv>2k3:1>v39f48f1>;1n=0?4<5rs97a>5<5s4<m?7k:;<4e6?2?92wx48o50;0x93`62l?01;h?:5:2?xu?=00;6?u26dd9a0=:>lo185?4}r:6<?6=:r7=ii4j5:?5af<3081v5;8:18180bj3o>708ja;6;5>{t0<<1<7<t=7g:>`3<5?o3696>;|q;10<72;q6:h95e49>2`0=<1;0q~6:3;296~;1m:0n9639e387<4=z{1?96=4={<4f5?c234<n<7:71:p<07=838p1;ji:d7893bb2=2:7p}75183>7}:>mn1i8526ea90=7<uz2?j7>52z?5`g<b=27=hl4;809~w=2b2909w08k9;g6?80c03>3=6s|85f94?4|5?n<6h;4=7f5>1>63ty38n4?:3y>2a3=m<16:i:54938yv>3j3:1>v39d28f1>;1l;0?4<5rs96b>5<5s4<o=7k:;<4g4?2?92wx49650;0x93ec2l?01;ml:5:2?xu?<>0;6?u26b`9a0=:>jk185?4}r:72?6=:r7=o44j5:?5g=<3081v5:::18180d?3o>708l6;6;5>{t0=>1<7<t=7a6>`3<5?i?696>;|q;06<72;q6:n=5e49>2f4=<1;0q~6;2;296~;1k80n9639c187<4=z{1>:6=4={<4ab?c234<ii7:71:p<16=838p1;lk:d7893dd2=2:7p}73g83>7}:>kh1i8526cc90=7<uz28h7>52z?5f2<b=27=n;4;809~w=5d2909w08m5;g6?80e<3>3=6s|82`94?4|5?h86h;4=7`1>1>63ty3?l4?:3y>2g7=m<16:o>54938yv>413:1>v39ag8f1>;1il0?4<5rs91;>5<5s4<jh7k:;<4bg?2?92wx4>950;0x93ge2l?01;on:5:2?xu?;?0;6?u26`;9a0=:>h2185?4}r:01?6=:r7=m:4j5:?5e3<3081v5=;:18180f=3o>708n4;6;5>{t0:;1<7<t=7;e>`3<5?3n696>;|q;75<72;q6:4j5e49>2<e=<1;0q~6=f;296~;11k0n96399`87<4=z{18n6=4={<4:=?c234<247:71:p<7b=838p1;78:d7893?12=2:7p}72b83>7}:>0?1i85268690=7<uz29n7>52z?5=6<b=27=5?4;809~w=4f2909w0861;g6?80>83>3=6s|83;94?4|5?2m6h;4=7:f>1>63ty3>54?:3y>2=b=m<16:5m54938yv>5>3:1>v39888f1>;1010?4<5rs906>5<5s4<3;7k:;<4;2?2?92wx4?:50;0x93>22l?01;6;:5:2?xu?::0;6?u26919a0=:>18185?4}r:16?6=:r7=4<4j5:?5<5<3081v5<>:181800n3o>7088e;6;5>{t0;:1<7<t=75g>`3<5?=h696>;|q;5c<72;q6::l5e49>22g=<1;0q~6>e;296~;1?00n96397987<4=z{1;o6=4={<443?c234<<:7:71:p<4d=838p1;9<:d7893152=2:7p}71`83>7}:>>;1i85266290=7<uz2:57>52z?52c<b=27=:h4;809~w=7?2909w089d;g6?801k3>3=6s|80594?4|5?<i6h;4=74b>1>63ty3=;4?:3y>23?=m<16:;654938yv>6=3:1>v39668f1>;1>?0?4<5rs937>5<5s4<=97k:;<450?2?92wx4<=50;0x93042l?01;8=:5:2?xu?9;0;6?u26739a0=:>?:185?4}r:24?6=:r7=9i4j5:?51f<3081v5>i:181802j3o>708:a;6;5>{t09o1<7<t=77:>`3<5??3696>;|q;4a<72;q6:895e49>200=<1;0q~6?c;296~;1=<0n96395587<4=z{1:i6=4={<467?c234<>>7:71:p<5g=838p1;;>:d7893372=2:7p}70883>7}:>=l1i85265g90=7<uz2;47>52z?50a<b=27=8n4;809~w=602909w08;b;g6?803i3>3=6s|81794?4|5?><6h;4=765>1>63ty3<94?:3y>213=m<16:9:54938yv>7;3:1>v39428f1>;1<;0?4<5rs921>5<5s4<?=7k:;<474?2?92wx4=?50;0x935a2l?01;=j:5:2?xu?890;6?u262f9a0=:>:i185?4}r5eb?6=:r7=?o4j5:?57d<3081v:hj:18180413o>708<8;6;5>{t?on1<7<t=714>`3<5?9=696>;|q4bf<72;q6:>;5e49>262=<1;0q~9ia;296~;1;80n96393187<4=z{>l26=4={<41b?c234<9i7:71:p3c>=838p1;<k:d78934d2=2:7p}8f683>7}:>;h1i85263c90=7<uz=m:7>52z?56<<b=27=>54;809~w2`22909w08=7;g6?805>3>3=6s|7g694?4|5?8>6h;4=707>1>63ty<j>4?:3y>275=m<16:?<54938yv1a:3:1>v39208f1>;1:90?4<5rs6d2>5<5s4<:j7k:;<42a?2?92wx;hh50;0x937e2l?01;?n:5:2?xu0ml0;6?u260;9a0=:>82185?4}r5f`?6=:r7==:4j5:?553<3081v:kl:181806=3o>708>4;6;5>{t?lh1<7<t=730>`3<5?;9696>;|q4ad<72;q6:<?5e49>246=<1;0q~9j9;296~;18o0n96390d87<4=z{>o36=4={<43`?c234<;o7:71:p3`1=838p1;>m:d78936f2=2:7p}8e783>7}:>931i85261:90=7<uz=n87>52z?540<b=27=<94;809~w2c42909w08?3;g6?807:3>3=6s|7d094?4|5?::6h;4=723>1>63ty<i<4?:3y>1c`=m<169kk54938yv1b83:1>v3:fe8f1>;2nj0?4<5rs6fe>5<5s4?mn7k:;<7ee?2?92wx;ik50;0x90`>2l?018h7:5:2?xu0lm0;6?u25g59a0=:=o<185?4}r5gg?6=:r7>j84j5:?6b1<3081v:jm:18183a;3o>70;i2;6;5>{t?m31<7<t=4ge>`3<5<on696>;|q4`=<72;q69hj5e49>1`e=<1;0q~9k7;296~;2mk0n963:e`87<4=z{>n=6=4={<7f=?c234?n47:71:p3a3=838p18k8:d7890c12=2:7p}8d583>7}:=l?1i8525d690=7<uz=o?7>52z?6a6<b=27>i?4;809~w2b52909w0;j1;g6?83b83>3=6s|7e394?4|5<nm6h;4=4ff>1>63ty<h=4?:3y>1ab=m<169im54938yv1dm3:1>v3:d88f1>;2l10?4<5rs6ag>5<5s4?o;7k:;<7g2?2?92wx;nm50;0x90b22l?018j;:5:2?xu0kk0;6?u25e19a0=:=m8185?4}r5`e?6=:r7>h<4j5:?6`5<3081v:m6:18183dn3o>70;le;6;5>{t?j21<7<t=4ag>`3<5<ih696>;|q4g2<72;q69nl5e49>1fg=<1;0q~9l6;296~;2k00n963:c987<4=z{>i>6=4={<7`3?c234?h:7:71:p<f4=838p1:m>:d7892e72=2:7p}7c083>7}:?kl1i8527cg90=7<uz2h<7>52z?4fa<b=27<nn4;809~w=da2909w09mb;g6?81ei3>3=6s|8cg94?4|5>h26h;4=6`;>1>63ty3ni4?:3y>3g1=m<16;o854938yv>ek3:1>v38b48f1>;0j=0?4<5rs9`a>5<5s4=i?7k:;<5a6?2?92wx4oo50;0x92d62l?01:l?:5:2?xu?j00;6?u27`d9a0=:?ho185?4}r:a3?6=:r7<mo4j5:?4ed<3081v5l9:18181f13o>709n8;6;5>{t0k?1<7<t=6c4>`3<5>k=696>;|q;f1<72;q6;l;5e49>3d2=<1;0q~6m3;296~;0i:0n9638a387<4=z{1h96=4={<5b5?c234=j<7:71:p<g7=838p1:7i:d7892?b2=2:7p}7b183>7}:?0n1i85278a90=7<uz2jj7>52z?4=g<b=27<5l4;809~w=gb2909w0969;g6?81>03>3=6s|8`a94?4|5>3>6h;4=6;7>1>63ty3mo4?:3y>3<5=m<16;4<54938yv>fi3:1>v38908f1>;0190?4<5rs9c:>5<5s4=3j7k:;<5;a?2?92wx4l650;0x92>c2l?01:6l:5:2?xu?i>0;6?u279`9a0=:?1k185?4}r:b2?6=:r7<444j5:?4<=<3081v5o::18181??3o>70976;6;5>{t0h>1<7<t=6:6>`3<5>2?696>;|q;e6<72;q6;5=5e49>3=4=<1;0q~6n1;296~;0?o0n96387d87<4=z{1k;6=4={<54`?c234=<o7:71:p<<`=838p1:9m:d78921f2=2:7p}79d83>7}:?>31i85276:90=7<uz22h7>52z?432<b=27<;;4;809~w=?d2909w0985;g6?810<3>3=6s|88`94?4|5>=86h;4=651>1>63ty35l4?:3y>327=m<16;:>54938yv>>13:1>v386g8f1>;0>l0?4<5rs9;;>5<5s4==h7k:;<55g?2?92wx44850;0x920>2l?01:87:5:2?xu?1<0;6?u27759a0=:??<185?4}r::0?6=:r7<:84j5:?421<3081v57<:181811;3o>70992;6;5>{t0081<7<t=642>`3<5><;696>;|q;=4<72;q6;8h5e49>30c=<1;0q~660;296~;0=m0n96385b87<4=z{12m6=4={<56f?c234=>m7:71:p<=c=838p1:;6:d78923?2=2:7p}78e83>7}:?<=1i85274490=7<uz23n7>52z?416<b=27<9?4;809~w=>f2909w09:1;g6?81283>3=6s|89;94?4|5>>m6h;4=66f>1>63ty3454?:3y>31b=m<16;9m54938yv>??3:1>v384c8f1>;0<h0?4<5rs9:5>5<5s4=?57k:;<57<?2?92wx45;50;0x92202l?01::9:5:2?xu?0=0;6?u27579a0=:?=>185?4}r:;7?6=:r7<8>4j5:?407<3081v56=:18181393o>709;0;6;5>{t01:1<7<t=61g>`3<5>9h696>;|q;3c<72;q6;>l5e49>36g=<1;0q~68e;296~;0;00n96383987<4=z{1=o6=4={<503?c234=8:7:71:p<2e=838p1:=::d7892532=2:7p}77c83>7}:?:91i85272090=7<uz2<m7>52z?474<b=27<?=4;809~w=1>2909w09=f;g6?815m3>3=6s|86:94?4|5>8o6h;4=60`>1>63ty3;:4?:3y>37d=m<16;?o54938yv>0=3:1>v38268f1>;0:?0?4<5rs957>5<5s4=997k:;<510?2?92wx4:=50;0x92442l?01:<=:5:2?xu??;0;6?u27339a0=:?;:185?4}r:45?6=:r7<=k4j5:?45`<3081v58n:18181693o>709>0;6;5>{t0<l1<7<t=7da>`3<5?lj696>;|q;11<72;q6:h;5e49>2`2=<1;0q~6;9;296~;1ko0n9639cd87<4=z{19n6=4={<4a=?c234<i47:71:p<64=838p1;o>:d7893g72=2:7p}72683>7}:>1h1i85269c90=7<uz2:o7>52z?530<b=27=;94;809~w=762909w08:f;g6?802m3>3=6s|81494?4|5?>26h;4=76;>1>63ty<jo4?:3y>265=m<16:><54938yv1a83:1>v391e8f1>;19j0?4<5rs6g6>5<5s4<;;7k:;<432?2?92wx;io50;0x90`62l?018h?:5:2?xu0ko0;6?u25e`9a0=:=mk185?4}r:`7?6=:r7<o>4j5:?4g7<3081v5l7:18181fl3o>709nc;6;5>{t0hn1<7<t=6;4>`3<5>3=696>;|q;e7<72;q6;5?5e49>3=6=<1;0q~667;296~;0>k0n96386`87<4=z{12h6=4={<561?c234=>87:71:p<=7=838p1:=i:d78925b2=2:7p}77783>7}:?;31i85273:90=7<uz28?7>52z?5e6<b=27=m?4;809~w2e32909w0;l5;g6?83d<3>3=6s|e8194?4|5l2j6>>7;<g;6?2?92wxi4:50;0x9`>f2::j70k73;6;5>{tm0?1<7<t=d:b>66c34o387:71:pa<0=838p1h6n:22e?8c?=3>3=6s|e8594?4|5l2j6?hk;<g;2?2?92wxi4650;0x9`>f2::;70k77;6;5>{tm031<7<t=d:b>66434o347:71:pa<g=838p1h6n:226?8c?13>3=6s|e9`94?5|5l2j6?hm;<g;6?c234o<m7:71:pa=e=839p1h6n:22:?8c?;3o>70k8b;6;5>{tm1n1<7=t=d:b>66d34o387k:;<g4g?2?92wxi5k50;1x9`>f2::n70k75;g6?8c0l3>3=6s|e9d94?5|5l2j6?hl;<g;2?c234o<i7:71:pa<6=839p1h6n:3df?8c??3o>70k8f;6;5>{tm0;1<7=t=d:b>66534o347k:;<g;4?2?92wxi4<50;1x9`>f2::?70k79;g6?8c?93>3=6s|de394?4|5mn:6998;<fg4?56=2wxhi<50;0x9ab52==<70jk0;120>{tm9>1<7=t=d21>1>634o;?7k:;<g35?2?<2wxi=;50;0x9`642=2:70k?1;g6?xuclk0;6?u2dec90=7<5mn=6h;4}rfg`?6=;r7oh84j5:?g`3<30816hi95e49~wabb2909w0jk5;6;0>;cl>0?4<5rsefe>5<5s4no57:71:?g`=<b=2wxhh>50;0x9ab?2=2:70jk4;120>{tlmi1<7;t=efb>ge<5mn26om4=ef7>11034no:7ll;<fg3?dd3tyn9?4?:3y>`<b=<>=01ihj:23;?xub==0;6?u2d8d9021<5mo26>?6;|qf10<72;q6hl>546589a`f2:;37p}j5783>7}:lh;18:94=eda>6703tyn9:4?:3y>`d4=<>=01ihj:234?xub=10;6?u2d`19021<5mo26>?7;|qf1<<72;q6hl:546589a`f2:;>7p}j5`83>7}:lh?18:94=eda>6713tyn9o4?:3y>`d0=<>=01ihj:23:?xub=j0;6?u2d`59021<5mlh6>?6;|qf16<72;q6h4k546589a`f2:;27p}k9783>6}:lm:18:94=ed7>67034nm:7=>7:pa0c=83hp1h>7:554?8c3:3>3863j3g87<1=:m:h185:4=d14>1>334o8?7:74:?f6c<30=16i?l549689`402=2?70k=3;6;0>;b9o0?495rse;6>5<4s4ni:7:87:?gg`<b=27ooi4j5:p`<g=839p1h>n:`089`6>2h801ij<:554?xuck10;6?u2e5d9a0=:lo=18:94}rg6`?6=:r7n<:4;769>a5>=;890q~j7e;296~;b880?4<52e149ff=z{l<>6=48{<g03?2?927n?54<159>`cg=;8<01ihm:236?8bb139:863kfb8053=:loo1?<:4}rg52?6=?r7n?o4;809>a6e=;8>01ihn:234?8baj39:463ke88050=:loi1?<94=edf>6723tyn::4?:2y>a6`=<1;01h:?:237?8bak39:96s|e7:94?5|5l>9696>;<g77?56<27ojn4<159~w`05290:?v3k9d8052=:lh=1?<94=ec5>67034nj97=>7:?ge1<49>16hl=530589ag52:;<70jn1;123>;ci908=:52d8d9741<5m3o6>?8;<g1f?2?927n>n4<159>``b=;8>01ikj:237?8ba<39::63kf78053=:lo;1?<:4=ed1>6713tyn:>4?:8y>a7`=<1;01h=?:237?8bal39:863kee805<=:lo3184o4=eg:>67034nmo7=>8:?gb1<49<16hk853078yvc1<3:1mv3j3287<4=:m:>1?<:4=edg>67234nmm7=>4:?gbg<49=16hhl530789acd2:;>70jj9;122>;cn=08=952dg49742<uzo>j7>512y>`a7=;8?01ij=:236?8b>m39:863ka68051=:lh<1?<:4=ec6>67334nj87=>4:?ge6<49=16hl<530689ag62:;?70jn0;120>;c1o08=952d8f9742<5l;m696>;<g14?56<27oii4<199>``c=;8?01ikn:5;b?8ba83>2m6s|e7294?73s4no=7=>4:?g`7<49=16h4k530789ag02:;>70jn6;121>;ci<08=852d`69743<5mk86>?:;<fb6?56=27om<4<149>`d6=;8?01i7i:236?8b>l39:963j2287<4=:m;>1?<:4=egg>67134nni7=>6:?gb6<49?16hhl530489acd2:;=7p}j6083>42|5mn;697n;<f:a?56>27om:4<179>`d0=;8<01io::235?8bf<39::63ka28053=:lh81?<84=ec2>67134nj<7=>6:?g=c<49?16h4j530489`402=2:70k=8;120>;cmm08=852ddg9741<5moi6>?;;<ffg?56<27oj<4<199>`c4=;8>0q~j63;297~;cj?08=;52dbg90=7<5ml:6>?9;|qg=1<72:q6hnh549389ad12:;?70ji1;121>{tl081<7=t=e`5>67234nhh7:71:?gb4<49>1vim::18e8bfk3k970jnb;c1?8bfi3k970jn9;c1?8be=3k970jm4;c1?8be;3k970jm2;c1?8be93k970jm0;c1?8bfn3k970jne;c1?8bfl3k970jn8;c1?8c783><;6s|d9d94?4|5l:=696>;<fe6?5602wxh4l50;0x9acc2:;<70jj4;6;5>{tl0i1<7=t=egf>67?34nn:7:71:?ga2<49=1vim6:1808c283>3=63j508051=:lo81?<94}rf:4?6=;r7oih4<189>`fg=<1;01imm:237?xuck?0;6?u2e1;90=7<5ml:6>?6;|qgg2<72;q6i=o549389a`52:;>7p}kb683>7}:lh2185?4=ef1>67>3tyonn4?:3y>`db=<1;01ij=:23;?xucjm0;6?u2d`g90=7<5mn96>?9;|qgf`<72;q6hlh549389ab52:;<7p}kbg83>7}:lk:185?4=ef2>67>3tyoo=4?:3y>`g7=<1;01ij>:23;?xuck80;6?u2dc090=7<5mn:6>?9;|qgg7<72;q6ho=549389ab62:;<7p}kc283>7}:lk>185?4=ega>6703tyoo94?:3y>`g3=<1;01ikl:234?xucj10;6?u2d`;90=7<5moi6>?7;|qgf<<72;q6hlo549389acd2:;37p}kb`83>7}:lhh185?4=ega>67>3tyono4?:3y>`de=<1;01ikl:23:?xuc180;6?u2dba90=7<5l:;6>?9;|qg=<<72=q6hh<549389`672:;?70jk3;120>;cm:08=95rse;;>5<2s4nn=7:71:?ga7<b=27n<=4<149>`a5=;8?01ik<:236?xuc1>0;69u2dd39a0=:lm?185?4=ef:>d4<5mn26h;4}rg20?6=:r7n=k4j5:?f64<3?>1vh>m:1808c5:3k?70k=5;c7?8c6m3>>n6s|e0794?4|5l886h;4=d06>1103tyn<n4?:2y>a70=i=16i?75a59>a74=<<h0q~k>6;296~;b:>0n963j288732=z{l:o6=4<{<g1e?g334o9h7o;;<g12?22j2wxi<950;0x9`4e2l?01h<k:554?xub8l0;6>u2e3g9e1=:m:;1m952e3c900d<uzo:47>52z?f6c<b=27n?<4;769~w`6a2908w0k<2;c7?8c4=3k?70k=e;66f>{tm831<7<t=d10>`3<5l9>6998;|qf55<72:q6i>85a59>a6?=i=16i><544`8yvc6i3:1>v3j368f1>;b;00?;:5rsd32>5<4s4o8m7o;;<g0`?g334o8:7::b:pa4d=838p1h=m:d789`5c2==<7p}j1383>6}:m:o1m952e539e1=:m:k188l4}rg2g?6=:r7n?k4j5:?f04<3?>1vh?<:1818c3<3k?70k<e;66f>{tm8n1<7<t=d61>`3<5l>?6998;|qf00<72:q6i?>546589`7b2=3j70k=1;66e>{tm=<1<7=t=d07>11034o9>7:6a:?f60<3=h1vh:8:1808c503><;63j2787=d=:m;3188o4}rg7<?6=;r7n>n4;769>a7g=<0k01h<k:57b?xub<00;6>u2e229021<5l8n697n;<g05?22i2wxi9o50;1x9`532==<70k<2;6:e>;b;<0?9l5rsd6a>5<4s4o847:87:?f73<31h16i>7544c8yvc3k3:1?v3j3b8732=:m:k184o4=d1g>13f3tyn8i4?:2y>a16=<>=01h=j:5;b?8c393>>m6s|e5g94?4|5l>86998;<g70?22i2wxh5j50;0x9a3e2l?01i6l:554?xuc>?0;6?u2d9c9741<5m2i6998;|qg20<720q6h8l548c89a3d2=3j70j:d;6:e>;c=l0?5l52d4d90<g<5m<;697n;<f55?2>i27o:?4;9`9>`=g=<>=0q~j93;297~;c0k08=952d6g90=7<5m=m6h;4}rf50?6=:r7o4o4<149>`=?=<1;0q~j73;291~;c080io63k818ag>;c?h0?;:52d6f9ff=:l>i1nn5rse:;>5<5s4n<j7:71:?g3d<49=1vi68:1818b?83>3=63k7d8f1>{tl1<1<7=t=e5a>1>634n3<7o=;<f;4?c23tyo484?:3y>`2d=<1>01i9k:5:2?xuc0=0;6>u2d6`9a0=:l>n1i852d6a90=7<uzn3>7>52z?g<4<30816h:m5e49~wa15290>w0j80;``?8b1n3hh70j97;643>;c>h0io63k688ag>{tl>31<7<t=e4f>1>634n=;7=>4:p`2>=838p1i8l:5:2?8b1l3o>7p}k7683>7}:l?h185?4=e4`>`3<uzn<:7>52z?g2c<30816h;l5e49~wa122908w0j98;6;5>;c>o0j>63k6g8f1>{tl>>1<7<t=e4;>1>334n=m7:71:p`25=839p1i87:d789a0f2l?01i86:5:2?xuc?80;6?u2d6290=7<5m<26h;4}r``2?6=:r7io<4<159>ff5=<1;0q~ll5;296~;ek;0n963mc287<6=z{ki<6=4={<``5?56=27io?4;809~wge32909w0ll0;g6?8dd93><;6s|bg:94?4|5kl?6>?;;<`e2?2?92wxnk950;0x9g`22l?01oh9:5:0?xuen00;6?u2bg69743<5kl>696>;|qagf<72;q6nn6530689gef2=2:7p}mcc83>7}:jj31i852bbc90=5<uzhhh7>52z?ag=<49<16nn754938yvdbm3:1>v3me08051=:jl=185?4}r`ff?6=:r7ii;4j5:?aa2<30:1voki:1818db939:963me787<4=z{koh6=4={<`f1?c234hn:7:73:pfc6=838p1ok=:237?8db=3>3=6s|bdf94?4|5ko?6h;4=cg6>1>43tyij<4?:3y>f`4=;8?01ok;:5:2?xuem10;6?u2bd19742<5ko:6998;|qaa<<72;q6nh=530789gc52==<7p}me`83>7}:jl:1i852bd19021<uzhoo7>52z?agc<49=16ni;54938yvdc13:1>v3md58f1>;el<0?4>5rscfg>5<5s4hhj7=>5:?a`1<3081vojn:1818dc;3o>70lk4;6;7>{tjmo1<7<t=cf3>67334ho?7:71:pfad=838p1oj=:d789gb42=287p}mdg83>7}:jm:1?<;4=cf1>1>63tyih;4?:3y>fa7=;8>01omi:554?xuel>0;6?u2be39743<5kn;6998;|qa`=<72;q6nnk5e49>fa7=<>=0q~lid;2955}:jko1i852bg090=7<5j==6l<4=b50>d4<5j<m6l<4=b4a>d4<5j3j6l<4=b;5>d4<5j396l<4=b:f>d4<5j2j6l<4=b:5>d4<5j296l<4=b5f>d4<5j=j6l<4=b44>d4<uzn>?7>52z?ab7<30;16nno5e49~wa20290mw0lia;6;5>;dl?0j>63ld28b6>;dko0j>63lcc8b6>;dnh0j>63lf78b6>;dn;0j>63led8b6>;dmh0j>63le78b6>;dm;0j>63ldd8b6>;dlh0j>63lc68b6>{tl<81<7<t=cd1>`3<5klj696=;|qg1<<72;q6h9=5e49>`14=<>=0q~j:a;296~;c<:0?4<52d569a0=z{m?36=4={<`aa?2?927ink4j5:p`1?=838p1i:::`089g`e2=2:7p}k4b83>7}:l=<1m?52bga90=7<uzn>;7>52z?abg<b=27ijn4;829~wg`a2909w0j;1;c1?8da;3>3=6s|d4494?4|5klh6h;4=cd0>1>43tyo984?:3y>ff4=<1901oh<:d78yvdel3:18v3k4487<1=:l=<185:4=e62>1>334hh47:87:p`02=838p1om6:5:0?8dd;3o>7p}l0083>c}:jo>18:94=b55>1>334i<?7:74:?`2c<30=16o;l549689f?f2=2?70m66;6;0>;d1;0?4952c9g90=2<5j2j696;;<a;2?2?<27h4?4;859>g2c=<1>01n9n:5:7?8e1?3>386s|d4394?4|5klj6h;4=cd6>1>43tyo9=4?:3y>fa4=<1901oh9:d78yvb3n3:1>v3md48f1>;em=0?4>5rsb23>5<ds4n?=7:71:?a`7<3k27ih>4;c:?a`1<3k27ih84;c:?aa1<3k27ii84;c:?aa3<3k27ii:4;c:?ag=<31h16nk:548c89g`42=i0q~j;d;29g~;c<?0?4<52be290<g<5kim697n;<`f6?2>i27ii<4;9`9>ff?=<j16nno54b9>fc3=<j16nk854b9>ff4=<j16nn=54b9>fce=<j1vi:n:1868b3=3>3=63md087=d=:jl9184o4=ca2>1?f34hmn7:l;|qg0`<72;q6nh95e49>`5`=<hh0q~j;b;296~;c<?0n963k0g8054=z{m>36=4={<f71?c234n;i7=>1:pfcc=838p1i:>:d789a6c2:;:7p}l0783>7}:k;l1i852c3g9021<uzi;97>52z?`6f<b=27h>o4;769~wf632909w0m=9;g6?8e503><;6s|c1194?4|5j8=6h;4=b06>1103tyh<k4?:3y>g1g=m<16o9754658yve7m3:1>v3l468f1>;d<?0?;:5rsb2g>5<5s4i?87k:;<a77?20?2wxo=m50;0x9f262l?01n:?:554?xud8k0;6?u2c2g9a0=:k:n18:94}ra3e?6=:r7h?o4j5:?`7d<3?>1vn>6:1818e403o>70m<7;643>{tk921<7<t=b16>`3<5j9?6998;|q`42<72;q6o><5e49>g67=<>=0q~m?2;296~;d::0n963l238732=z{m;?6=4={<f3g?20?27o<k4;a`9~wa752909w0j?b;643>;c8l0?ml5rse33>5<5s4i9<7:71:?g4a<3ih1vi?m:1818e6>3>3=63l218f1>{tl8k1<7<t=b36>1>634i::7k:;|qg5<<72:q6o<:549389f722l?01n<j:235?xuc910;6>u2c0190=7<5j;?6h;4=b0a>6713tyo=:4?:2y>g44=<1;01n?<:d789f4?2:;=7p}k1783>6}:k8;185?4=b31>`3<5j8>6>?9;|qg50<72:q6o<h549389f762l?01n:6:235?xuc:=0;6>u2c0g90=7<5j;m6h;4=b65>6713tyo>>4?:2y>g4b=<1;01n?j:d789f242:;=7p}k2383>6}:k8i185?4=b3g>`3<5j>;6>?9;|qg64<72:q6o<l549389f7d2l?01n=k:235?xuc:90;6>u2c0c90=7<5j;i6h;4=b1b>6713tyo=k4?:2y>g4?=<1;01n?n:d789f502:;=7p}k1d83>6}:k82185?4=b3:>`3<5j9?6>?9;|qg5a<72:q6o<9549389f7?2l?01n=>:235?xuc9j0;6>u2c0290=7<5j;<6h;4=b01>6713tyo=>4?:3y>`5e=;8>01i>j:5ca?xuc980;6?u2d1`9742<5m:o69om;|qg72<72=q6o?k530789f112=2:70m87;120>;c8m08<=5rse15>5<3s4i9n7=>5:?`36<30816o::530689a6c2;ln7p}k3483>1}:k;21?<;4=b4e>1>634i<<7=>4:?g4a<5nm1vi=;:1878e5=39:963l6c87<4=:k?i1?<:4=e2g>7`d3tyo8=4?:5y>g1?=;8?01n7n:5:2?8e>j39:863k0e8055=z{m9m6=4;{<a72?56=27h5;4;809>g<1=;8>01i>k:22e?xuc;l0;69u2c519743<5j39696>;<a:7?56<27o<i4<0d9~wa5c290?w0m;0;121>;d0l0?4<52c9d9742<5m:o6>>k;|qg7f<72=q6o>j530789f>f2=2:70m7b;120>;c8m08<n5rse1a>5<3s4i8m7=>5:?`<3<30816o59530689a6c2::i7p}k3`83>1}:k:=1?<;4=b:1>1>634i3?7=>4:?g4a<48h1vi=6:1878e4<39:963l7d87<4=:k>l1?<:4=e2g>66>3tyo?54?:5y>g67=;8?01n9n:5:2?8e0j39:863k0e804==z{m986=4;{<a16?56=27h::4;809>g3>=;8>01i>k:3da?xuc:00;6?u2c3d90=7<5j8o6h;4}rf1<?6=:r7h>n4;809>g7g=m<1vi<8:1818e513>3=63l268f1>{tl;<1<7<t=b05>1>634i987k:;|qg77<72;q6o9o549389f2?2l?0q~j<1;296~;d<>0?4<52c579a0=z{m9;6=4={<a70?2?927h8?4j5:p`7`=838p1n:>:5:2?8e4n3o>7p}k2d83>7}:k:o185?4=b1`>`3<uzn9h7>52z?`7g<30816o>75e49~wa4d2909w0m<8;6;5>;d;?0n96s|d3`94?4|5j9>696>;<a07?c23tyo>l4?:3y>g64=<1;01n=?:d78yvb5=3:1>v3l2287<4=:k;;1i85rsb`;>5<5s4ih;7k:;<a`=?20?2wxolh50;1x9fb>2h>01njl:`689fe12=?i7p}lbe83>7}:kmk1i852cea9021<uzii<7>53z?``a<f<27hi=4n4:?``<<3=k1vnlj:1818ecm3o>70mj0;643>{tkk;1<7=t=bg2>d2<5jo?6l:4=bfg>13e3tyhnk4?:3y>g`4=m<16oh:54658yvee:3:1?v3le48b0>;dm10j863le0871g=z{ji;6=4={<af2?c234in47:87:pgg5=839p1nk6:`689fcd2h>01nk::57a?xudk80;6?u2cdc9a0=:kli18:94}raa0?6=;r7hii4n4:?`b5<f<27hi44;5c9~wfe52909w0mje;g6?8ea83><;6s|cc794?5|5jl:6l:4=bd7>d2<5joo69;m;|q`g6<72;q6ok<5e49>gc2=<>=0q~mm6;297~;dn<0j863lf98b0>;dn80?9o5rsba7>5<5s4im:7k:;<ae<?20?2wxoo950;1x9f`>2h>01nhl:`689f`22=?i7p}lc483>7}:kok1i852cga9021<uzijn7>53z?`gd<f<27hoi4n4:?`b<<3=k1vnl6:1818edj3o>70mld;643>{tkhi1<7=t=baf>d2<5jn:6l:4=bab>13e3tyhnl4?:3y>gf`=m<16oi?54658yvefl3:1?v3ld38b0>;dl<0j863lcd871g=z{jhi6=4={<ag7?c234io97:87:pgdc=838p1nj7:`689fb52=?i7p}lbb83>7}:km<1i852ce:9021<uzimh7>53z?`g=<3?>16on8548c89fe>2=?j7p}k0383>6}:kmh18:94=bf:>1?f34ioo7::a:p`55=839p1nji:554?8ecl3>2m63le1871d=z{m:?6=4<{<af7?20?27hi<4;9`9>g`2=<<k0q~j?5;297~;dm>0?;:52cd790<g<5jo369;n;|qg43<72:q6ohl546589fc>2=3j70mjc;66e>{tl9=1<7=t=bge>11034inh7:6a:?`b5<3=h1vi>7:1808ea;3><;63lf087=d=:ko>188o4}rf3=?6=;r7hj:4;769>gc3=<0k01nh7:57b?xuc8h0;6>u2cg`9021<5jl2697n;<aeg?22i2wxokk50;1x9fed2==<70mla;6:e>;dkm0?9l5rsbde>5<4s4io<7:87:?`g`<31h16oi?544c8yvb783:1?v3ld58732=:km8184o4=bf6>13f3tyo<<4?:3y>ga1=<>=01nj7:57b?xud=10;6?u2c759a0=:k?318:94}ra7b?6=;r7h;44n4:?`3f<f<27h:;4;5c9~wf3c2909w0m8a;g6?8e0k3><;6s|c4294?5|5j=o6l:4=b:3>d2<5j=269;m;|q`1`<72;q6o:k5e49>g=6=<>=0q~m:1;297~;d080j863l858b0>;d?m0?9o5rsb7e>5<5s4i3>7k:;<a;0?20?2wxo8<50;1x9f>22h>01n67:`689f>62=?i7p}l6183>7}:k1<1i852c9:9021<uzi>?7>53z?`<<<f<27h4n4n4:?`<0<3=k1vn8>:1818e?i3o>70m7c;643>{tk<>1<7=t=b:g>d2<5j3;6l:4=b::>13e3tyh:?4?:3y>g=c=m<16o4>54658yve2=3:1?v3l908b0>;d1=0j863l8e871g=z{j<86=4={<a:6?c234i287:87:pg00=839p1n7::`689f??2h>01n7>:57a?xud>=0;6?u2c849a0=:k0218:94}ra63?6=;r7h544n4:?`=f<f<27h584;5c9~wf022909w0m6a;g6?8e>k3><;6s|c5`94?5|5j<j6l:4=b4g>d2<5j3269;m;|q`1<<72;q6o;l5e49>g3b=<>=0q~m;c;297~;d>l0j863l708b0>;d>h0?9o5rsb7b>5<5s4i=j7k:;<a45?20?2wxo9j50;1x9f152h>01n9::`689f0b2=?i7p}l5c83>7}:k>91i852c679021<uzi?i7>52z?`3=<f<27h;?4;5c9~wf3d2909w0m86;g6?8e003><;6s|c8f94?5|5j<36998;<a52?2>i27h:44;5`9~wfg52908w0m8b;643>;d?00?5l52c6a900g<uzij?7>53z?`3c<3?>16o:j548c89f>72=?j7p}la583>6}:k1918:94=b:2>1?f34i387::a:pgd3=839p1n68:554?8e?=3>2m63l89871d=z{jk=6=4<{<a;f?20?27h444;9`9>g=e=<<k0q~mn7;297~;d0o0?;:52c9f90<g<5j3;69;n;|q`e=<72:q6o4=546589f?62=3j70m64;66e>{tkh31<7=t=b;4>11034i297:6a:?`==<3=h1vnon:1808e>j3><;63l9887=d=:k0i188o4}ra:a?6=;r7h:n4;769>g3g=<0k01n8k:57b?xud1o0;6>u2c629021<5j<n697n;<a45?22i2wxol>50;1x9f132==<70m82;6:e>;d?<0?9l5rsbc2>5<5s4i<;7:87:?`3=<3=h1vl:8:1818g2>3o>70o:8;643>{ti:o1<7=t=`4;>d2<5h<i6l:4=`76>13e3tyj8n4?:3y>e3?=m<16m;l54658yvg4n3:1?v3n6b8b0>;f>o0j863n69871g=z{h>o6=4={<c5`?c234k=j7:87:pe16=839p1l9?:`689d142h>01l8l:57a?xuf<l0;6?u2a639a0=:i>918:94}rc75?6=;r7j;94n4:?b32<f<27j;=4;5c9~wd2a2909w0o85;g6?8g0?3><;6s|a5094?5|5h=36l:4=`5a>d2<5h=?69;m;|qb15<72;q6m:75e49>e2d=<>=0q~o;3;297~;f?j0j863n7g8b0>;f?10?9o5rs`72>5<5s4k<h7k:;<c4b?20?2wxm9:50;1x9d>72h>01l6<:`689d1d2=?i7p}n5383>7}:i1;1i852a919021<uzk?97>53z?b<1<f<27j4:4n4:?b<5<3=k1vl;<:1818g?=3o>70o77;643>{ti=<1<7=t=`:;>d2<5h2i6l:4=`:7>13e3tyj994?:3y>e=?=m<16m5l54658yvg4i3:1?v3n588b0>;f=j0j863n89871g=z{h>36=4={<c6e?c234k>o7:87:pe6d=839p1l;k:`689d072h>01l;6:57a?xuf<00;6?u2a4g9a0=:i?:18:94}rc0g?6=;r7j:<4n4:?b21<f<27j9i4;5c9~wd2f2909w0o92;g6?8g1<3><;6s|a2f94?4|5h<<6l:4=`42>13e3tyj8o4?:3y>e33=m<16m;954658yvg?k3:1?v3n568732=:i<?184o4=`7;>13f3tyj5<4?:2y>e3g=<>=01l87:5;b?8g1j3>>m6s|a8094?5|5h<n6998;<c5g?2>i27j:k4;5`9~wd?42908w0o82;643>;f?90?5l52a61900g<uzk287>53z?b33<3?>16m::548c89d102=?j7p}n9483>6}:i>k18:94=`5;>1?f34k<n7::a:pe<0=839p1l9j:554?8g0k3>2m63n7g871d=z{h3<6=4<{<c;6?20?27j4=4;9`9>e=5=<<k0q~o68;297~;f0?0?;:52a9690<g<5h2<69;n;|qb=<<72:q6m5o546589d>?2=3j70o7b;66e>{ti1n1<7=t=`7a>11034k>57:6a:?b1f<3=h1vl6j:1808g2n3><;63n5e87=d=:i?:188o4}rc;b?6=;r7j:>4;769>e37=<0k01l8;:57b?xuf190;6?u2a749021<5h<<69;n;|q;`7<72;q64im5e49><ac=<>=0q~6la;297~;?lo0j8637e38b0>;?lk0?9o5rs9f0>5<5s42n<7k:;<:f6?20?2wx4nl50;1x9=c42h>015k9:`689=ba2=?i7p}7d583>7}:0l>1i8528d49021<uz2ho7>53z?;a2<f<273il4n4:?;a6<3=k1v5j::1818>b03o>706ja;643>{t0jn1<7=t=9ga>d2<51on6l:4=9g4>13e3ty3h;4?:3y><`e=m<164hk54658yv>dm3:1?v37eg8b0>;?n;0j8637ec871g=z{1n<6=4={<:e4?c2342m>7:87:p<f`=839p15h<:`689=`12h>015ki:57a?xu?l10;6?u28g69a0=:0o<18:94}r:g4?6=;r73j:4n4:?;bd<f<273j>4;5c9~w=b>2909w06i8;g6?8>ai3><;6s|8e394?4|51lo6l:4=9d4>13e3ty3hl4?:3y><cd=m<164kj54658yv>am3:1?v37de8732=:0mh184o4=9ff>13f3ty3jk4?:2y><`7=<>=015ji:5;b?8>b:3>>m6s|91294?5|51o>6998;<:f7?2>i273i;4;5`9~w<662908w06j9;643>;?m>0?5l528dc900g<uz3;>7>53z?;aa<3?>164hl548c89=cb2=?j7p}60283>6}:0o;18:94=9ge>1?f342m>7::a:p=52=839p15h::554?8>a;3>2m637f7871d=z{0:>6=4<{<:e=?20?273j:4;9`9><cg=<<k0q~7?6;296~;?nj0?;:528gf900g<uz3i?7>52z?:f1<3?>165i7530:8yv?e<3:1>v36e18732=:i991?<94}r;f4?6=:r72io4;769>e55=;8<0q~7jb;296~;>n<0?;:52a119743<uz3m97>52z?b46<3?>165ij53068yvg7;3:1>v3n0d8732=:i;l1?<94}rc3a?6=:r7j=44;769>e7`=;8<0q~o>9;296~;f:=0?;:52a3d9743<uzk987>52z?b6c<3?>165ij53078yvg5n3:1>v36b48732=:1m<1?<94}r;a1?6=:r72o=4;769>=a0=;8<0q~7l0;296~;>kk0?;:529e49743<uz3hn7>52z?:`3<3?>165ij53048yv?c>3:1>v36d`8732=:1mi1?<:4}r;ge?6=:r72ho4;769>=ae=;830q~7kb;296~;>lj0?;:529ef974?<uz3oo7>52z?:`a<3?>16m<;53048yv?cl3:1>v36dd8732=:1l81?<94}r;ga?6=:r72hk4;769>=`4=;8<0q~7kf;296~;>m80?;:529d09743<uz3n=7>52z?:a7<3?>165hh53068yv?b:3:1>v36e28732=:1l<1?<94}r;f7?6=:r72i94;769>=`0=;8<0q~7j4;296~;>m<0?;:529d49743<uz3n97>52z?:a3<3?>165hh53078yv?b>3:1>v36e68732=:1lk1?<94}r;f3?6=:r72i54;769>=`g=;8<0q~7j8;296~;>m00?;:529dc9743<uz3n57>52z?:ad<3?>165hh53048yv?bi3:1>v36eb8732=:1lo1?<:4}r;fg?6=:r72ii4;769>=`c=;830q~7jd;296~;>ml0?;:529dd974?<uz3ni7>52z?:ac<3?>16m<;530;8yv?bn3:1>v36f18732=:1o91?<94}r;e4?6=:r72j<4;769>=c5=;8<0q~7i1;296~;>n;0?;:529g19743<uz3m>7>52z?:b6<3?>165k753068yv?a<3:1>v36f88050=:1o<18:94}r;e2?6=:r72j44;769>e43=;8>0q~7i9;296~;>nh0?;:529gf9741<uz3mm7>52z?:bg<3?>165kj53048yv?aj3:1>v36fb8732=:1on1?<;4}r;eg?6=:r72ji4;769>e54=;8>0q~7ie;296~;f8;08=8529gd9021<uz3mj7>52z?b47<3?>16m<;53078yvg7:3:1>v3n058732=:i9=1?<94}rc30?6=:r7j<84;769>e51=;8<0q~o?5;296~;f8?0?;:52a159743<uzk;:7>52z?b42<3?>16m=l53068yvg7?3:1>v3n098732=:i9k1?<74}rc3<?6=:r7j<44;769>e5g=;820q~o?9;296~;f8k08=852a1c9021<uzk;m7>52z?b4g<3?>16m<;53058yvg7j3:1>v3n0b8732=:i8:1?<94}rc3g?6=:r7j<i4;769>e46=;8<0q~o?d;296~;f8o0?;:52a029743<uzk;j7>52z?b55<3?>16m<:53068yvg683:1>v3n108732=:i891?<74}rc25?6=:r7j=?4;769>e45=;820q~o>2;296~;f9=08=852a019021<uzk:?7>52z?b51<3?>16m<;530:8yvg6<3:1>v3n148732=:1m31?<84}rc21?6=:r7j=;4;769>e4g=;8=0q~o>6;296~;f9>0?;:52a0c9740<uzk:;7>52z?b5=<3?>16m<o53078yvg603:1>v3n1`8732=:i;=1?<:4}rc2e?6=:r7j=o4;769>e4c=;8=0q~o>b;296~;f9j0?;:52a0g9740<uzk:o7>52z?b5a<3?>16m<k53078yvg6l3:1>v3n1d8732=:i;=1?<;4}rc2a?6=:r7j=k4;769>e74=;8=0q~o>f;296~;f:90?;:52a309740<uzk9<7>52z?b64<3?>16m?<53078yvg593:1>v3n238732=:i;=1?<84}rc16?6=:r7j>>4;769>e70=;8>0q~o=3;296~;f:<0?;:52a34974?<uzk997>52z?b63<3?>16m?9530;8yvg5>3:1>v3n268732=:1m21?<84}rc13?6=:r7j>54;769>e7d=;8=0q~o=8;296~;f:00?;:52a3`9740<uzk957>52z?b6d<3?>16m?l53078yvg5i3:1>v3n2c8732=:i:21?<:4}rc1f?6=:r7j>n4;769>e66=;8=0q~o=c;296~;f:m0?;:52a229740<uzk9h7>52z?b6`<3?>16m>>53078yvg5m3:1>v3n318732=:i:21?<;4}rc04?6=:r7j?<4;769>e62=;8=0q~o<1;296~;f;;0?;:52a269740<uzk8>7>52z?b76<3?>16m>:53078yvg4;3:1>v3n358732=:i:21?<84}rc00?6=:r7j?84;769>e61=;8>0q~o<5;296~;f;?0?;:52a25974?<uzk8:7>52z?b72<3?>16m>6530;8yvg4?3:1>v3n398732=:1m21?<74}rc0<?6=:r7j?44;769>=g>=;8=0q~o<9;296~;>j?0?;:529c:9740<uz3i:7>52z?:f2<3?>165o653078yv?e?3:1>v36b98732=:1ki1?<:4}r;a<?6=:r72n44;769>=gd=;830q~7m9;296~;>jh0?;:529c`974><uz3im7>52z?:ff<49<165ol54658yv?ej3:1>v36bb8732=:1m21?<:4}r;ag?6=:r72ni4;769>=f7=;8=0q~7md;296~;>jl0?;:529b39740<uz3ii7>52z?:fc<3?>165n?53078yv?en3:1>v36c08732=:1j?1?<:4}r;`5?6=:r72o?4;769>=f2=;830q~7l2;296~;>k:0?;:529b6974><uz3h?7>52z?:g0<49<165n:54658yv?d<3:1>v36c48732=:1m21?<;4}r;`1?6=:r72o;4;769>=f?=;8=0q~7l6;296~;>k>0?;:529b;9740<uz3h;7>52z?:g=<3?>165n753078yv?d03:1>v36c88732=:1jo1?<:4}r;`=?6=:r72ol4;769>=fb=;830q~7la;296~;>kj0?;:529bf974><uz3ho7>52z?:g`<49<165nj54658yv?dl3:1>v36cd8732=:1m21?<94}r;`a?6=:r72ok4;769>=a4=;8=0q~7lf;296~;>l90?;:529e09740<uz3o<7>52z?:`4<3?>165i<53078yv?c93:1>v36d38732=:1m=1?<:4}r;g6?6=:r72h>4;769>=a3=;830q~7k3;296~;>l=0?;:529e7974><uz3o87>52z?:`2<49<165i;54658yv?c=3:1>v36d68732=:1m21?<64}r;g3?6=:r72h54;769>=a?=;8=0q~jj8;290~;cm00?;:52dg6974?<5ml>6>?:;<fe2?5612wxhk650;0x9a`>2==<70ji7;122>{tlo31<7<t=ed`>11034nm97=>4:p`ce=838p1ihk:554?8bam39::6s|dgf94?4|5mln6998;<fe1?56>2wxhkh50;1x9acf2==<70ji4;12<>;cn?08=55rsegb>5<5s4nnh7:87:?gb6<49=1vikk:1818bbm3><;63kf28050=z{mom6=4={<fe7?56?27oj=4;769~wa`72909w0ji3;643>;cn>08=85rseg6>5<5jmkp1ik;:d78946a>3><563j70870>;b?80?963j70872>;b>j0?863j6b871>;b>j0?:63:c5877>;2k=0?863:c5871>;1i;0??639a3870>;1i;0?963829877>;0:10?863829871>;0;l0??6383d870>;0;l0?963855877>;0==0?863855871>;0>h0??6386`870>;0>h0?963881877>;0090?863881871>;01?0??63897870>;01?0?9638ab877>;0ij0?8638ab871>;0k;0??638c3870>;0k;0?963:d`877>;2lh0?863:d`871>;2n90??63:f1870>;2n90?963907877>;18?0?863907871>;19j0??6391b870>;19j0?963933877>;1;;0?863933871>;1<10??63949870>;1<10?96395d877>;1=l0?86395d871>;1?=0??63975870>;1?=0?96398`877>;10h0?86398`871>;1i90??639a1870>;1i90?9639b9877>;1j10?8639b9871>;1kl0??639cd870>;1kl0?9639e5877>;1m=0?8639e5871>;1nh0??639f`870>;1nh0?963811877>;0990?863811871>;09l0??6381d870>;09l0?963821877>;0:90?863821871>;0:;0??63823870>;0:;0?963825877>;0:=0?863825871>;0:?0??63827870>;0:?0?96382`877>;0:h0?86382`871>;0:j0??6382b870>;0:j0?96382d877>;0:l0?86382d871>;0;90??63831870>;0;90?963833877>;0;;0?863833871>;0;=0??63835870>;0;=0?963837877>;0;?0?863837871>;0;10??63839870>;0;10?96383`877>;0;h0?86383`871>;0;j0??6383b870>;0;j0?963841877>;0<90?863841871>;0<;0??63843870>;0<;0?963845877>;0<=0?863845871>;0<?0??63847870>;0<?0?963849877>;0<10?863849871>;0<h0??6384`870>;0<h0?96384b877>;0<j0?86384b871>;0<l0??6384d870>;0<l0?963851877>;0=90?863851871>;0=;0??63853870>;0=;0?963857877>;0=?0?863857871>;0=10??63859870>;0=10?96385`877>;0=h0?86385`871>;0=j0??6385b870>;0=j0?96385d877>;0=l0?86385d871>;0>90??63861870>;0>90?963863877>;0>;0?863863871>;0>=0??63865870>;0>=0?963867877>;0>?0?863867871>;0>10??63869870>;0>10?96386b877>;0>j0?86386b871>;0>l0??6386d870>;0>l0?963871877>;0?90?863871871>;0?;0??63873870>;0?;0?963875877>;0?=0?863875871>;0??0??63877870>;0??0?963879877>;0?10?863879871>;0?h0??6387`870>;0?h0?96387b877>;0?j0?86387b871>;0?l0??6387d870>;0?l0?963883877>;00;0?863883871>;00=0??63885870>;00=0?963887877>;00?0?863887871>;0010??63889870>;0010?96388`877>;00h0?86388`871>;00j0??6388b870>;00j0?96388d877>;00l0?86388d871>;0190??63891870>;0190?963893877>;01;0?863893871>;01=0??63895870>;01=0?963899877>;0110?863899871>;01h0??6389`870>;01h0?96389b877>;01j0?86389b871>;01l0??6389d870>;01l0?9638a1877>;0i90?8638a1871>;0i;0??638a3870>;0i;0?9638a5877>;0i=0?8638a5871>;0i?0??638a7870>;0i?0?9638a9877>;0i10?8638a9871>;0ih0??638a`870>;0ih0?9638ad877>;0il0?8638ad871>;0j90??638b1870>;0j90?9638b3877>;0j;0?8638b3871>;0j=0??638b5870>;0j=0?9638b7877>;0j?0?8638b7871>;0j10??638b9870>;0j10?9638b`877>;0jh0?8638b`871>;0jj0??638bb870>;0jj0?9638bd877>;0jl0?8638bd871>;0k90??638c1870>;0k90?963:c7877>;2k?0?863:c7871>;2k10??63:c9870>;2k10?963:c`877>;2kh0?863:c`871>;2kj0??63:cb870>;2kj0?963:cd877>;2kl0?863:cd871>;2l90??63:d1870>;2l90?963:d3877>;2l;0?863:d3871>;2l=0??63:d5870>;2l=0?963:d7877>;2l?0?863:d7871>;2l10??63:d9870>;2l10?963:db877>;2lj0?863:db871>;2ll0??63:dd870>;2ll0?963:e1877>;2m90?863:e1871>;2m;0??63:e3870>;2m;0?963:e5877>;2m=0?863:e5871>;2m?0??63:e7870>;2m?0?963:e9877>;2m10?863:e9871>;2mh0??63:e`870>;2mh0?963:eb877>;2mj0?863:eb871>;2ml0??63:ed870>;2ml0?963:f3877>;2n;0?863:f3871>;2n=0??63:f5870>;2n=0?963:f7877>;2n?0?863:f7871>;2n10??63:f9870>;2n10?963:f`877>;2nh0?863:f`871>;2nj0??63:fb870>;2nj0?963:fd877>;2nl0?863:fd871>;1890??63901870>;1890?963903877>;18;0?863903871>;18=0??63905870>;18=0?963909877>;1810?863909871>;18h0??6390`870>;18h0?96390b877>;18j0?86390b871>;18l0??6390d870>;18l0?963911877>;1990?863911871>;19;0??63913870>;19;0?963915877>;19=0?863915871>;19?0??63917870>;19?0?963919877>;1910?863919871>;19h0??6391`870>;19h0?96391d877>;19l0?86391d871>;1:90??63921870>;1:90?963923877>;1:;0?863923871>;1:=0??63925870>;1:=0?963927877>;1:?0?863927871>;1:10??63929870>;1:10?96392`877>;1:h0?86392`871>;1:j0??6392b870>;1:j0?96392d877>;1:l0?86392d871>;1;90??63931870>;1;90?963935877>;1;=0?863935871>;1;?0??63937870>;1;?0?963939877>;1;10?863939871>;1;h0??6393`870>;1;h0?96393b877>;1;j0?86393b871>;1;l0??6393d870>;1;l0?963941877>;1<90?863941871>;1<;0??63943870>;1<;0?963945877>;1<=0?863945871>;1<?0??63947870>;1<?0?96394`877>;1<h0?86394`871>;1<j0??6394b870>;1<j0?96394d877>;1<l0?86394d871>;1=90??63951870>;1=90?963953877>;1=;0?863953871>;1==0??63955870>;1==0?963957877>;1=?0?863957871>;1=10??63959870>;1=10?96395`877>;1=h0?86395`871>;1=j0??6395b870>;1=j0?963961877>;1>90?863961871>;1>;0??63963870>;1>;0?963965877>;1>=0?863965871>;1>?0??63967870>;1>?0?963969877>;1>10?863969871>;1>h0??6396`870>;1>h0?96396b877>;1>j0?86396b871>;1>l0??6396d870>;1>l0?963971877>;1?90?863971871>;1?;0??63973870>;1?;0?963977877>;1??0?863977871>;1?10??63979870>;1?10?96397`877>;1?h0?86397`871>;1?j0??6397b870>;1?j0?96397d877>;1?l0?86397d871>;1090??63981870>;1090?963983877>;10;0?863983871>;10=0??63985870>;10=0?963987877>;10?0?863987871>;1010??63989870>;1010?96398b877>;10j0?86398b871>;10l0??6398d870>;10l0?963991877>;1190?863991871>;11;0??63993870>;11;0?963995877>;11=0?863995871>;11?0??63997870>;11?0?963999877>;1110?863999871>;11h0??6399`870>;11h0?96399b877>;11j0?86399b871>;11l0??6399d870>;11l0?9639a5877>;1i=0?8639a5871>;1i?0??639a7870>;1i?0?9639a9877>;1i10?8639a9871>;1ih0??639a`870>;1ih0?9639ab877>;1ij0?8639ab871>;1il0??639ad870>;1il0?9639b1877>;1j90?8639b1871>;1j;0??639b3870>;1j;0?9639b5877>;1j=0?8639b5871>;1j?0??639b7870>;1j?0?9639b`877>;1jh0?8639b`871>;1jj0??639bb870>;1jj0?9639bd877>;1jl0?8639bd871>;1k90??639c1870>;1k90?9639c3877>;1k;0?8639c3871>;1k=0??639c5870>;1k=0?9639c7877>;1k?0?8639c7871>;1k10??639c9870>;1k10?9639c`877>;1kh0?8639c`871>;1kj0??639cb870>;1kj0?9639d1877>;1l90?8639d1871>;1l;0??639d3870>;1l;0?9639d5877>;1l=0?8639d5871>;1l?0??639d7870>;1l?0?9639d9877>;1l10?8639d9871>;1lh0??639d`870>;1lh0?9639db877>;1lj0?8639db871>;1ll0??639dd870>;1ll0?9639e1877>;1m90?8639e1871>;1m;0??639e3870>;1m;0?9639e7877>;1m?0?8639e7871>;1m10??639e9870>;1m10?9639e`877>;1mh0?8639e`871>;1mj0??639eb870>;1mj0?9639ed877>;1ml0?8639ed871>;1n90??639f1870>;1n90?9639f3877>;1n;0?8639f3871>;1n=0??639f5870>;1n=0?9639f7877>;1n?0?8639f7871>;1n10??639f9870>;1n10?9639fb877>;1nj0?8639fb871>;1nl0??639fd870>;1nl0?963801877>;0890?863801871>;08;0??63803870>;08;0?963805877>;08=0?863805871>;08?0??63807870>;08?0?963809877>;0810?863809871>;08h0??6380`870>;08h0?96380b877>;08j0?86380b871>;08l0??6380d870>;08l0?963813877>;09;0?863813871>;09=0??63815870>;09=0?963817877>;09?0?863817871>;0910??63819870>;0910?96381`877>;09h0?86381`871>;09j0??6381b870>;09j0?963j8`8042=:m1k1?=?4=d:b>67734o3m7=?b:?ga4<f:27oi?4n2:?ggf<f:27ook4j5:?f0c<f:27oh54n2:?f47<f:27n<>4n2:?f07<f:27n?k4n2:?f7g<f:27n?:4n2:?f76<f:27n>k4n2:?f6g<f:27n>:4n2:?f66<f:27n=k4n2:?f5`<f<27n><4n4:?g<g<49?16h:k5a39>`2`=i;16h;l5a39>`3e=i;16h;j5a39>`3c=i;16o?>5429>g76=<=16o?>5449>g76=<?16on85a59>gf?=i=16o;85a59>g3?=i=16m8;5a59>e0>=i=164il5a59><ac=i=16i=85e49>=47=:88014?>:333?8?6938;j63610814`=:18;1>=j4=832>76d343:=7<>b:?:54<59h165<?520;89<762;;3707>1;023>;>9809=;529039643<50;:6??;;<;25?46;272=<4=0c9>=47=:=>014?>:361?8?6938?=636108105=:18;1>>h4=832>75b343:=7<;d:?:54<5<j165<?525`89<762;>j707>1;07=>;>980985529039611<50;:6?:9;<;25?43=272=<4=549>=47=:<9014?>:37g?8?6938>n6361081`g=:18;185h4=832>1?7343:?7?ja:?:56<30l165<=59c9>=45=:mk014?<:8c89<7420n014?<:8a89<742=3;707>3;066>;>9:099=52901961`<50;86?:j;<;27?44=272=>4=329>=45=::;014?<:313?8?6;389j63612816`=:1891>?j4=830>74d343:?7<=b:?:56<5:h165<=523;89<742;83707>3;012>;>9:09>8529019672<50;86?<<;<;27?45:272=>4=209>=45=:;:014?<:33e?8?6;38:i63612815a=:1891>>m4=830>75e343:?7<<a:?:56<5;0165<=522:89<742;9<707>3;002>;>9:09??52901960g<50;86?;7;<;27?42?272=>4=579>=45==?165<=54g9>=45=?0165<=5739>=45=:99014?<:322?8?6;3;mj6361282b`=:1891=kj4=830>4`d343:?7?ib:?:56<6nh165<=51g;89<7428l3707>3;3e3>;>9:0:j;5290195c2<50;86<h<;<;27?7a:272=>4>f09>=45=9o:014?<:0ge?8?6;3;ni6361282aa=:1891=hm4=830>4ce343:?7<?a:?:56<580165<=521:89<742;:<707>3;032>;>9:09<8529019652<50;86?>?;<;27?7a=272?l4>e`9>=6g=<1o014=n:8`89<5f2;nj707<a;;b?8?4i33o707<a;;`?8?4i3>2<6363`8117=:1:k1>8>4=81b>72a3438m7<;e:?:7d<5;<165>o522189<5f2;9:707<a;004>;>;h09>k5292c967c<509j6?<k;<;0e?45k272?l4=2c9>=6g=:;k014=n:30:?8?4i38946363`8163=:1:k1>?;4=81b>7433438m7<=3:?:7d<5:;165>o523389<5f2;8;707<a;02b>;>;h09=h5292c964b<509j6?=l;<;0e?44j272?l4=3`9>=6g=::3014=n:31;?8?4i388;6363`8173=:1:k1>><4=81b>73f3438m7<:8:?:7d<5=>165>o524489<5f2<<014=n:5d89<5f2>3014=n:6089<5f2;:8707<a;035>;>;h0:jk5292c95cc<509j6<hk;<;0e?7ak272?l4>fc9>=6g=9ok014=n:0d:?8?4i3;m46363`82b2=:1:k1=k84=81b>4`33438m7?i3:?:7d<6n;165>o51g389<5f28l;707<a;3fb>;>;h0:ih5292c95`b<509j6<kl;<;0e?7bj272?l4=0`9>=6g=:93014=n:32;?8?4i38;;6363`8143=:1:k1>=;4=81b>7633438m7<?0:?:7d<6n<1659;51dc89<222=2n707;5;;a?8?3=38om636448:e>;><<02h636448:g>;><<0?5=529579604<50>>6?;?;<;71?43n272884=4d9>=13=::?014:::310?8?3=388=636448175=:1=?1>?h4=866>74b343?97<=d:?:00<5:j1659;523`89<222;8j707;5;01=>;><<09>5529579670<50>>6?<:;<;71?45<272884=229>=13=:;8014:::302?8?3=389<63644815c=:1=?1><k4=866>77c343?97<<c:?:00<5;k1659;522c89<222;92707;5;00<>;><<09?:529579660<50>>6?==;<;71?42i272884=599>=13=:<=014:::375?8?3=3?=707;5;6e?8?3=3=2707;5;51?8?3=38;?636448144=:1=?1=kh4=866>4`b343?97?id:?:00<6nj1659;51g`89<2228lj707;5;3e=>;><<0:j55295795c1<50>>6<h9;<;71?7a<272884>f29>=13=9o8014:::0d2?8?3=3;m<6364482ac=:1=?1=hk4=866>4cc343?97?jc:?:00<6mk1659;521c89<222;:2707;5;03<>;><<09<:529579650<50>>6?>:;<;71?47<272884=019>=13=9o?014;?:0gb?8?283>3i636518:f>;>=909hl529429=d=:1<:15i529429=f=:1<:184>4=873>735343><7<:0:?:15<5<o1658>525g89<372;9>707:0;007>;>=909?<529429666<50?;6?<i;<;64?45m2729=4=2e9>=06=:;i014;?:30a?8?28389m63651816<=:1<:1>?64=873>741343><7<=5:?:15<5:=1658>523189<372;89707:0;015>;>=909>=52942964`<50?;6??j;<;64?46l2729=4=3b9>=06=::h014;?:31b?8?28388563651817==:1<:1>>94=873>751343><7<<2:?:15<5=h1658>524:89<372;?<707:0;062>;>=90>:6365187b>;>=90<563651846>;>=909<>529429657<50?;6<hi;<;64?7am2729=4>fe9>=06=9oi014;?:0da?8?283;mm6365182b<=:1<:1=k64=873>4`0343><7?i6:?:15<6n=1658>51g189<3728l9707:0;3e5>;>=90:j=5294295``<50?;6<kj;<;64?7bl2729=4>eb9>=06=9lh014;?:32b?8?2838;563651814==:1<:1>=94=873>761343><7<?5:?:15<58=1658>521289<3728l>707:b;3fe>;>=k0?4h5294`9=g=:1<h1>io4=87a><g<50?i64j4=87a><e<50?i697?;<;6f?42:2729o4=519>=0d=:=l014;m:36f?8?2j38896365c8176=:1<h1>>?4=87a>757343>n7<=f:?:1g<5:l1658l523f89<3e2;8h707:b;01f>;>=k09>l5294`967?<50?i6?<7;<;6f?45>2729o4=249>=0d=:;>014;m:300?8?2j389>6365c8164=:1<h1>?>4=87a>77a343>n7<>e:?:1g<59m1658l522a89<3e2;9i707:b;00e>;>=k09?45294`966><50?i6?=8;<;6f?44>2729o4=339>=0d=:<k014;m:37;?8?2j38>;6365c8113=:1<h19;5294`90c=:1<h1;45294`937=:1<h1>==4=87a>766343>n7?if:?:1g<6nl1658l51gf89<3e28lh707:b;3ef>;>=k0:jl5294`95c?<50?i6<h7;<;6f?7a?2729o4>f79>=0d=9o>014;m:0d0?8?2j3;m>6365c82b4=:1<h1=k>4=87a>4ca343>n7?je:?:1g<6mm1658l51da89<3e28oi707:b;03e>;>=k09<45294`965><50?i6?>8;<;6f?47>2729o4=049>=0d=:9>014;m:323?8?2j3;m96366782ad=:1?<185k4=845><d<50<=6?jn;<;52??f343=:77k;<;52??d343=:7:60:?:23<5=;165;8524289<012;>m70796;07a>;>>?09?8529749665<50<=6?=>;<;52?448272:;4=2g9>=30=:;o01489:30g?8?1>389o63667816g=:1?<1>?o4=845>74>343=:7<=8:?:23<5:?165;8523789<012;8?70796;017>;>>?09>?529749677<50<=6?<?;<;52?46n272:;4=1d9>=30=:8n01489:31`?8?1>388n63667817d=:1?<1>>74=845>75?343=:7<<7:?:23<5;?165;8522089<012;?j70796;06<>;>>?099:529749600<50<=6884=845>1`<50<=6:74=845>24<50<=6?><;<;52?479272:;4>fg9>=30=9oo01489:0dg?8?1>3;mo6366782bg=:1?<1=ko4=845>4`>343=:7?i8:?:23<6n>165;851g489<0128l?70796;3e7>;>>?0:j?5297495c7<50<=6<h?;<;52?7bn272:;4>ed9>=30=9ln01489:0g`?8?1>3;nn63667814d=:1?<1>=74=845>76?343=:7<?7:?:23<58?165;8521789<012;:?70796;034>;>>?0:j85296395`g<50=:696j;<;45??e343<=7<ka:?:34<>i272;<46d:?:34<>k272;<4;919>=27=:<80149>:373?8?0938?j63670810`=:1>;1>>;4=852>754343<=7<<1:?:34<5;9165:?523d89<162;8n70781;01`>;>?809>n52963967d<50=:6?<n;<;45?451272;<4=299>=27=:;<0149>:306?8?093898636708166=:1>;1>?<4=852>746343<=7<=0:?:34<59o165:?520g89<162;;o70781;00g>;>?809?o52963966g<50=:6?=6;<;45?440272;<4=369>=27=::<0149>:311?8?0938>m63670811==:1>;1>894=852>731343<=7;9;<;45?2a343<=796;<;45?15343<=7<?3:?:34<588165:?51gd89<1628ln70781;3e`>;>?80:jn5296395cd<50=:6<hn;<;45?7a1272;<4>f99>=27=9o=0149>:0d5?8?093;m86367082b6=:1>;1=k<4=852>4`6343<=7?i0:?:34<6mo165:?51dg89<1628oo70781;3fg>;>?80:io52963965g<50=:6?>6;<;45?470272;<4=069>=27=:9<0149>:326?8?0938;8636708145=:1>;1=k;4=85`>4cf343<o7:7e:?:3f<>j272;n4=d`9>=2e=1h165:m59e9>=2e=1j165:m548289<1d2;?97078c;064>;>?j098k5296a961c<50=h6?=:;<;4g?44;272;n4=309>=2e=:::0149l:30e?8?0k389i6367b816a=:1>i1>?m4=85`>74e343<o7<=a:?:3f<5:0165:m523:89<1d2;8=7078c;011>;>?j09>95296a9675<50=h6?<=;<;4g?459272;n4=219>=2e=:8l0149l:33f?8?0k38:h6367b817f=:1>i1>>l4=85`>75f343<o7<<9:?:3f<5;1165:m522589<1d2;9=7078c;006>;>?j099l5296a960><50=h6?;8;<;4g?42>272;n4:6:?:3f<3n272;n489:?:3f<0:272;n4=029>=2e=:9;0149l:0de?8?0k3;mi6367b82ba=:1>i1=km4=85`>4`e343<o7?ia:?:3f<6n0165:m51g:89<1d28l<7078c;3e2>;>?j0:j95296a95c5<50=h6<h=;<;4g?7a9272;n4>f19>=2e=9ll0149l:0gf?8?0k3;nh6367b82af=:1>i1=hl4=85`>76f343<o7<?9:?:3f<581165:m521589<1d2;:=7078c;031>;>?j09<95296a9656<50=h6<h:;<;;3?7bi2724:4;8d9>==1=1k1655952ec89<>020k01468:8f89<>020i01468:5;3?8???38>>636868115=:11=1>9h4=8:4>72b3433;7<<5:?:<2<5;:16559522389<>02;9;70777;01b>;>0>09>h52995967b<502<6?<l;<;;3?45j2724:4=2`9>==1=:;301468:30;?8???389:636868160=:11=1>?:4=8:4>7443433;7<=2:?:<2<5:816559523289<>02;;m70777;02a>;>0>09=i52995966e<502<6?=m;<;;3?44i2724:4=389>==1=::201468:314?8???388:636868177=:11=1>8o4=8:4>73?3433;7<:7:?:<2<5=?165595579>==1=<o165595789>==1=?;16559521189<>02;::70777;3eb>;>0>0:jh5299595cb<502<6<hl;<;;3?7aj2724:4>f`9>==1=9o301468:0d;?8???3;m;6368682b3=:11=1=k:4=8:4>4`43433;7?i2:?:<2<6n81655951g289<>028om70777;3fa>;>0>0:ii5299595`e<502<6<km;<;;3?47i2724:4=089>==1=:9201468:324?8???38;:636868140=:11=1>=:4=8:4>7673433;7?i5:?:=7<6mh1654<549g89<?520h0147=:3fb?8?>:33j70762;;g?8?>:33h70762;6:4>;>1;099?529809606<50396?:i;<;:6?43m2725?4=349>=<4=::90147=:312?8?>:388<63693816c=:1081>?k4=8;1>74c3432>7<=c:?:=7<5:k1654<523c89<?52;8270762;01<>;>1;09>;529809673<50396?<;;<;:6?45;2725?4=239>=<4=:;;0147=:303?8?>:38:j63693815`=:1081><j4=8;1>75d3432>7<<b:?:=7<5;h1654<522;89<?52;9370762;003>;>1;09?;529809664<50396?;n;<;:6?4202725?4=569>=<4=:<<0147=:4489<?52=l0147=:6;89<?52>80147=:320?8?>:38;=6369382bc=:1081=kk4=8;1>4`c3432>7?ic:?:=7<6nk1654<51gc89<?528l270762;3e<>;>1;0:j:5298095c0<50396<h;;<;:6?7a;2725?4>f39>=<4=9o;0147=:0d3?8?>:3;nj6369382a`=:1081=hj4=8;1>4cd3432>7?jb:?:=7<58h1654<521;89<?52;:370762;033>;>1;09<;529809653<50396?>;;<;:6?4782725?4>f49>=4c=9lk014?j:5:f?8?6m33i707>e;0ge>;>9l02m6361d8:`>;>9l02o6361d87=5=:18o1>8<4=83f>737343:i7<;f:?:5`<5<l165<k522789<7b2;98707>e;005>;>9l09?=5290g967`<50;n6?<j;<;2a?45l272=h4=2b9>=4c=:;h014?j:30b?8?6m38956361d816==:18o1>?84=83f>742343:i7<=4:?:5`<5::165<k523089<7b2;8:707>e;014>;>9l09=k5290g964c<50;n6??k;<;2a?44k272=h4=3c9>=4c=::k014?j:31:?8?6m38846361d8172=:18o1>>84=83f>755343:i7<:a:?:5`<5=1165<k524589<7b2;?=707>e;75?8?6m3>m707>e;5:?8?6m3=9707>e;037>;>9l09<<5290g95c`<50;n6<hj;<;2a?7al272=h4>fb9>=4c=9oh014?j:0db?8?6m3;m56361d82b==:18o1=k94=83f>4`1343:i7?i4:?:5`<6n:165<k51g089<7b28l:707>e;3e4>;>9l0:ik5290g95`c<50;n6<kk;<;2a?7bk272=h4>ec9>=4c=:9k014?j:32:?8?6m38;46361d8142=:18o1>=84=83f>762343:i7<?4:?:5`<589165<k51g789<4>28oj707=9;6;a>;>:002n6362881`d=:1;315l5293;9=a=:1;315n5293;90<6<50826?;=;<;1=?428272>44=4g9>=7?=:=o014<6:316?8?51388?636288174=:1;31>>>4=80:>74a343957<=e:?:6<<5:m165?7523a89<4>2;8i707=9;01e>;>:009>45293;967><50826?<9;<;1=?45=272>44=259>=7?=:;9014<6:301?8?51389=636288165=:1;31><h4=80:>77b343957<>d:?:6<<5;j165?7522`89<4>2;9j707=9;00=>;>:009?55293;9661<50826?=9;<;1=?44:272>44=5`9>=7?=:<2014<6:374?8?5138>:63628862>;>:00?j6362884=>;>:00<>636288146=:1;31>=?4=80:>4`a343957?ie:?:6<<6nm165?751ga89<4>28li707=9;3ee>;>:00:j45293;95c><50826<h8;<;1=?7a>272>44>f59>=7?=9o9014<6:0d1?8?513;m=6362882b5=:1;31=hh4=80:>4cb343957?jd:?:6<<6mj165?751d`89<4>2;:j707=9;03=>;>:009<55293;9651<50826?>9;<;1=?47=272>44=059>=7?=:9:014<6:0d6?8?4:3;nm6363387<`=:1:815o5292096ag<509964o4=811><b<509964m4=811>1?73438>7<:2:?:77<5=9165><525d89<552;>n707<2;001>;>;;09?>529209667<50996?=?;<;06?45n272??4=2d9>=64=:;n014==:30`?8?4:389n63633816d=:1:81>?74=811>74?3438>7<=6:?:77<5:<165><523689<552;88707<2;016>;>;;09><529209676<50996??i;<;06?46m272??4=1e9>=64=::i014==:31a?8?4:388m63633817<=:1:81>>64=811>7503438>7<<6:?:77<5;;165><524c89<552;?3707<2;063>;>;;099;52920913=:1:818k5292093<=:1:81;?529209655<50996?>>;<;06?7an272??4>fd9>=64=9on014==:0d`?8?4:3;mn6363382bd=:1:81=k74=811>4`?3438>7?i7:?:77<6n?165><51g689<5528l8707<2;3e6>;>;;0:j<5292095c6<50996<ki;<;06?7bm272??4>ee9>=64=9li014==:0ga?8?4:38;m63633814<=:1:81>=64=811>7603438>7<?6:?:77<58<165><521689<552;:;707<2;3e1>;>;:0:il5292190=c<509864l4=810>7bf3438?77n;<;07??c3438?77l;<;07?2>8272?>4=539>=65=:<:014=<:36e?8?4;38?i636328170=:1:91>>=4=810>7563438?7<<0:?:76<5:o165>=523g89<542;8o707<3;01g>;>;:09>o52921967g<50986?<6;<;07?450272?>4=279>=65=:;?014=<:307?8?4;389?636328167=:1:91>??4=810>7473438?7<>f:?:76<59l165>=520f89<542;9h707<3;00f>;>;:09?l52921966?<50986?=7;<;07?44?272?>4=379>=65=::8014=<:37b?8?4;38>4636328112=:1:91>884=810>00<509869h4=810>2?<50986:<4=810>7643438?7<?1:?:76<6no165>=51gg89<5428lo707<3;3eg>;>;:0:jo5292195cg<50986<h6;<;07?7a0272?>4>f69>=65=9o<014=<:0d7?8?4;3;m?6363282b7=:1:91=k?4=810>4`73438?7?jf:?:76<6ml165>=51df89<5428oh707<3;3ff>;>;:09<l52921965?<50986?>7;<;07?47?272?>4=079>=65=:9?014=<:327?8?4;38;<6363282b0=:1:>1=ho4=817>1>b3438877m;<;00?4ci272?946a:?:71<>l272?946c:?:71<319165>:524089<532;?;707<4;07b>;>;=098h529269663<509?6?=<;<;00?449272?94=319>=62=:;l014=;:30f?8?4<389h63635816f=:1:>1>?l4=817>74f343887<=9:?:71<5:1165>:523489<532;8>707<4;010>;>;=09>>529269674<509?6?<>;<;00?458272?94=1g9>=62=:8o014=;:33g?8?4<388o63635817g=:1:>1>>o4=817>75>343887<<8:?:71<5;>165>:522489<532;99707<4;06e>;>;=0995529269601<509?6?;9;<;00?31343887:i;<;00?1>3438879=;<;00?47;272?94=009>=62=9ol014=;:0df?8?4<3;mh6363582bf=:1:>1=kl4=817>4`f343887?i9:?:71<6n1165>:51g589<5328l=707<4;3e0>;>;=0:j>5292695c4<509?6<h>;<;00?7a8272?94>eg9>=62=9lo014=;:0gg?8?4<3;no6363582ag=:1:>1>=o4=817>76>343887<?8:?:71<58>165>:521489<532;:>707<4;030>;>;=09<=5292695c3<509>6<kn;<;01?2?m272?846b:?:70<5lh165>;59`9>=63=1m165>;59b9>=63=<0:014=::371?8?4=38><63634810c=:1:?1>9k4=816>752343897<<3:?:70<5;8165>;522289<522;8m707<5;01a>;>;<09>i52927967e<509>6?<m;<;01?45i272?84=289>=63=:;2014=::305?8?4=3899636348161=:1:?1>?=4=816>745343897<=1:?:70<5:9165>;520d89<522;;n707<5;02`>;>;<09?n52927966d<509>6?=n;<;01?441272?84=399>=63=::=014=::315?8?4=388>63634811d=:1:?1>864=816>730343897<:6:?:70<2>272?84;f:?:70<01272?8482:?:70<58:165>;521389<5228lm707<5;3ea>;>;<0:ji5292795ce<509>6<hm;<;01?7ai272?84>f89>=63=9o2014=::0d4?8?4=3;m:6363482b1=:1:?1=k=4=816>4`5343897?i1:?:70<6n9165>;51dd89<5228on707<5;3f`>;>;<0:in5292795`d<509>6?>n;<;01?471272?84=099>=63=:9=014=::325?8?4=38;9636348141=:1:?1>=>4=816>4`23438:7?ja:?:73<30l165>859c9>=60=:mk014=9:8c89<5120n014=9:8a89<512=3;707<6;066>;>;?099=52924961`<509=6?:j;<;02?44=272?;4=329>=60=::;014=9:313?8?4>389j63637816`=:1:<1>?j4=815>74d3438:7<=b:?:73<5:h165>8523;89<512;83707<6;012>;>;?09>8529249672<509=6?<<;<;02?45:272?;4=209>=60=:;:014=9:33e?8?4>38:i63637815a=:1:<1>>m4=815>75e3438:7<<a:?:73<5;0165>8522:89<512;9<707<6;002>;>;?09??52924960g<509=6?;7;<;02?42?272?;4=579>=60==?165>854g9>=60=?0165>85739>=60=:99014=9:322?8?4>3;mj6363782b`=:1:<1=kj4=815>4`d3438:7?ib:?:73<6nh165>851g;89<5128l3707<6;3e3>;>;?0:j;5292495c2<509=6<h<;<;02?7a:272?;4>f09>=60=9o:014=9:0ge?8?4>3;ni6363782aa=:1:<1=hm4=815>4ce3438:7<?a:?:73<580165>8521:89<512;:<707<6;032>;>;?09<8529249652<509=6?>?;<;02?7a=272?:4>e`9>=61=<1o014=8:8`89<502;nj707<7;;b?8?4?33o707<7;;`?8?4?3>2<636368117=:1:=1>8>4=814>72a3438;7<;e:?:72<5;<165>9522189<502;9:707<7;004>;>;>09>k52925967c<509<6?<k;<;03?45k272?:4=2c9>=61=:;k014=8:30:?8?4?3894636368163=:1:=1>?;4=814>7433438;7<=3:?:72<5:;165>9523389<502;8;707<7;02b>;>;>09=h52925964b<509<6?=l;<;03?44j272?:4=3`9>=61=::3014=8:31;?8?4?388;636368173=:1:=1>><4=814>73f3438;7<:8:?:72<5=>165>9524489<502<<014=8:5d89<502>3014=8:6089<502;:8707<7;035>;>;>0:jk5292595cc<509<6<hk;<;03?7ak272?:4>fc9>=61=9ok014=8:0d:?8?4?3;m46363682b2=:1:=1=k84=814>4`33438;7?i3:?:72<6n;165>951g389<5028l;707<7;3fb>;>;>0:ih5292595`b<509<6<kl;<;03?7bj272?:4=0`9>=61=:93014=8:32;?8?4?38;;636368143=:1:=1>=;4=814>7633438;7<?0:?:72<6n<165>651dc89<5?2=2n707<8;;a?8?4038om636398:e>;>;102h636398:g>;>;10?5=5292:9604<50936?;?;<;0<?43n272?54=4d9>=6>=::?014=7:310?8?40388=636398175=:1:21>?h4=81;>74b343847<=d:?:7=<5:j165>6523`89<5?2;8j707<8;01=>;>;109>55292:9670<50936?<:;<;0<?45<272?54=229>=6>=:;8014=7:302?8?40389<63639815c=:1:21><k4=81;>77c343847<<c:?:7=<5;k165>6522c89<5?2;92707<8;00<>;>;109?:5292:9660<50936?==;<;0<?42i272?54=599>=6>=:<=014=7:375?8?403?=707<8;6e?8?403=2707<8;51?8?4038;?636398144=:1:21=kh4=81;>4`b343847?id:?:7=<6nj165>651g`89<5?28lj707<8;3e=>;>;10:j55292:95c1<50936<h9;<;0<?7a<272?54>f29>=6>=9o8014=7:0d2?8?403;m<6363982ac=:1:21=hk4=81;>4cc343847?jc:?:7=<6mk165>6521c89<5?2;:2707<8;03<>;>;109<:5292:9650<50936?>:;<;0<?47<272?54=019>=6>=9o?014=6:0gb?8?413>3i636388:f>;>;009hl5292;9=d=:1:315i5292;9=f=:1:3184>4=81:>735343857<:0:?:7<<5<o165>7525g89<5>2;9>707<9;007>;>;009?<5292;9666<50926?<i;<;0=?45m272?44=2e9>=6?=:;i014=6:30a?8?41389m63638816<=:1:31>?64=81:>741343857<=5:?:7<<5:=165>7523189<5>2;89707<9;015>;>;009>=5292;964`<50926??j;<;0=?46l272?44=3b9>=6?=::h014=6:31b?8?41388563638817==:1:31>>94=81:>751343857<<2:?:7<<5=h165>7524:89<5>2;?<707<9;062>;>;00>:6363887b>;>;00<563638846>;>;009<>5292;9657<50926<hi;<;0=?7am272?44>fe9>=6?=9oi014=6:0da?8?413;mm6363882b<=:1:31=k64=81:>4`0343857?i6:?:7<<6n=165>751g189<5>28l9707<9;3e5>;>;00:j=5292;95``<50926<kj;<;0=?7bl272?44>eb9>=6?=9lh014=6:32b?8?4138;563638814==:1:31>=94=81:>761343857<?5:?:7<<58=165>7521289<5>28l>707<b;3fe>;>;k0?4h5292`9=g=:1:h1>io4=81a><g<509i64j4=81a><e<509i697?;<;0f?42:272?o4=519>=6d=:=l014=m:36f?8?4j38896363c8176=:1:h1>>?4=81a>7573438n7<=f:?:7g<5:l165>l523f89<5e2;8h707<b;01f>;>;k09>l5292`967?<509i6?<7;<;0f?45>272?o4=249>=6d=:;>014=m:300?8?4j389>6363c8164=:1:h1>?>4=81a>77a3438n7<>e:?:7g<59m165>l522a89<5e2;9i707<b;00e>;>;k09?45292`966><509i6?=8;<;0f?44>272?o4=339>=6d=:<k014=m:37;?8?4j38>;6363c8113=:1:h19;5292`90c=:1:h1;45292`937=:1:h1>==4=81a>7663438n7?if:?:7g<6nl165>l51gf89<5e28lh707<b;3ef>;>;k0:jl5292`95c?<509i6<h7;<;0f?7a?272?o4>f79>=6d=9o>014=m:0d0?8?4j3;m>6363c82b4=:1:h1=k>4=81a>4ca3438n7?je:?:7g<6mm165>l51da89<5e28oi707<b;03e>;>;k09<45292`965><509i6?>8;<;0f?47>272?o4=049>=6d=:9>014=m:323?8?4j3;m96363b82ad=:1:i185k4=81`><d<509h6?jn;<;0g??f3438o77k;<;0g??d3438o7:60:?:7f<5=;165>m524289<5d2;>m707<c;07a>;>;j09?85292a9665<509h6?=>;<;0g?448272?n4=2g9>=6e=:;o014=l:30g?8?4k389o6363b816g=:1:i1>?o4=81`>74>3438o7<=8:?:7f<5:?165>m523789<5d2;8?707<c;017>;>;j09>?5292a9677<509h6?<?;<;0g?46n272?n4=1d9>=6e=:8n014=l:31`?8?4k388n6363b817d=:1:i1>>74=81`>75?3438o7<<7:?:7f<5;?165>m522089<5d2;?j707<c;06<>;>;j099:5292a9600<509h6884=81`>1`<509h6:74=81`>24<509h6?><;<;0g?479272?n4>fg9>=6e=9oo014=l:0dg?8?4k3;mo6363b82bg=:1:i1=ko4=81`>4`>3438o7?i8:?:7f<6n>165>m51g489<5d28l?707<c;3e7>;>;j0:j?5292a95c7<509h6<h?;<;0g?7bn272?n4>ed9>=6e=9ln014=l:0g`?8?4k3;nn6363b814d=:1:i1>=74=81`>76?3438o7<?7:?:7f<58?165>m521789<5d2;:?707<c;034>;>;j0:j85292f95`g<509o696j;<;0`??e3438h7<ka:?:7a<>i272?i46d:?:7a<>k272?i4;919>=6b=:<8014=k:373?8?4l38?j6363e810`=:1:n1>>;4=81g>7543438h7<<1:?:7a<5;9165>j523d89<5c2;8n707<d;01`>;>;m09>n5292f967d<509o6?<n;<;0`?451272?i4=299>=6b=:;<014=k:306?8?4l38986363e8166=:1:n1>?<4=81g>7463438h7<=0:?:7a<59o165>j520g89<5c2;;o707<d;00g>;>;m09?o5292f966g<509o6?=6;<;0`?440272?i4=369>=6b=::<014=k:311?8?4l38>m6363e811==:1:n1>894=81g>7313438h7;9;<;0`?2a3438h796;<;0`?153438h7<?3:?:7a<588165>j51gd89<5c28ln707<d;3e`>;>;m0:jn5292f95cd<509o6<hn;<;0`?7a1272?i4>f99>=6b=9o=014=k:0d5?8?4l3;m86363e82b6=:1:n1=k<4=81g>4`63438h7?i0:?:7a<6mo165>j51dg89<5c28oo707<d;3fg>;>;m0:io5292f965g<509o6?>6;<;0`?470272?i4=069>=6b=:9<014=k:326?8?4l38;86363e8145=:1:n1=k;4=81f>4cf3438i7:7e:?:7`<>j272?h4=d`9>=6c=1h165>k59e9>=6c=1j165>k548289<5b2;?9707<e;064>;>;l098k5292g961c<509n6?=:;<;0a?44;272?h4=309>=6c=:::014=j:30e?8?4m389i6363d816a=:1:o1>?m4=81f>74e3438i7<=a:?:7`<5:0165>k523:89<5b2;8=707<e;011>;>;l09>95292g9675<509n6?<=;<;0a?459272?h4=219>=6c=:8l014=j:33f?8?4m38:h6363d817f=:1:o1>>l4=81f>75f3438i7<<9:?:7`<5;1165>k522589<5b2;9=707<e;006>;>;l099l5292g960><509n6?;8;<;0a?42>272?h4:6:?:7`<3n272?h489:?:7`<0:272?h4=029>=6c=:9;014=j:0de?8?4m3;mi6363d82ba=:1:o1=km4=81f>4`e3438i7?ia:?:7`<6n0165>k51g:89<5b28l<707<e;3e2>;>;l0:j95292g95c5<509n6<h=;<;0a?7a9272?h4>f19>=6c=9ll014=j:0gf?8?4m3;nh6363d82af=:1:o1=hl4=81f>76f3438i7<?9:?:7`<581165>k521589<5b2;:=707<e;031>;>;l09<95292g9656<509n6<h:;<;0b?7bi272?k4;8d9>=6`=1k165>h52ec89<5a20k014=i:8f89<5a20i014=i:5;3?8?4n38>>6363g8115=:1:l1>9h4=81e>72b3438j7<<5:?:7c<5;:165>h522389<5a2;9;707<f;01b>;>;o09>h5292d967b<509m6?<l;<;0b?45j272?k4=2`9>=6`=:;3014=i:30;?8?4n389:6363g8160=:1:l1>?:4=81e>7443438j7<=2:?:7c<5:8165>h523289<5a2;;m707<f;02a>;>;o09=i5292d966e<509m6?=m;<;0b?44i272?k4=389>=6`=::2014=i:314?8?4n388:6363g8177=:1:l1>8o4=81e>73?3438j7<:7:?:7c<5=?165>h5579>=6`=<o165>h5789>=6`=?;165>h521189<5a2;::707<f;3eb>;>;o0:jh5292d95cb<509m6<hl;<;0b?7aj272?k4>f`9>=6`=9o3014=i:0d;?8?4n3;m;6363g82b3=:1:l1=k:4=81e>4`43438j7?i2:?:7c<6n8165>h51g289<5a28om707<f;3fa>;>;o0:ii5292d95`e<509m6<km;<;0b?47i272?k4=089>=6`=:92014=i:324?8?4n38;:6363g8140=:1:l1>=:4=81e>7673438j7?i5:?:05<6mh1659>549g89<2720h014:?:3fb?8?3833j707;0;;g?8?3833h707;0;6:4>;><9099?529529606<50>;6?:i;<;74?43m2728=4=349>=16=::9014:?:312?8?38388<63641816c=:1=:1>?k4=863>74c343?<7<=c:?:05<5:k1659>523c89<272;82707;0;01<>;><909>;529529673<50>;6?<;;<;74?45;2728=4=239>=16=:;;014:?:303?8?3838:j63641815`=:1=:1><j4=863>75d343?<7<<b:?:05<5;h1659>522;89<272;93707;0;003>;><909?;529529664<50>;6?;n;<;74?4202728=4=569>=16=:<<014:?:4489<272=l014:?:6;89<272>8014:?:320?8?3838;=6364182bc=:1=:1=kk4=863>4`c343?<7?ic:?:05<6nk1659>51gc89<2728l2707;0;3e<>;><90:j:5295295c0<50>;6<h;;<;74?7a;2728=4>f39>=16=9o;014:?:0d3?8?383;nj6364182a`=:1=:1=hj4=863>4cd343?<7?jb:?:05<58h1659>521;89<272;:3707;0;033>;><909<;529529653<50>;6?>;;<;74?4782728=4>f49>=17=9lk014:>:5:f?8?3933i707;1;0ge>;><802m636408:`>;><802o6364087=5=:1=;1>8<4=862>737343?=7<;f:?:04<5<l1659?522789<262;98707;1;005>;><809?=52953967`<50>:6?<j;<;75?45l2728<4=2b9>=17=:;h014:>:30b?8?39389563640816==:1=;1>?84=862>742343?=7<=4:?:04<5::1659?523089<262;8:707;1;014>;><809=k52953964c<50>:6??k;<;75?44k2728<4=3c9>=17=::k014:>:31:?8?393884636408172=:1=;1>>84=862>755343?=7<:a:?:04<5=11659?524589<262;?=707;1;75?8?393>m707;1;5:?8?393=9707;1;037>;><809<<5295395c`<50>:6<hj;<;75?7al2728<4>fb9>=17=9oh014:>:0db?8?393;m56364082b==:1=;1=k94=862>4`1343?=7?i4:?:04<6n:1659?51g089<2628l:707;1;3e4>;><80:ik5295395`c<50>:6<kk;<;75?7bk2728<4>ec9>=17=:9k014:>:32:?8?3938;4636408142=:1=;1>=84=862>762343?=7<?4:?:04<5891659?51g789<2528oj707;2;6;a>;><;02n6364381`d=:1=815l529509=a=:1=815n5295090<6<50>96?;=;<;76?4282728?4=4g9>=14=:=o014:=:316?8?3:388?636438174=:1=81>>>4=861>74a343?>7<=e:?:07<5:m1659<523a89<252;8i707;2;01e>;><;09>452950967><50>96?<9;<;76?45=2728?4=259>=14=:;9014:=:301?8?3:389=636438165=:1=81><h4=861>77b343?>7<>d:?:07<5;j1659<522`89<252;9j707;2;00=>;><;09?5529509661<50>96?=9;<;76?44:2728?4=5`9>=14=:<2014:=:374?8?3:38>:63643862>;><;0?j6364384=>;><;0<>636438146=:1=81>=?4=861>4`a343?>7?ie:?:07<6nm1659<51ga89<2528li707;2;3ee>;><;0:j45295095c><50>96<h8;<;76?7a>2728?4>f59>=14=9o9014:=:0d1?8?3:3;m=6364382b5=:1=81=hh4=861>4cb343?>7?jd:?:07<6mj1659<51d`89<252;:j707;2;03=>;><;09<5529509651<50>96?>9;<;76?47=2728?4=059>=14=:9:014:=:0d6?8?3;3;nm6364287<`=:1=915o5295196ag<50>864o4=860><b<50>864m4=860>1?7343??7<:2:?:06<5=91659=525d89<242;>n707;3;001>;><:09?>529519667<50>86?=?;<;77?45n2728>4=2d9>=15=:;n014:<:30`?8?3;389n63642816d=:1=91>?74=860>74?343??7<=6:?:06<5:<1659=523689<242;88707;3;016>;><:09><529519676<50>86??i;<;77?46m2728>4=1e9>=15=::i014:<:31a?8?3;388m63642817<=:1=91>>64=860>750343??7<<6:?:06<5;;1659=524c89<242;?3707;3;063>;><:099;52951913=:1=918k5295193<=:1=91;?529519655<50>86?>>;<;77?7an2728>4>fd9>=15=9on014:<:0d`?8?3;3;mn6364282bd=:1=91=k74=860>4`?343??7?i7:?:06<6n?1659=51g689<2428l8707;3;3e6>;><:0:j<5295195c6<50>86<ki;<;77?7bm2728>4>ee9>=15=9li014:<:0ga?8?3;38;m63642814<=:1=91>=64=860>760343??7<?6:?:06<58<1659=521689<242;:;707;3;3e1>;><=0:il5295690=c<50>?64l4=867>7bf343?877n;<;70??c343?877l;<;70?2>8272894=539>=12=:<:014:;:36e?8?3<38?i636458170=:1=>1>>=4=867>756343?87<<0:?:01<5:o1659:523g89<232;8o707;4;01g>;><=09>o52956967g<50>?6?<6;<;70?450272894=279>=12=:;?014:;:307?8?3<389?636458167=:1=>1>??4=867>747343?87<>f:?:01<59l1659:520f89<232;9h707;4;00f>;><=09?l52956966?<50>?6?=7;<;70?44?272894=379>=12=::8014:;:37b?8?3<38>4636458112=:1=>1>884=867>00<50>?69h4=867>2?<50>?6:<4=867>764343?87<?1:?:01<6no1659:51gg89<2328lo707;4;3eg>;><=0:jo5295695cg<50>?6<h6;<;70?7a0272894>f69>=12=9o<014:;:0d7?8?3<3;m?6364582b7=:1=>1=k?4=867>4`7343?87?jf:?:01<6ml1659:51df89<2328oh707;4;3ff>;><=09<l52956965?<50>?6?>7;<;70?47?272894=079>=12=:9?014:;:327?8?3<38;<6364582b0=:1=<1=ho4=865>1>b343?:77m;<;72?4ci2728;46a:?:03<>l2728;46c:?:03<31916598524089<212;?;707;6;07b>;><?098h529549663<50>=6?=<;<;72?4492728;4=319>=10=:;l014:9:30f?8?3>389h63647816f=:1=<1>?l4=865>74f343?:7<=9:?:03<5:116598523489<212;8>707;6;010>;><?09>>529549674<50>=6?<>;<;72?4582728;4=1g9>=10=:8o014:9:33g?8?3>388o63647817g=:1=<1>>o4=865>75>343?:7<<8:?:03<5;>16598522489<212;99707;6;06e>;><?0995529549601<50>=6?;9;<;72?31343?:7:i;<;72?1>343?:79=;<;72?47;2728;4=009>=10=9ol014:9:0df?8?3>3;mh6364782bf=:1=<1=kl4=865>4`f343?:7?i9:?:03<6n11659851g589<2128l=707;6;3e0>;><?0:j>5295495c4<50>=6<h>;<;72?7a82728;4>eg9>=10=9lo014:9:0gg?8?3>3;no6364782ag=:1=<1>=o4=865>76>343?:7<?8:?:03<58>16598521489<212;:>707;6;030>;><?09<=5295495c3<50><6<kn;<;73?2?m2728:46b:?:02<5lh1659959`9>=11=1m1659959b9>=11=<0:014:8:371?8?3?38><63646810c=:1==1>9k4=864>752343?;7<<3:?:02<5;816599522289<202;8m707;7;01a>;><>09>i52955967e<50><6?<m;<;73?45i2728:4=289>=11=:;2014:8:305?8?3?3899636468161=:1==1>?=4=864>745343?;7<=1:?:02<5:916599520d89<202;;n707;7;02`>;><>09?n52955966d<50><6?=n;<;73?4412728:4=399>=11=::=014:8:315?8?3?388>63646811d=:1==1>864=864>730343?;7<:6:?:02<2>2728:4;f:?:02<012728:482:?:02<58:16599521389<2028lm707;7;3ea>;><>0:ji5295595ce<50><6<hm;<;73?7ai2728:4>f89>=11=9o2014:8:0d4?8?3?3;m:6364682b1=:1==1=k=4=864>4`5343?;7?i1:?:02<6n91659951dd89<2028on707;7;3f`>;><>0:in5295595`d<50><6?>n;<;73?4712728:4=099>=11=:9=014:8:325?8?3?38;9636468141=:1==1>=>4=864>4`2343?47?ja:?:0=<30l1659659c9>=1>=:mk014:7:8c89<2?20n014:7:8a89<2?2=3;707;8;066>;><1099=5295:961`<50>36?:j;<;7<?44=272854=329>=1>=::;014:7:313?8?30389j63649816`=:1=21>?j4=86;>74d343?47<=b:?:0=<5:h16596523;89<2?2;83707;8;012>;><109>85295:9672<50>36?<<;<;7<?45:272854=209>=1>=:;:014:7:33e?8?3038:i63649815a=:1=21>>m4=86;>75e343?47<<a:?:0=<5;016596522:89<2?2;9<707;8;002>;><109??5295:960g<50>36?;7;<;7<?42?272854=579>=1>==?1659654g9>=1>=?0165965739>=1>=:99014:7:322?8?303;mj6364982b`=:1=21=kj4=86;>4`d343?47?ib:?:0=<6nh1659651g;89<2?28l3707;8;3e3>;><10:j;5295:95c2<50>36<h<;<;7<?7a:272854>f09>=1>=9o:014:7:0ge?8?303;ni6364982aa=:1=21=hm4=86;>4ce343?47<?a:?:0=<58016596521:89<2?2;:<707;8;032>;><109<85295:9652<50>36?>?;<;7<?7a=272844>e`9>=1?=<1o014:6:8`89<2>2;nj707;9;;b?8?3133o707;9;;`?8?313>2<636488117=:1=31>8>4=86:>72a343?57<;e:?:0<<5;<16597522189<2>2;9:707;9;004>;><009>k5295;967c<50>26?<k;<;7=?45k272844=2c9>=1?=:;k014:6:30:?8?313894636488163=:1=31>?;4=86:>743343?57<=3:?:0<<5:;16597523389<2>2;8;707;9;02b>;><009=h5295;964b<50>26?=l;<;7=?44j272844=3`9>=1?=::3014:6:31;?8?31388;636488173=:1=31>><4=86:>73f343?57<:8:?:0<<5=>16597524489<2>2<<014:6:5d89<2>2>3014:6:6089<2>2;:8707;9;035>;><00:jk5295;95cc<50>26<hk;<;7=?7ak272844>fc9>=1?=9ok014:6:0d:?8?313;m46364882b2=:1=31=k84=86:>4`3343?57?i3:?:0<<6n;1659751g389<2>28l;707;9;3fb>;><00:ih5295;95`b<50>26<kl;<;7=?7bj272844=0`9>=1?=:93014:6:32;?8?3138;;636488143=:1=31>=;4=86:>763343?57<?0:?:0<<6n<1659o51dc89<2f2=2n707;a;;a?8?3i38om6364`8:e>;><h02h6364`8:g>;><h0?5=5295c9604<50>j6?;?;<;7e?43n2728l4=4d9>=1g=::?014:n:310?8?3i388=6364`8175=:1=k1>?h4=86b>74b343?m7<=d:?:0d<5:j1659o523`89<2f2;8j707;a;01=>;><h09>55295c9670<50>j6?<:;<;7e?45<2728l4=229>=1g=:;8014:n:302?8?3i389<6364`815c=:1=k1><k4=86b>77c343?m7<<c:?:0d<5;k1659o522c89<2f2;92707;a;00<>;><h09?:5295c9660<50>j6?==;<;7e?42i2728l4=599>=1g=:<=014:n:375?8?3i3?=707;a;6e?8?3i3=2707;a;51?8?3i38;?6364`8144=:1=k1=kh4=86b>4`b343?m7?id:?:0d<6nj1659o51g`89<2f28lj707;a;3e=>;><h0:j55295c95c1<50>j6<h9;<;7e?7a<2728l4>f29>=1g=9o8014:n:0d2?8?3i3;m<6364`82ac=:1=k1=hk4=86b>4cc343?m7?jc:?:0d<6mk1659o521c89<2f2;:2707;a;03<>;><h09<:5295c9650<50>j6?>:;<;7e?47<2728l4=019>=1g=9o?014:m:0gb?8?3j3>3i6364c8:f>;><k09hl5295`9=d=:1=h15i5295`9=f=:1=h184>4=86a>735343?n7<:0:?:0g<5<o1659l525g89<2e2;9>707;b;007>;><k09?<5295`9666<50>i6?<i;<;7f?45m2728o4=2e9>=1d=:;i014:m:30a?8?3j389m6364c816<=:1=h1>?64=86a>741343?n7<=5:?:0g<5:=1659l523189<2e2;89707;b;015>;><k09>=5295`964`<50>i6??j;<;7f?46l2728o4=3b9>=1d=::h014:m:31b?8?3j38856364c817==:1=h1>>94=86a>751343?n7<<2:?:0g<5=h1659l524:89<2e2;?<707;b;062>;><k0>:6364c87b>;><k0<56364c846>;><k09<>5295`9657<50>i6<hi;<;7f?7am2728o4>fe9>=1d=9oi014:m:0da?8?3j3;mm6364c82b<=:1=h1=k64=86a>4`0343?n7?i6:?:0g<6n=1659l51g189<2e28l9707;b;3e5>;><k0:j=5295`95``<50>i6<kj;<;7f?7bl2728o4>eb9>=1d=9lh014:m:32b?8?3j38;56364c814==:1=h1>=94=86a>761343?n7<?5:?:0g<58=1659l521289<2e28l>707;c;3fe>;><j0?4h5295a9=g=:1=i1>io4=86`><g<50>h64j4=86`><e<50>h697?;<;7g?42:2728n4=519>=1e=:=l014:l:36f?8?3k38896364b8176=:1=i1>>?4=86`>757343?o7<=f:?:0f<5:l1659m523f89<2d2;8h707;c;01f>;><j09>l5295a967?<50>h6?<7;<;7g?45>2728n4=249>=1e=:;>014:l:300?8?3k389>6364b8164=:1=i1>?>4=86`>77a343?o7<>e:?:0f<59m1659m522a89<2d2;9i707;c;00e>;><j09?45295a966><50>h6?=8;<;7g?44>2728n4=339>=1e=:<k014:l:37;?8?3k38>;6364b8113=:1=i19;5295a90c=:1=i1;45295a937=:1=i1>==4=86`>766343?o7?if:?:0f<6nl1659m51gf89<2d28lh707;c;3ef>;><j0:jl5295a95c?<50>h6<h7;<;7g?7a?2728n4>f79>=1e=9o>014:l:0d0?8?3k3;m>6364b82b4=:1=i1=k>4=86`>4ca343?o7?je:?:0f<6mm1659m51da89<2d28oi707;c;03e>;><j09<45295a965><50>h6?>8;<;7g?47>2728n4=049>=1e=:9>014:l:323?8?3k3;m96364e82ad=:1=n185k4=86g><d<50>o6?jn;<;7`??f343?h77k;<;7`??d343?h7:60:?:0a<5=;1659j524289<2c2;>m707;d;07a>;><m09?85295f9665<50>o6?=>;<;7`?4482728i4=2g9>=1b=:;o014:k:30g?8?3l389o6364e816g=:1=n1>?o4=86g>74>343?h7<=8:?:0a<5:?1659j523789<2c2;8?707;d;017>;><m09>?5295f9677<50>o6?<?;<;7`?46n2728i4=1d9>=1b=:8n014:k:31`?8?3l388n6364e817d=:1=n1>>74=86g>75?343?h7<<7:?:0a<5;?1659j522089<2c2;?j707;d;06<>;><m099:5295f9600<50>o6884=86g>1`<50>o6:74=86g>24<50>o6?><;<;7`?4792728i4>fg9>=1b=9oo014:k:0dg?8?3l3;mo6364e82bg=:1=n1=ko4=86g>4`>343?h7?i8:?:0a<6n>1659j51g489<2c28l?707;d;3e7>;><m0:j?5295f95c7<50>o6<h?;<;7`?7bn2728i4>ed9>=1b=9ln014:k:0g`?8?3l3;nn6364e814d=:1=n1>=74=86g>76?343?h7<?7:?:0a<58?1659j521789<2c2;:?707;d;034>;><m0:j85295g95`g<50>n696j;<;7a??e343?i7<ka:?:0`<>i2728h46d:?:0`<>k2728h4;919>=1c=:<8014:j:373?8?3m38?j6364d810`=:1=o1>>;4=86f>754343?i7<<1:?:0`<5;91659k523d89<2b2;8n707;e;01`>;><l09>n5295g967d<50>n6?<n;<;7a?4512728h4=299>=1c=:;<014:j:306?8?3m38986364d8166=:1=o1>?<4=86f>746343?i7<=0:?:0`<59o1659k520g89<2b2;;o707;e;00g>;><l09?o5295g966g<50>n6?=6;<;7a?4402728h4=369>=1c=::<014:j:311?8?3m38>m6364d811==:1=o1>894=86f>731343?i7;9;<;7a?2a343?i796;<;7a?15343?i7<?3:?:0`<5881659k51gd89<2b28ln707;e;3e`>;><l0:jn5295g95cd<50>n6<hn;<;7a?7a12728h4>f99>=1c=9o=014:j:0d5?8?3m3;m86364d82b6=:1=o1=k<4=86f>4`6343?i7?i0:?:0`<6mo1659k51dg89<2b28oo707;e;3fg>;><l0:io5295g965g<50>n6?>6;<;7a?4702728h4=069>=1c=:9<014:j:326?8?3m38;86364d8145=:1=o1=k;4=86e>4cf343?j7:7e:?:0c<>j2728k4=d`9>=1`=1h1659h59e9>=1`=1j1659h548289<2a2;?9707;f;064>;><o098k5295d961c<50>m6?=:;<;7b?44;2728k4=309>=1`=:::014:i:30e?8?3n389i6364g816a=:1=l1>?m4=86e>74e343?j7<=a:?:0c<5:01659h523:89<2a2;8=707;f;011>;><o09>95295d9675<50>m6?<=;<;7b?4592728k4=219>=1`=:8l014:i:33f?8?3n38:h6364g817f=:1=l1>>l4=86e>75f343?j7<<9:?:0c<5;11659h522589<2a2;9=707;f;006>;><o099l5295d960><50>m6?;8;<;7b?42>2728k4:6:?:0c<3n2728k489:?:0c<0:2728k4=029>=1`=:9;014:i:0de?8?3n3;mi6364g82ba=:1=l1=km4=86e>4`e343?j7?ia:?:0c<6n01659h51g:89<2a28l<707;f;3e2>;><o0:j95295d95c5<50>m6<h=;<;7b?7a92728k4>f19>=1`=9ll014:i:0gf?8?3n3;nh6364g82af=:1=l1=hl4=86e>76f343?j7<?9:?:0c<5811659h521589<2a2;:=707;f;031>;><o09<95295d9656<50>m6<h:;<;65?7bi2729<4;8d9>=07=1k1658?52ec89<3620k014;>:8f89<3620i014;>:5;3?8?2938>>636508115=:1<;1>9h4=872>72b343>=7<<5:?:14<5;:1658?522389<362;9;707:1;01b>;>=809>h52943967b<50?:6?<l;<;65?45j2729<4=2`9>=07=:;3014;>:30;?8?29389:636508160=:1<;1>?:4=872>744343>=7<=2:?:14<5:81658?523289<362;;m707:1;02a>;>=809=i52943966e<50?:6?=m;<;65?44i2729<4=389>=07=::2014;>:314?8?29388:636508177=:1<;1>8o4=872>73?343>=7<:7:?:14<5=?1658?5579>=07=<o1658?5789>=07=?;1658?521189<362;::707:1;3eb>;>=80:jh5294395cb<50?:6<hl;<;65?7aj2729<4>f`9>=07=9o3014;>:0d;?8?293;m;6365082b3=:1<;1=k:4=872>4`4343>=7?i2:?:14<6n81658?51g289<3628om707:1;3fa>;>=80:ii5294395`e<50?:6<km;<;65?47i2729<4=089>=07=:92014;>:324?8?2938;:636508140=:1<;1>=:4=872>767343>=7?i5:?:17<6mh1658<549g89<3520h014;=:3fb?8?2:33j707:2;;g?8?2:33h707:2;6:4>;>=;099?529409606<50?96?:i;<;66?43m2729?4=349>=04=::9014;=:312?8?2:388<63653816c=:1<81>?k4=871>74c343>>7<=c:?:17<5:k1658<523c89<352;82707:2;01<>;>=;09>;529409673<50?96?<;;<;66?45;2729?4=239>=04=:;;014;=:303?8?2:38:j63653815`=:1<81><j4=871>75d343>>7<<b:?:17<5;h1658<522;89<352;93707:2;003>;>=;09?;529409664<50?96?;n;<;66?4202729?4=569>=04=:<<014;=:4489<352=l014;=:6;89<352>8014;=:320?8?2:38;=6365382bc=:1<81=kk4=871>4`c343>>7?ic:?:17<6nk1658<51gc89<3528l2707:2;3e<>;>=;0:j:5294095c0<50?96<h;;<;66?7a;2729?4>f39>=04=9o;014;=:0d3?8?2:3;nj6365382a`=:1<81=hj4=871>4cd343>>7?jb:?:17<58h1658<521;89<352;:3707:2;033>;>=;09<;529409653<50?96?>;;<;66?4782729?4>f49>=05=9lk014;<:5:f?8?2;33i707:3;0ge>;>=:02m636528:`>;>=:02o6365287=5=:1<91>8<4=870>737343>?7<;f:?:16<5<l1658=522789<342;98707:3;005>;>=:09?=52941967`<50?86?<j;<;67?45l2729>4=2b9>=05=:;h014;<:30b?8?2;389563652816==:1<91>?84=870>742343>?7<=4:?:16<5::1658=523089<342;8:707:3;014>;>=:09=k52941964c<50?86??k;<;67?44k2729>4=3c9>=05=::k014;<:31:?8?2;3884636528172=:1<91>>84=870>755343>?7<:a:?:16<5=11658=524589<342;?=707:3;75?8?2;3>m707:3;5:?8?2;3=9707:3;037>;>=:09<<5294195c`<50?86<hj;<;67?7al2729>4>fb9>=05=9oh014;<:0db?8?2;3;m56365282b==:1<91=k94=870>4`1343>?7?i4:?:16<6n:1658=51g089<3428l:707:3;3e4>;>=:0:ik5294195`c<50?86<kk;<;67?7bk2729>4>ec9>=05=:9k014;<:32:?8?2;38;4636528142=:1<91>=84=870>762343>?7<?4:?:16<5891658=51g789<3328oj707:4;6;a>;>==02n6365581`d=:1<>15l529469=a=:1<>15n5294690<6<50??6?;=;<;60?428272994=4g9>=02=:=o014;;:316?8?2<388?636558174=:1<>1>>>4=877>74a343>87<=e:?:11<5:m1658:523a89<332;8i707:4;01e>;>==09>452946967><50??6?<9;<;60?45=272994=259>=02=:;9014;;:301?8?2<389=636558165=:1<>1><h4=877>77b343>87<>d:?:11<5;j1658:522`89<332;9j707:4;00=>;>==09?5529469661<50??6?=9;<;60?44:272994=5`9>=02=:<2014;;:374?8?2<38>:63655862>;>==0?j6365584=>;>==0<>636558146=:1<>1>=?4=877>4`a343>87?ie:?:11<6nm1658:51ga89<3328li707:4;3ee>;>==0:j45294695c><50??6<h8;<;60?7a>272994>f59>=02=9o9014;;:0d1?8?2<3;m=6365582b5=:1<>1=hh4=877>4cb343>87?jd:?:11<6mj1658:51d`89<332;:j707:4;03=>;>==09<5529469651<50??6?>9;<;60?47=272994=059>=02=:9:014;;:0d6?8?2=3;nm6365487<`=:1<?15o5294796ag<50?>64o4=876><b<50?>64m4=876>1?7343>97<:2:?:10<5=91658;525d89<322;>n707:5;001>;>=<09?>529479667<50?>6?=?;<;61?45n272984=2d9>=03=:;n014;::30`?8?2=389n63654816d=:1<?1>?74=876>74?343>97<=6:?:10<5:<1658;523689<322;88707:5;016>;>=<09><529479676<50?>6??i;<;61?46m272984=1e9>=03=::i014;::31a?8?2=388m63654817<=:1<?1>>64=876>750343>97<<6:?:10<5;;1658;524c89<322;?3707:5;063>;>=<099;52947913=:1<?18k5294793<=:1<?1;?529479655<50?>6?>>;<;61?7an272984>fd9>=03=9on014;::0d`?8?2=3;mn6365482bd=:1<?1=k74=876>4`?343>97?i7:?:10<6n?1658;51g689<3228l8707:5;3e6>;>=<0:j<5294795c6<50?>6<ki;<;61?7bm272984>ee9>=03=9li014;::0ga?8?2=38;m63654814<=:1<?1>=64=876>760343>97<?6:?:10<58<1658;521689<322;:;707:5;3e1>;>=?0:il5294490=c<50?=64l4=875>7bf343>:77n;<;62??c343>:77l;<;62?2>82729;4=539>=00=:<:014;9:36e?8?2>38?i636578170=:1<<1>>=4=875>756343>:7<<0:?:13<5:o16588523g89<312;8o707:6;01g>;>=?09>o52944967g<50?=6?<6;<;62?4502729;4=279>=00=:;?014;9:307?8?2>389?636578167=:1<<1>??4=875>747343>:7<>f:?:13<59l16588520f89<312;9h707:6;00f>;>=?09?l52944966?<50?=6?=7;<;62?44?2729;4=379>=00=::8014;9:37b?8?2>38>4636578112=:1<<1>884=875>00<50?=69h4=875>2?<50?=6:<4=875>764343>:7<?1:?:13<6no1658851gg89<3128lo707:6;3eg>;>=?0:jo5294495cg<50?=6<h6;<;62?7a02729;4>f69>=00=9o<014;9:0d7?8?2>3;m?6365782b7=:1<<1=k?4=875>4`7343>:7?jf:?:13<6ml1658851df89<3128oh707:6;3ff>;>=?09<l52944965?<50?=6?>7;<;62?47?2729;4=079>=00=:9?014;9:327?8?2>38;<6365782b0=:1<=1=ho4=874>1>b343>;77m;<;63?4ci2729:46a:?:12<>l2729:46c:?:12<31916589524089<302;?;707:7;07b>;>=>098h529459663<50?<6?=<;<;63?4492729:4=319>=01=:;l014;8:30f?8?2?389h63656816f=:1<=1>?l4=874>74f343>;7<=9:?:12<5:116589523489<302;8>707:7;010>;>=>09>>529459674<50?<6?<>;<;63?4582729:4=1g9>=01=:8o014;8:33g?8?2?388o63656817g=:1<=1>>o4=874>75>343>;7<<8:?:12<5;>16589522489<302;99707:7;06e>;>=>0995529459601<50?<6?;9;<;63?31343>;7:i;<;63?1>343>;79=;<;63?47;2729:4=009>=01=9ol014;8:0df?8?2?3;mh6365682bf=:1<=1=kl4=874>4`f343>;7?i9:?:12<6n11658951g589<3028l=707:7;3e0>;>=>0:j>5294595c4<50?<6<h>;<;63?7a82729:4>eg9>=01=9lo014;8:0gg?8?2?3;no6365682ag=:1<=1>=o4=874>76>343>;7<?8:?:12<58>16589521489<302;:>707:7;030>;>=>09<=5294595c3<50?36<kn;<;6<?2?m2729546b:?:1=<5lh1658659`9>=0>=1m1658659b9>=0>=<0:014;7:371?8?2038><63659810c=:1<21>9k4=87;>752343>47<<3:?:1=<5;816586522289<3?2;8m707:8;01a>;>=109>i5294:967e<50?36?<m;<;6<?45i272954=289>=0>=:;2014;7:305?8?203899636598161=:1<21>?=4=87;>745343>47<=1:?:1=<5:916586520d89<3?2;;n707:8;02`>;>=109?n5294:966d<50?36?=n;<;6<?441272954=399>=0>=::=014;7:315?8?20388>63659811d=:1<21>864=87;>730343>47<:6:?:1=<2>272954;f:?:1=<0127295482:?:1=<58:16586521389<3?28lm707:8;3ea>;>=10:ji5294:95ce<50?36<hm;<;6<?7ai272954>f89>=0>=9o2014;7:0d4?8?203;m:6365982b1=:1<21=k=4=87;>4`5343>47?i1:?:1=<6n91658651dd89<3?28on707:8;3f`>;>=10:in5294:95`d<50?36?>n;<;6<?471272954=099>=0>=:9=014;7:325?8?2038;9636598141=:1<21>=>4=87;>4`2343>57?ja:?:1<<30l1658759c9>=0?=:mk014;6:8c89<3>20n014;6:8a89<3>2=3;707:9;066>;>=0099=5294;961`<50?26?:j;<;6=?44=272944=329>=0?=::;014;6:313?8?21389j63658816`=:1<31>?j4=87:>74d343>57<=b:?:1<<5:h16587523;89<3>2;83707:9;012>;>=009>85294;9672<50?26?<<;<;6=?45:272944=209>=0?=:;:014;6:33e?8?2138:i63658815a=:1<31>>m4=87:>75e343>57<<a:?:1<<5;016587522:89<3>2;9<707:9;002>;>=009??5294;960g<50?26?;7;<;6=?42?272944=579>=0?==?1658754g9>=0?=?0165875739>=0?=:99014;6:322?8?213;mj6365882b`=:1<31=kj4=87:>4`d343>57?ib:?:1<<6nh1658751g;89<3>28l3707:9;3e3>;>=00:j;5294;95c2<50?26<h<;<;6=?7a:272944>f09>=0?=9o:014;6:0ge?8?213;ni6365882aa=:1<31=hm4=87:>4ce343>57<?a:?:1<<58016587521:89<3>2;:<707:9;032>;>=009<85294;9652<50?26?>?;<;6=?7a=2729l4>e`9>=0g=<1o014;n:8`89<3f2;nj707:a;;b?8?2i33o707:a;;`?8?2i3>2<6365`8117=:1<k1>8>4=87b>72a343>m7<;e:?:1d<5;<1658o522189<3f2;9:707:a;004>;>=h09>k5294c967c<50?j6?<k;<;6e?45k2729l4=2c9>=0g=:;k014;n:30:?8?2i38946365`8163=:1<k1>?;4=87b>743343>m7<=3:?:1d<5:;1658o523389<3f2;8;707:a;02b>;>=h09=h5294c964b<50?j6?=l;<;6e?44j2729l4=3`9>=0g=::3014;n:31;?8?2i388;6365`8173=:1<k1>><4=87b>73f343>m7<:8:?:1d<5=>1658o524489<3f2<<014;n:5d89<3f2>3014;n:6089<3f2;:8707:a;035>;>=h0:jk5294c95cc<50?j6<hk;<;6e?7ak2729l4>fc9>=0g=9ok014;n:0d:?8?2i3;m46365`82b2=:1<k1=k84=87b>4`3343>m7?i3:?:1d<6n;1658o51g389<3f28l;707:a;3fb>;>=h0:ih5294c95`b<50?j6<kl;<;6e?7bj2729l4=0`9>=0g=:93014;n:32;?8?2i38;;6365`8143=:1<k1>=;4=87b>763343>m7<?0:?:1d<6n<1658m51dc89<3d2=2n707:c;;a?8?2k38om6365b8:e>;>=j02h6365b8:g>;>=j0?5=5294a9604<50?h6?;?;<;6g?43n2729n4=4d9>=0e=::?014;l:310?8?2k388=6365b8175=:1<i1>?h4=87`>74b343>o7<=d:?:1f<5:j1658m523`89<3d2;8j707:c;01=>;>=j09>55294a9670<50?h6?<:;<;6g?45<2729n4=229>=0e=:;8014;l:302?8?2k389<6365b815c=:1<i1><k4=87`>77c343>o7<<c:?:1f<5;k1658m522c89<3d2;92707:c;00<>;>=j09?:5294a9660<50?h6?==;<;6g?42i2729n4=599>=0e=:<=014;l:375?8?2k3?=707:c;6e?8?2k3=2707:c;51?8?2k38;?6365b8144=:1<i1=kh4=87`>4`b343>o7?id:?:1f<6nj1658m51g`89<3d28lj707:c;3e=>;>=j0:j55294a95c1<50?h6<h9;<;6g?7a<2729n4>f29>=0e=9o8014;l:0d2?8?2k3;m<6365b82ac=:1<i1=hk4=87`>4cc343>o7?jc:?:1f<6mk1658m521c89<3d2;:2707:c;03<>;>=j09<:5294a9650<50?h6?>:;<;6g?47<2729n4=019>=0e=9o?014;k:0gb?8?2l3>3i6365e8:f>;>=m09hl5294f9=d=:1<n15i5294f9=f=:1<n184>4=87g>735343>h7<:0:?:1a<5<o1658j525g89<3c2;9>707:d;007>;>=m09?<5294f9666<50?o6?<i;<;6`?45m2729i4=2e9>=0b=:;i014;k:30a?8?2l389m6365e816<=:1<n1>?64=87g>741343>h7<=5:?:1a<5:=1658j523189<3c2;89707:d;015>;>=m09>=5294f964`<50?o6??j;<;6`?46l2729i4=3b9>=0b=::h014;k:31b?8?2l38856365e817==:1<n1>>94=87g>751343>h7<<2:?:1a<5=h1658j524:89<3c2;?<707:d;062>;>=m0>:6365e87b>;>=m0<56365e846>;>=m09<>5294f9657<50?o6<hi;<;6`?7am2729i4>fe9>=0b=9oi014;k:0da?8?2l3;mm6365e82b<=:1<n1=k64=87g>4`0343>h7?i6:?:1a<6n=1658j51g189<3c28l9707:d;3e5>;>=m0:j=5294f95``<50?o6<kj;<;6`?7bl2729i4>eb9>=0b=9lh014;k:32b?8?2l38;56365e814==:1<n1>=94=87g>761343>h7<?5:?:1a<58=1658j521289<3c28l>707:e;3fe>;>=l0?4h5294g9=g=:1<o1>io4=87f><g<50?n64j4=87f><e<50?n697?;<;6a?42:2729h4=519>=0c=:=l014;j:36f?8?2m38896365d8176=:1<o1>>?4=87f>757343>i7<=f:?:1`<5:l1658k523f89<3b2;8h707:e;01f>;>=l09>l5294g967?<50?n6?<7;<;6a?45>2729h4=249>=0c=:;>014;j:300?8?2m389>6365d8164=:1<o1>?>4=87f>77a343>i7<>e:?:1`<59m1658k522a89<3b2;9i707:e;00e>;>=l09?45294g966><50?n6?=8;<;6a?44>2729h4=339>=0c=:<k014;j:37;?8?2m38>;6365d8113=:1<o19;5294g90c=:1<o1;45294g937=:1<o1>==4=87f>766343>i7?if:?:1`<6nl1658k51gf89<3b28lh707:e;3ef>;>=l0:jl5294g95c?<50?n6<h7;<;6a?7a?2729h4>f79>=0c=9o>014;j:0d0?8?2m3;m>6365d82b4=:1<o1=k>4=87f>4ca343>i7?je:?:1`<6mm1658k51da89<3b28oi707:e;03e>;>=l09<45294g965><50?n6?>8;<;6a?47>2729h4=049>=0c=:9>014;j:323?8?2m3;m96365g82ad=:1<l185k4=87e><d<50?m6?jn;<;6b??f343>j77k;<;6b??d343>j7:60:?:1c<5=;1658h524289<3a2;>m707:f;07a>;>=o09?85294d9665<50?m6?=>;<;6b?4482729k4=2g9>=0`=:;o014;i:30g?8?2n389o6365g816g=:1<l1>?o4=87e>74>343>j7<=8:?:1c<5:?1658h523789<3a2;8?707:f;017>;>=o09>?5294d9677<50?m6?<?;<;6b?46n2729k4=1d9>=0`=:8n014;i:31`?8?2n388n6365g817d=:1<l1>>74=87e>75?343>j7<<7:?:1c<5;?1658h522089<3a2;?j707:f;06<>;>=o099:5294d9600<50?m6884=87e>1`<50?m6:74=87e>24<50?m6?><;<;6b?4792729k4>fg9>=0`=9oo014;i:0dg?8?2n3;mo6365g82bg=:1<l1=ko4=87e>4`>343>j7?i8:?:1c<6n>1658h51g489<3a28l?707:f;3e7>;>=o0:j?5294d95c7<50?m6<h?;<;6b?7bn2729k4>ed9>=0`=9ln014;i:0g`?8?2n3;nn6365g814d=:1<l1>=74=87e>76?343>j7<?7:?:1c<58?1658h521789<3a2;:?707:f;034>;>=o0:j85297295`g<50<;696j;<;54??e343=<7<ka:?:25<>i272:=46d:?:25<>k272:=4;919>=36=:<80148?:373?8?1838?j63661810`=:1?:1>>;4=843>754343=<7<<1:?:25<5;9165;>523d89<072;8n70790;01`>;>>909>n52972967d<50<;6?<n;<;54?451272:=4=299>=36=:;<0148?:306?8?183898636618166=:1?:1>?<4=843>746343=<7<=0:?:25<59o165;>520g89<072;;o70790;00g>;>>909?o52972966g<50<;6?=6;<;54?440272:=4=369>=36=::<0148?:311?8?1838>m63661811==:1?:1>894=843>731343=<7;9;<;54?2a343=<796;<;54?15343=<7<?3:?:25<588165;>51gd89<0728ln70790;3e`>;>>90:jn5297295cd<50<;6<hn;<;54?7a1272:=4>f99>=36=9o=0148?:0d5?8?183;m86366182b6=:1?:1=k<4=843>4`6343=<7?i0:?:25<6mo165;>51dg89<0728oo70790;3fg>;>>90:io52972965g<50<;6?>6;<;54?470272:=4=069>=36=:9<0148?:326?8?1838;8636618145=:1?:1=k;4=842>4cf343==7:7e:?:24<>j272:<4=d`9>=37=1h165;?59e9>=37=1j165;?548289<062;?970791;064>;>>8098k52973961c<50<:6?=:;<;55?44;272:<4=309>=37=:::0148>:30e?8?19389i63660816a=:1?;1>?m4=842>74e343==7<=a:?:24<5:0165;?523:89<062;8=70791;011>;>>809>9529739675<50<:6?<=;<;55?459272:<4=219>=37=:8l0148>:33f?8?1938:h63660817f=:1?;1>>l4=842>75f343==7<<9:?:24<5;1165;?522589<062;9=70791;006>;>>8099l52973960><50<:6?;8;<;55?42>272:<4:6:?:24<3n272:<489:?:24<0:272:<4=029>=37=:9;0148>:0de?8?193;mi6366082ba=:1?;1=km4=842>4`e343==7?ia:?:24<6n0165;?51g:89<0628l<70791;3e2>;>>80:j95297395c5<50<:6<h=;<;55?7a9272:<4>f19>=37=9ll0148>:0gf?8?193;nh6366082af=:1?;1=hl4=842>76f343==7<?9:?:24<581165;?521589<062;:=70791;031>;>>809<9529739656<50<:6<h:;<;56?7bi272:?4;8d9>=34=1k165;<52ec89<0520k0148=:8f89<0520i0148=:5;3?8?1:38>>636638115=:1?81>9h4=841>72b343=>7<<5:?:27<5;:165;<522389<052;9;70792;01b>;>>;09>h52970967b<50<96?<l;<;56?45j272:?4=2`9>=34=:;30148=:30;?8?1:389:636638160=:1?81>?:4=841>744343=>7<=2:?:27<5:8165;<523289<052;;m70792;02a>;>>;09=i52970966e<50<96?=m;<;56?44i272:?4=389>=34=::20148=:314?8?1:388:636638177=:1?81>8o4=841>73?343=>7<:7:?:27<5=?165;<5579>=34=<o165;<5789>=34=?;165;<521189<052;::70792;3eb>;>>;0:jh5297095cb<50<96<hl;<;56?7aj272:?4>f`9>=34=9o30148=:0d;?8?1:3;m;6366382b3=:1?81=k:4=841>4`4343=>7?i2:?:27<6n8165;<51g289<0528om70792;3fa>;>>;0:ii5297095`e<50<96<km;<;56?47i272:?4=089>=34=:920148=:324?8?1:38;:636638140=:1?81>=:4=841>767343=>7?i5:?:26<6mh165;=549g89<0420h0148<:3fb?8?1;33j70793;;g?8?1;33h70793;6:4>;>>:099?529719606<50<86?:i;<;57?43m272:>4=349>=35=::90148<:312?8?1;388<63662816c=:1?91>?k4=840>74c343=?7<=c:?:26<5:k165;=523c89<042;8270793;01<>;>>:09>;529719673<50<86?<;;<;57?45;272:>4=239>=35=:;;0148<:303?8?1;38:j63662815`=:1?91><j4=840>75d343=?7<<b:?:26<5;h165;=522;89<042;9370793;003>;>>:09?;529719664<50<86?;n;<;57?420272:>4=569>=35=:<<0148<:4489<042=l0148<:6;89<042>80148<:320?8?1;38;=6366282bc=:1?91=kk4=840>4`c343=?7?ic:?:26<6nk165;=51gc89<0428l270793;3e<>;>>:0:j:5297195c0<50<86<h;;<;57?7a;272:>4>f39>=35=9o;0148<:0d3?8?1;3;nj6366282a`=:1?91=hj4=840>4cd343=?7?jb:?:26<58h165;=521;89<042;:370793;033>;>>:09<;529719653<50<86?>;;<;57?478272:>4>f49>=32=9lk0148;:5:f?8?1<33i70794;0ge>;>>=02m636658:`>;>>=02o6366587=5=:1?>1>8<4=847>737343=87<;f:?:21<5<l165;:522789<032;9870794;005>;>>=09?=52976967`<50<?6?<j;<;50?45l272:94=2b9>=32=:;h0148;:30b?8?1<389563665816==:1?>1>?84=847>742343=87<=4:?:21<5::165;:523089<032;8:70794;014>;>>=09=k52976964c<50<?6??k;<;50?44k272:94=3c9>=32=::k0148;:31:?8?1<3884636658172=:1?>1>>84=847>755343=87<:a:?:21<5=1165;:524589<032;?=70794;75?8?1<3>m70794;5:?8?1<3=970794;037>;>>=09<<5297695c`<50<?6<hj;<;50?7al272:94>fb9>=32=9oh0148;:0db?8?1<3;m56366582b==:1?>1=k94=847>4`1343=87?i4:?:21<6n:165;:51g089<0328l:70794;3e4>;>>=0:ik5297695`c<50<?6<kk;<;50?7bk272:94>ec9>=32=:9k0148;:32:?8?1<38;4636658142=:1?>1>=84=847>762343=87<?4:?:21<589165;:51g789<0228oj70795;6;a>;>><02n6366481`d=:1??15l529779=a=:1??15n5297790<6<50<>6?;=;<;51?428272:84=4g9>=33=:=o0148::316?8?1=388?636648174=:1??1>>>4=846>74a343=97<=e:?:20<5:m165;;523a89<022;8i70795;01e>;>><09>452977967><50<>6?<9;<;51?45=272:84=259>=33=:;90148::301?8?1=389=636648165=:1??1><h4=846>77b343=97<>d:?:20<5;j165;;522`89<022;9j70795;00=>;>><09?5529779661<50<>6?=9;<;51?44:272:84=5`9>=33=:<20148::374?8?1=38>:63664862>;>><0?j6366484=>;>><0<>636648146=:1??1>=?4=846>4`a343=97?ie:?:20<6nm165;;51ga89<0228li70795;3ee>;>><0:j45297795c><50<>6<h8;<;51?7a>272:84>f59>=33=9o90148::0d1?8?1=3;m=6366482b5=:1??1=hh4=846>4cb343=97?jd:?:20<6mj165;;51d`89<022;:j70795;03=>;>><09<5529779651<50<>6?>9;<;51?47=272:84=059>=33=:9:0148::0d6?8?1?3;nm6366687<`=:1?=15o5297596ag<50<<64o4=844><b<50<<64m4=844>1?7343=;7<:2:?:22<5=9165;9525d89<002;>n70797;001>;>>>09?>529759667<50<<6?=?;<;53?45n272::4=2d9>=31=:;n01488:30`?8?1?389n63666816d=:1?=1>?74=844>74?343=;7<=6:?:22<5:<165;9523689<002;8870797;016>;>>>09><529759676<50<<6??i;<;53?46m272::4=1e9>=31=::i01488:31a?8?1?388m63666817<=:1?=1>>64=844>750343=;7<<6:?:22<5;;165;9524c89<002;?370797;063>;>>>099;52975913=:1?=18k5297593<=:1?=1;?529759655<50<<6?>>;<;53?7an272::4>fd9>=31=9on01488:0d`?8?1?3;mn6366682bd=:1?=1=k74=844>4`?343=;7?i7:?:22<6n?165;951g689<0028l870797;3e6>;>>>0:j<5297595c6<50<<6<ki;<;53?7bm272::4>ee9>=31=9li01488:0ga?8?1?38;m63666814<=:1?=1>=64=844>760343=;7<?6:?:22<58<165;9521689<002;:;70797;3e1>;>>10:il5297:90=c<50<364l4=84;>7bf343=477n;<;5<??c343=477l;<;5<?2>8272:54=539>=3>=:<:01487:36e?8?1038?i636698170=:1?21>>=4=84;>756343=47<<0:?:2=<5:o165;6523g89<0?2;8o70798;01g>;>>109>o5297:967g<50<36?<6;<;5<?450272:54=279>=3>=:;?01487:307?8?10389?636698167=:1?21>??4=84;>747343=47<>f:?:2=<59l165;6520f89<0?2;9h70798;00f>;>>109?l5297:966?<50<36?=7;<;5<?44?272:54=379>=3>=::801487:37b?8?1038>4636698112=:1?21>884=84;>00<50<369h4=84;>2?<50<36:<4=84;>764343=47<?1:?:2=<6no165;651gg89<0?28lo70798;3eg>;>>10:jo5297:95cg<50<36<h6;<;5<?7a0272:54>f69>=3>=9o<01487:0d7?8?103;m?6366982b7=:1?21=k?4=84;>4`7343=47?jf:?:2=<6ml165;651df89<0?28oh70798;3ff>;>>109<l5297:965?<50<36?>7;<;5<?47?272:54=079>=3>=:9?01487:327?8?1038;<6366982b0=:1?31=ho4=84:>1>b343=577m;<;5=?4ci272:446a:?:2<<>l272:446c:?:2<<319165;7524089<0>2;?;70799;07b>;>>0098h5297;9663<50<26?=<;<;5=?449272:44=319>=3?=:;l01486:30f?8?11389h63668816f=:1?31>?l4=84:>74f343=57<=9:?:2<<5:1165;7523489<0>2;8>70799;010>;>>009>>5297;9674<50<26?<>;<;5=?458272:44=1g9>=3?=:8o01486:33g?8?11388o63668817g=:1?31>>o4=84:>75>343=57<<8:?:2<<5;>165;7522489<0>2;9970799;06e>;>>009955297;9601<50<26?;9;<;5=?31343=57:i;<;5=?1>343=579=;<;5=?47;272:44=009>=3?=9ol01486:0df?8?113;mh6366882bf=:1?31=kl4=84:>4`f343=57?i9:?:2<<6n1165;751g589<0>28l=70799;3e0>;>>00:j>5297;95c4<50<26<h>;<;5=?7a8272:44>eg9>=3?=9lo01486:0gg?8?113;no6366882ag=:1?31>=o4=84:>76>343=57<?8:?:2<<58>165;7521489<0>2;:>70799;030>;>>009<=5297;95c3<50<j6<kn;<;5e?2?m272:l46b:?:2d<5lh165;o59`9>=3g=1m165;o59b9>=3g=<0:0148n:371?8?1i38><6366`810c=:1?k1>9k4=84b>752343=m7<<3:?:2d<5;8165;o522289<0f2;8m7079a;01a>;>>h09>i5297c967e<50<j6?<m;<;5e?45i272:l4=289>=3g=:;20148n:305?8?1i38996366`8161=:1?k1>?=4=84b>745343=m7<=1:?:2d<5:9165;o520d89<0f2;;n7079a;02`>;>>h09?n5297c966d<50<j6?=n;<;5e?441272:l4=399>=3g=::=0148n:315?8?1i388>6366`811d=:1?k1>864=84b>730343=m7<:6:?:2d<2>272:l4;f:?:2d<01272:l482:?:2d<58:165;o521389<0f28lm7079a;3ea>;>>h0:ji5297c95ce<50<j6<hm;<;5e?7ai272:l4>f89>=3g=9o20148n:0d4?8?1i3;m:6366`82b1=:1?k1=k=4=84b>4`5343=m7?i1:?:2d<6n9165;o51dd89<0f28on7079a;3f`>;>>h0:in5297c95`d<50<j6?>n;<;5e?471272:l4=099>=3g=:9=0148n:325?8?1i38;96366`8141=:1?k1>=>4=84b>4`2343=n7?ja:?:2g<30l165;l59c9>=3d=:mk0148m:8c89<0e20n0148m:8a89<0e2=3;7079b;066>;>>k099=5297`961`<50<i6?:j;<;5f?44=272:o4=329>=3d=::;0148m:313?8?1j389j6366c816`=:1?h1>?j4=84a>74d343=n7<=b:?:2g<5:h165;l523;89<0e2;837079b;012>;>>k09>85297`9672<50<i6?<<;<;5f?45:272:o4=209>=3d=:;:0148m:33e?8?1j38:i6366c815a=:1?h1>>m4=84a>75e343=n7<<a:?:2g<5;0165;l522:89<0e2;9<7079b;002>;>>k09??5297`960g<50<i6?;7;<;5f?42?272:o4=579>=3d==?165;l54g9>=3d=?0165;l5739>=3d=:990148m:322?8?1j3;mj6366c82b`=:1?h1=kj4=84a>4`d343=n7?ib:?:2g<6nh165;l51g;89<0e28l37079b;3e3>;>>k0:j;5297`95c2<50<i6<h<;<;5f?7a:272:o4>f09>=3d=9o:0148m:0ge?8?1j3;ni6366c82aa=:1?h1=hm4=84a>4ce343=n7<?a:?:2g<580165;l521:89<0e2;:<7079b;032>;>>k09<85297`9652<50<i6?>?;<;5f?7a=272:n4>e`9>=3e=<1o0148l:8`89<0d2;nj7079c;;b?8?1k33o7079c;;`?8?1k3>2<6366b8117=:1?i1>8>4=84`>72a343=o7<;e:?:2f<5;<165;m522189<0d2;9:7079c;004>;>>j09>k5297a967c<50<h6?<k;<;5g?45k272:n4=2c9>=3e=:;k0148l:30:?8?1k38946366b8163=:1?i1>?;4=84`>743343=o7<=3:?:2f<5:;165;m523389<0d2;8;7079c;02b>;>>j09=h5297a964b<50<h6?=l;<;5g?44j272:n4=3`9>=3e=::30148l:31;?8?1k388;6366b8173=:1?i1>><4=84`>73f343=o7<:8:?:2f<5=>165;m524489<0d2<<0148l:5d89<0d2>30148l:6089<0d2;:87079c;035>;>>j0:jk5297a95cc<50<h6<hk;<;5g?7ak272:n4>fc9>=3e=9ok0148l:0d:?8?1k3;m46366b82b2=:1?i1=k84=84`>4`3343=o7?i3:?:2f<6n;165;m51g389<0d28l;7079c;3fb>;>>j0:ih5297a95`b<50<h6<kl;<;5g?7bj272:n4=0`9>=3e=:930148l:32;?8?1k38;;6366b8143=:1?i1>=;4=84`>763343=o7<?0:?:2f<6n<165;j51dc89<0c2=2n7079d;;a?8?1l38om6366e8:e>;>>m02h6366e8:g>;>>m0?5=5297f9604<50<o6?;?;<;5`?43n272:i4=4d9>=3b=::?0148k:310?8?1l388=6366e8175=:1?n1>?h4=84g>74b343=h7<=d:?:2a<5:j165;j523`89<0c2;8j7079d;01=>;>>m09>55297f9670<50<o6?<:;<;5`?45<272:i4=229>=3b=:;80148k:302?8?1l389<6366e815c=:1?n1><k4=84g>77c343=h7<<c:?:2a<5;k165;j522c89<0c2;927079d;00<>;>>m09?:5297f9660<50<o6?==;<;5`?42i272:i4=599>=3b=:<=0148k:375?8?1l3?=7079d;6e?8?1l3=27079d;51?8?1l38;?6366e8144=:1?n1=kh4=84g>4`b343=h7?id:?:2a<6nj165;j51g`89<0c28lj7079d;3e=>;>>m0:j55297f95c1<50<o6<h9;<;5`?7a<272:i4>f29>=3b=9o80148k:0d2?8?1l3;m<6366e82ac=:1?n1=hk4=84g>4cc343=h7?jc:?:2a<6mk165;j521c89<0c2;:27079d;03<>;>>m09<:5297f9650<50<o6?>:;<;5`?47<272:i4=019>=3b=9o?0148j:0gb?8?1m3>3i6366d8:f>;>>l09hl5297g9=d=:1?o15i5297g9=f=:1?o184>4=84f>735343=i7<:0:?:2`<5<o165;k525g89<0b2;9>7079e;007>;>>l09?<5297g9666<50<n6?<i;<;5a?45m272:h4=2e9>=3c=:;i0148j:30a?8?1m389m6366d816<=:1?o1>?64=84f>741343=i7<=5:?:2`<5:=165;k523189<0b2;897079e;015>;>>l09>=5297g964`<50<n6??j;<;5a?46l272:h4=3b9>=3c=::h0148j:31b?8?1m38856366d817==:1?o1>>94=84f>751343=i7<<2:?:2`<5=h165;k524:89<0b2;?<7079e;062>;>>l0>:6366d87b>;>>l0<56366d846>;>>l09<>5297g9657<50<n6<hi;<;5a?7am272:h4>fe9>=3c=9oi0148j:0da?8?1m3;mm6366d82b<=:1?o1=k64=84f>4`0343=i7?i6:?:2`<6n=165;k51g189<0b28l97079e;3e5>;>>l0:j=5297g95``<50<n6<kj;<;5a?7bl272:h4>eb9>=3c=9lh0148j:32b?8?1m38;56366d814==:1?o1>=94=84f>761343=i7<?5:?:2`<58=165;k521289<0b28l>7079f;3fe>;>>o0?4h5297d9=g=:1?l1>io4=84e><g<50<m64j4=84e><e<50<m697?;<;5b?42:272:k4=519>=3`=:=l0148i:36f?8?1n38896366g8176=:1?l1>>?4=84e>757343=j7<=f:?:2c<5:l165;h523f89<0a2;8h7079f;01f>;>>o09>l5297d967?<50<m6?<7;<;5b?45>272:k4=249>=3`=:;>0148i:300?8?1n389>6366g8164=:1?l1>?>4=84e>77a343=j7<>e:?:2c<59m165;h522a89<0a2;9i7079f;00e>;>>o09?45297d966><50<m6?=8;<;5b?44>272:k4=339>=3`=:<k0148i:37;?8?1n38>;6366g8113=:1?l19;5297d90c=:1?l1;45297d937=:1?l1>==4=84e>766343=j7?if:?:2c<6nl165;h51gf89<0a28lh7079f;3ef>;>>o0:jl5297d95c?<50<m6<h7;<;5b?7a?272:k4>f79>=3`=9o>0148i:0d0?8?1n3;m>6366g82b4=:1?l1=k>4=84e>4ca343=j7?je:?:2c<6mm165;h51da89<0a28oi7079f;03e>;>>o09<45297d965><50<m6?>8;<;5b?47>272:k4=049>=3`=:9>0148i:323?8?1n3;m96367182ad=:1>:185k4=853><d<50=;6?jn;<;44??f343<<77k;<;44??d343<<7:60:?:35<5=;165:>524289<172;>m70780;07a>;>?909?8529629665<50=;6?=>;<;44?448272;=4=2g9>=26=:;o0149?:30g?8?08389o63671816g=:1>:1>?o4=853>74>343<<7<=8:?:35<5:?165:>523789<172;8?70780;017>;>?909>?529629677<50=;6?<?;<;44?46n272;=4=1d9>=26=:8n0149?:31`?8?08388n63671817d=:1>:1>>74=853>75?343<<7<<7:?:35<5;?165:>522089<172;?j70780;06<>;>?9099:529629600<50=;6884=853>1`<50=;6:74=853>24<50=;6?><;<;44?479272;=4>fg9>=26=9oo0149?:0dg?8?083;mo6367182bg=:1>:1=ko4=853>4`>343<<7?i8:?:35<6n>165:>51g489<1728l?70780;3e7>;>?90:j?5296295c7<50=;6<h?;<;44?7bn272;=4>ed9>=26=9ln0149?:0g`?8?083;nn63671814d=:1>:1>=74=853>76?343<<7<?7:?:35<58?165:>521789<172;:?70780;034>;>?90:j85296095`g<50=9696j;<;46??e343<>7<ka:?:37<>i272;?46d:?:37<>k272;?4;919>=24=:<80149=:373?8?0:38?j63673810`=:1>81>>;4=851>754343<>7<<1:?:37<5;9165:<523d89<152;8n70782;01`>;>?;09>n52960967d<50=96?<n;<;46?451272;?4=299>=24=:;<0149=:306?8?0:3898636738166=:1>81>?<4=851>746343<>7<=0:?:37<59o165:<520g89<152;;o70782;00g>;>?;09?o52960966g<50=96?=6;<;46?440272;?4=369>=24=::<0149=:311?8?0:38>m63673811==:1>81>894=851>731343<>7;9;<;46?2a343<>796;<;46?15343<>7<?3:?:37<588165:<51gd89<1528ln70782;3e`>;>?;0:jn5296095cd<50=96<hn;<;46?7a1272;?4>f99>=24=9o=0149=:0d5?8?0:3;m86367382b6=:1>81=k<4=851>4`6343<>7?i0:?:37<6mo165:<51dg89<1528oo70782;3fg>;>?;0:io52960965g<50=96?>6;<;46?470272;?4=069>=24=:9<0149=:326?8?0:38;8636738145=:1>81=k;4=850>4cf343<?7:7e:?:36<>j272;>4=d`9>=25=1h165:=59e9>=25=1j165:=548289<142;?970783;064>;>?:098k52961961c<50=86?=:;<;47?44;272;>4=309>=25=:::0149<:30e?8?0;389i63672816a=:1>91>?m4=850>74e343<?7<=a:?:36<5:0165:=523:89<142;8=70783;011>;>?:09>9529619675<50=86?<=;<;47?459272;>4=219>=25=:8l0149<:33f?8?0;38:h63672817f=:1>91>>l4=850>75f343<?7<<9:?:36<5;1165:=522589<142;9=70783;006>;>?:099l52961960><50=86?;8;<;47?42>272;>4:6:?:36<3n272;>489:?:36<0:272;>4=029>=25=:9;0149<:0de?8?0;3;mi6367282ba=:1>91=km4=850>4`e343<?7?ia:?:36<6n0165:=51g:89<1428l<70783;3e2>;>?:0:j95296195c5<50=86<h=;<;47?7a9272;>4>f19>=25=9ll0149<:0gf?8?0;3;nh6367282af=:1>91=hl4=850>76f343<?7<?9:?:36<581165:=521589<142;:=70783;031>;>?:09<9529619656<50=86<h:;<;40?7bi272;94;8d9>=22=1k165::52ec89<1320k0149;:8f89<1320i0149;:5;3?8?0<38>>636758115=:1>>1>9h4=857>72b343<87<<5:?:31<5;:165::522389<132;9;70784;01b>;>?=09>h52966967b<50=?6?<l;<;40?45j272;94=2`9>=22=:;30149;:30;?8?0<389:636758160=:1>>1>?:4=857>744343<87<=2:?:31<5:8165::523289<132;;m70784;02a>;>?=09=i52966966e<50=?6?=m;<;40?44i272;94=389>=22=::20149;:314?8?0<388:636758177=:1>>1>8o4=857>73?343<87<:7:?:31<5=?165::5579>=22=<o165::5789>=22=?;165::521189<132;::70784;3eb>;>?=0:jh5296695cb<50=?6<hl;<;40?7aj272;94>f`9>=22=9o30149;:0d;?8?0<3;m;6367582b3=:1>>1=k:4=857>4`4343<87?i2:?:31<6n8165::51g289<1328om70784;3fa>;>?=0:ii5296695`e<50=?6<km;<;40?47i272;94=089>=22=:920149;:324?8?0<38;:636758140=:1>>1>=:4=857>767343<87?i5:?:30<6mh165:;549g89<1220h0149::3fb?8?0=33j70785;;g?8?0=33h70785;6:4>;>?<099?529679606<50=>6?:i;<;41?43m272;84=349>=23=::90149::312?8?0=388<63674816c=:1>?1>?k4=856>74c343<97<=c:?:30<5:k165:;523c89<122;8270785;01<>;>?<09>;529679673<50=>6?<;;<;41?45;272;84=239>=23=:;;0149::303?8?0=38:j63674815`=:1>?1><j4=856>75d343<97<<b:?:30<5;h165:;522;89<122;9370785;003>;>?<09?;529679664<50=>6?;n;<;41?420272;84=569>=23=:<<0149::4489<122=l0149::6;89<122>80149::320?8?0=38;=6367482bc=:1>?1=kk4=856>4`c343<97?ic:?:30<6nk165:;51gc89<1228l270785;3e<>;>?<0:j:5296795c0<50=>6<h;;<;41?7a;272;84>f39>=23=9o;0149::0d3?8?0=3;nj6367482a`=:1>?1=hj4=856>4cd343<97?jb:?:30<58h165:;521;89<122;:370785;033>;>?<09<;529679653<50=>6?>;;<;41?478272;84>f49>=20=9lk01499:5:f?8?0>33i70786;0ge>;>??02m636778:`>;>??02o6367787=5=:1><1>8<4=855>737343<:7<;f:?:33<5<l165:8522789<112;9870786;005>;>??09?=52964967`<50==6?<j;<;42?45l272;;4=2b9>=20=:;h01499:30b?8?0>389563677816==:1><1>?84=855>742343<:7<=4:?:33<5::165:8523089<112;8:70786;014>;>??09=k52964964c<50==6??k;<;42?44k272;;4=3c9>=20=::k01499:31:?8?0>3884636778172=:1><1>>84=855>755343<:7<:a:?:33<5=1165:8524589<112;?=70786;75?8?0>3>m70786;5:?8?0>3=970786;037>;>??09<<5296495c`<50==6<hj;<;42?7al272;;4>fb9>=20=9oh01499:0db?8?0>3;m56367782b==:1><1=k94=855>4`1343<:7?i4:?:33<6n:165:851g089<1128l:70786;3e4>;>??0:ik5296495`c<50==6<kk;<;42?7bk272;;4>ec9>=20=:9k01499:32:?8?0>38;4636778142=:1><1>=84=855>762343<:7<?4:?:33<589165:851g789<1028oj70787;6;a>;>?>02n6367681`d=:1>=15l529659=a=:1>=15n5296590<6<50=<6?;=;<;43?428272;:4=4g9>=21=:=o01498:316?8?0?388?636768174=:1>=1>>>4=854>74a343<;7<=e:?:32<5:m165:9523a89<102;8i70787;01e>;>?>09>452965967><50=<6?<9;<;43?45=272;:4=259>=21=:;901498:301?8?0?389=636768165=:1>=1><h4=854>77b343<;7<>d:?:32<5;j165:9522`89<102;9j70787;00=>;>?>09?5529659661<50=<6?=9;<;43?44:272;:4=5`9>=21=:<201498:374?8?0?38>:63676862>;>?>0?j6367684=>;>?>0<>636768146=:1>=1>=?4=854>4`a343<;7?ie:?:32<6nm165:951ga89<1028li70787;3ee>;>?>0:j45296595c><50=<6<h8;<;43?7a>272;:4>f59>=21=9o901498:0d1?8?0?3;m=6367682b5=:1>=1=hh4=854>4cb343<;7?jd:?:32<6mj165:951d`89<102;:j70787;03=>;>?>09<5529659651<50=<6?>9;<;43?47=272;:4=059>=21=:9:01498:0d6?8?003;nm6367987<`=:1>215o5296:96ag<50=364o4=85;><b<50=364m4=85;>1?7343<47<:2:?:3=<5=9165:6525d89<1?2;>n70788;001>;>?109?>5296:9667<50=36?=?;<;4<?45n272;54=2d9>=2>=:;n01497:30`?8?00389n63679816d=:1>21>?74=85;>74?343<47<=6:?:3=<5:<165:6523689<1?2;8870788;016>;>?109><5296:9676<50=36??i;<;4<?46m272;54=1e9>=2>=::i01497:31a?8?00388m63679817<=:1>21>>64=85;>750343<47<<6:?:3=<5;;165:6524c89<1?2;?370788;063>;>?1099;5296:913=:1>218k5296:93<=:1>21;?5296:9655<50=36?>>;<;4<?7an272;54>fd9>=2>=9on01497:0d`?8?003;mn6367982bd=:1>21=k74=85;>4`?343<47?i7:?:3=<6n?165:651g689<1?28l870788;3e6>;>?10:j<5296:95c6<50=36<ki;<;4<?7bm272;54>ee9>=2>=9li01497:0ga?8?0038;m63679814<=:1>21>=64=85;>760343<47<?6:?:3=<58<165:6521689<1?2;:;70788;3e1>;>?00:il5296;90=c<50=264l4=85:>7bf343<577n;<;4=??c343<577l;<;4=?2>8272;44=539>=2?=:<:01496:36e?8?0138?i636788170=:1>31>>=4=85:>756343<57<<0:?:3<<5:o165:7523g89<1>2;8o70789;01g>;>?009>o5296;967g<50=26?<6;<;4=?450272;44=279>=2?=:;?01496:307?8?01389?636788167=:1>31>??4=85:>747343<57<>f:?:3<<59l165:7520f89<1>2;9h70789;00f>;>?009?l5296;966?<50=26?=7;<;4=?44?272;44=379>=2?=::801496:37b?8?0138>4636788112=:1>31>884=85:>00<50=269h4=85:>2?<50=26:<4=85:>764343<57<?1:?:3<<6no165:751gg89<1>28lo70789;3eg>;>?00:jo5296;95cg<50=26<h6;<;4=?7a0272;44>f69>=2?=9o<01496:0d7?8?013;m?6367882b7=:1>31=k?4=85:>4`7343<57?jf:?:3<<6ml165:751df89<1>28oh70789;3ff>;>?009<l5296;965?<50=26?>7;<;4=?47?272;44=079>=2?=:9?01496:327?8?0138;<6367882b0=:1>k1=ho4=85b>1>b343<m77m;<;4e?4ci272;l46a:?:3d<>l272;l46c:?:3d<319165:o524089<1f2;?;7078a;07b>;>?h098h5296c9663<50=j6?=<;<;4e?449272;l4=319>=2g=:;l0149n:30f?8?0i389h6367`816f=:1>k1>?l4=85b>74f343<m7<=9:?:3d<5:1165:o523489<1f2;8>7078a;010>;>?h09>>5296c9674<50=j6?<>;<;4e?458272;l4=1g9>=2g=:8o0149n:33g?8?0i388o6367`817g=:1>k1>>o4=85b>75>343<m7<<8:?:3d<5;>165:o522489<1f2;997078a;06e>;>?h09955296c9601<50=j6?;9;<;4e?31343<m7:i;<;4e?1>343<m79=;<;4e?47;272;l4=009>=2g=9ol0149n:0df?8?0i3;mh6367`82bf=:1>k1=kl4=85b>4`f343<m7?i9:?:3d<6n1165:o51g589<1f28l=7078a;3e0>;>?h0:j>5296c95c4<50=j6<h>;<;4e?7a8272;l4>eg9>=2g=9lo0149n:0gg?8?0i3;no6367`82ag=:1>k1>=o4=85b>76>343<m7<?8:?:3d<58>165:o521489<1f2;:>7078a;030>;>?h09<=5296c95c3<50=i6<kn;<;4f?2?m272;o46b:?:3g<5lh165:l59`9>=2d=1m165:l59b9>=2d=<0:0149m:371?8?0j38><6367c810c=:1>h1>9k4=85a>752343<n7<<3:?:3g<5;8165:l522289<1e2;8m7078b;01a>;>?k09>i5296`967e<50=i6?<m;<;4f?45i272;o4=289>=2d=:;20149m:305?8?0j38996367c8161=:1>h1>?=4=85a>745343<n7<=1:?:3g<5:9165:l520d89<1e2;;n7078b;02`>;>?k09?n5296`966d<50=i6?=n;<;4f?441272;o4=399>=2d=::=0149m:315?8?0j388>6367c811d=:1>h1>864=85a>730343<n7<:6:?:3g<2>272;o4;f:?:3g<01272;o482:?:3g<58:165:l521389<1e28lm7078b;3ea>;>?k0:ji5296`95ce<50=i6<hm;<;4f?7ai272;o4>f89>=2d=9o20149m:0d4?8?0j3;m:6367c82b1=:1>h1=k=4=85a>4`5343<n7?i1:?:3g<6n9165:l51dd89<1e28on7078b;3f`>;>?k0:in5296`95`d<50=i6?>n;<;4f?471272;o4=099>=2d=:9=0149m:325?8?0j38;96367c8141=:1>h1>=>4=85a>4`2343<h7?ja:?:3a<30l165:j59c9>=2b=:mk0149k:8c89<1c20n0149k:8a89<1c2=3;7078d;066>;>?m099=5296f961`<50=o6?:j;<;4`?44=272;i4=329>=2b=::;0149k:313?8?0l389j6367e816`=:1>n1>?j4=85g>74d343<h7<=b:?:3a<5:h165:j523;89<1c2;837078d;012>;>?m09>85296f9672<50=o6?<<;<;4`?45:272;i4=209>=2b=:;:0149k:33e?8?0l38:i6367e815a=:1>n1>>m4=85g>75e343<h7<<a:?:3a<5;0165:j522:89<1c2;9<7078d;002>;>?m09??5296f960g<50=o6?;7;<;4`?42?272;i4=579>=2b==?165:j54g9>=2b=?0165:j5739>=2b=:990149k:322?8?0l3;mj6367e82b`=:1>n1=kj4=85g>4`d343<h7?ib:?:3a<6nh165:j51g;89<1c28l37078d;3e3>;>?m0:j;5296f95c2<50=o6<h<;<;4`?7a:272;i4>f09>=2b=9o:0149k:0ge?8?0l3;ni6367e82aa=:1>n1=hm4=85g>4ce343<h7<?a:?:3a<580165:j521:89<1c2;:<7078d;032>;>?m09<85296f9652<50=o6?>?;<;4`?7a=272;h4>e`9>=2c=<1o0149j:8`89<1b2;nj7078e;;b?8?0m33o7078e;;`?8?0m3>2<6367d8117=:1>o1>8>4=85f>72a343<i7<;e:?:3`<5;<165:k522189<1b2;9:7078e;004>;>?l09>k5296g967c<50=n6?<k;<;4a?45k272;h4=2c9>=2c=:;k0149j:30:?8?0m38946367d8163=:1>o1>?;4=85f>743343<i7<=3:?:3`<5:;165:k523389<1b2;8;7078e;02b>;>?l09=h5296g964b<50=n6?=l;<;4a?44j272;h4=3`9>=2c=::30149j:31;?8?0m388;6367d8173=:1>o1>><4=85f>73f343<i7<:8:?:3`<5=>165:k524489<1b2<<0149j:5d89<1b2>30149j:6089<1b2;:87078e;035>;>?l0:jk5296g95cc<50=n6<hk;<;4a?7ak272;h4>fc9>=2c=9ok0149j:0d:?8?0m3;m46367d82b2=:1>o1=k84=85f>4`3343<i7?i3:?:3`<6n;165:k51g389<1b28l;7078e;3fb>;>?l0:ih5296g95`b<50=n6<kl;<;4a?7bj272;h4=0`9>=2c=:930149j:32;?8?0m38;;6367d8143=:1>o1>=;4=85f>763343<i7<?0:?:3`<6n<165:h51dc89<1a2=2n7078f;;a?8?0n38om6367g8:e>;>?o02h6367g8:g>;>?o0?5=5296d9604<50=m6?;?;<;4b?43n272;k4=4d9>=2`=::?0149i:310?8?0n388=6367g8175=:1>l1>?h4=85e>74b343<j7<=d:?:3c<5:j165:h523`89<1a2;8j7078f;01=>;>?o09>55296d9670<50=m6?<:;<;4b?45<272;k4=229>=2`=:;80149i:302?8?0n389<6367g815c=:1>l1><k4=85e>77c343<j7<<c:?:3c<5;k165:h522c89<1a2;927078f;00<>;>?o09?:5296d9660<50=m6?==;<;4b?42i272;k4=599>=2`=:<=0149i:375?8?0n3?=7078f;6e?8?0n3=27078f;51?8?0n38;?6367g8144=:1>l1=kh4=85e>4`b343<j7?id:?:3c<6nj165:h51g`89<1a28lj7078f;3e=>;>?o0:j55296d95c1<50=m6<h9;<;4b?7a<272;k4>f29>=2`=9o80149i:0d2?8?0n3;m<6367g82ac=:1>l1=hk4=85e>4cc343<j7?jc:?:3c<6mk165:h521c89<1a2;:27078f;03<>;>?o09<:5296d9650<50=m6?>:;<;4b?47<272;k4=019>=2`=9o?0146?:0gb?8??83>3i636818:f>;>0909hl529929=d=:11:15i529929=f=:11:184>4=8:3>7353433<7<:0:?:<5<5<o1655>525g89<>72;9>70770;007>;>0909?<529929666<502;6?<i;<;;4?45m2724=4=2e9>==6=:;i0146?:30a?8??8389m63681816<=:11:1>?64=8:3>7413433<7<=5:?:<5<5:=1655>523189<>72;8970770;015>;>0909>=52992964`<502;6??j;<;;4?46l2724=4=3b9>==6=::h0146?:31b?8??8388563681817==:11:1>>94=8:3>7513433<7<<2:?:<5<5=h1655>524:89<>72;?<70770;062>;>090>:6368187b>;>090<563681846>;>0909<>529929657<502;6<hi;<;;4?7am2724=4>fe9>==6=9oi0146?:0da?8??83;mm6368182b<=:11:1=k64=8:3>4`03433<7?i6:?:<5<6n=1655>51g189<>728l970770;3e5>;>090:j=5299295``<502;6<kj;<;;4?7bl2724=4>eb9>==6=9lh0146?:32b?8??838;563681814==:11:1>=94=8:3>7613433<7<?5:?:<5<58=1655>521289<>728l>70771;3fe>;>080?4h529939=g=:11;1>io4=8:2><g<502:64j4=8:2><e<502:697?;<;;5?42:2724<4=519>==7=:=l0146>:36f?8??93889636808176=:11;1>>?4=8:2>7573433=7<=f:?:<4<5:l1655?523f89<>62;8h70771;01f>;>0809>l52993967?<502:6?<7;<;;5?45>2724<4=249>==7=:;>0146>:300?8??9389>636808164=:11;1>?>4=8:2>77a3433=7<>e:?:<4<59m1655?522a89<>62;9i70771;00e>;>0809?452993966><502:6?=8;<;;5?44>2724<4=339>==7=:<k0146>:37;?8??938>;636808113=:11;19;5299390c=:11;1;452993937=:11;1>==4=8:2>7663433=7?if:?:<4<6nl1655?51gf89<>628lh70771;3ef>;>080:jl5299395c?<502:6<h7;<;;5?7a?2724<4>f79>==7=9o>0146>:0d0?8??93;m>6368082b4=:11;1=k>4=8:2>4ca3433=7?je:?:<4<6mm1655?51da89<>628oi70771;03e>;>0809<452993965><502:6?>8;<;;5?47>2724<4=049>==7=:9>0146>:323?8??93;m96368382ad=:118185k4=8:1><d<50296?jn;<;;6??f3433>77k;<;;6??d3433>7:60:?:<7<5=;1655<524289<>52;>m70772;07a>;>0;09?8529909665<50296?=>;<;;6?4482724?4=2g9>==4=:;o0146=:30g?8??:389o63683816g=:1181>?o4=8:1>74>3433>7<=8:?:<7<5:?1655<523789<>52;8?70772;017>;>0;09>?529909677<50296?<?;<;;6?46n2724?4=1d9>==4=:8n0146=:31`?8??:388n63683817d=:1181>>74=8:1>75?3433>7<<7:?:<7<5;?1655<522089<>52;?j70772;06<>;>0;099:529909600<50296884=8:1>1`<50296:74=8:1>24<50296?><;<;;6?4792724?4>fg9>==4=9oo0146=:0dg?8??:3;mo6368382bg=:1181=ko4=8:1>4`>3433>7?i8:?:<7<6n>1655<51g489<>528l?70772;3e7>;>0;0:j?5299095c7<50296<h?;<;;6?7bn2724?4>ed9>==4=9ln0146=:0g`?8??:3;nn63683814d=:1181>=74=8:1>76?3433>7<?7:?:<7<58?1655<521789<>52;:?70772;034>;>0;0:j85299195`g<5028696j;<;;7??e3433?7<ka:?:<6<>i2724>46d:?:<6<>k2724>4;919>==5=:<80146<:373?8??;38?j63682810`=:1191>>;4=8:0>7543433?7<<1:?:<6<5;91655=523d89<>42;8n70773;01`>;>0:09>n52991967d<50286?<n;<;;7?4512724>4=299>==5=:;<0146<:306?8??;3898636828166=:1191>?<4=8:0>7463433?7<=0:?:<6<59o1655=520g89<>42;;o70773;00g>;>0:09?o52991966g<50286?=6;<;;7?4402724>4=369>==5=::<0146<:311?8??;38>m63682811==:1191>894=8:0>7313433?7;9;<;;7?2a3433?796;<;;7?153433?7<?3:?:<6<5881655=51gd89<>428ln70773;3e`>;>0:0:jn5299195cd<50286<hn;<;;7?7a12724>4>f99>==5=9o=0146<:0d5?8??;3;m86368282b6=:1191=k<4=8:0>4`63433?7?i0:?:<6<6mo1655=51dg89<>428oo70773;3fg>;>0:0:io52991965g<50286?>6;<;;7?4702724>4=069>==5=:9<0146<:326?8??;38;8636828145=:1191=k;4=8:7>4cf343387:7e:?:<1<>j272494=d`9>==2=1h1655:59e9>==2=1j1655:548289<>32;?970774;064>;>0=098k52996961c<502?6?=:;<;;0?44;272494=309>==2=:::0146;:30e?8??<389i63685816a=:11>1>?m4=8:7>74e343387<=a:?:<1<5:01655:523:89<>32;8=70774;011>;>0=09>9529969675<502?6?<=;<;;0?459272494=219>==2=:8l0146;:33f?8??<38:h63685817f=:11>1>>l4=8:7>75f343387<<9:?:<1<5;11655:522589<>32;9=70774;006>;>0=099l52996960><502?6?;8;<;;0?42>272494:6:?:<1<3n27249489:?:<1<0:272494=029>==2=:9;0146;:0de?8??<3;mi6368582ba=:11>1=km4=8:7>4`e343387?ia:?:<1<6n01655:51g:89<>328l<70774;3e2>;>0=0:j95299695c5<502?6<h=;<;;0?7a9272494>f19>==2=9ll0146;:0gf?8??<3;nh6368582af=:11>1=hl4=8:7>76f343387<?9:?:<1<5811655:521589<>32;:=70774;031>;>0=09<9529969656<502?6<h:;<;;1?7bi272484;8d9>==3=1k1655;52ec89<>220k0146::8f89<>220i0146::5;3?8??=38>>636848115=:11?1>9h4=8:6>72b343397<<5:?:<0<5;:1655;522389<>22;9;70775;01b>;>0<09>h52997967b<502>6?<l;<;;1?45j272484=2`9>==3=:;30146::30;?8??=389:636848160=:11?1>?:4=8:6>744343397<=2:?:<0<5:81655;523289<>22;;m70775;02a>;>0<09=i52997966e<502>6?=m;<;;1?44i272484=389>==3=::20146::314?8??=388:636848177=:11?1>8o4=8:6>73?343397<:7:?:<0<5=?1655;5579>==3=<o1655;5789>==3=?;1655;521189<>22;::70775;3eb>;>0<0:jh5299795cb<502>6<hl;<;;1?7aj272484>f`9>==3=9o30146::0d;?8??=3;m;6368482b3=:11?1=k:4=8:6>4`4343397?i2:?:<0<6n81655;51g289<>228om70775;3fa>;>0<0:ii5299795`e<502>6<km;<;;1?47i272484=089>==3=:920146::324?8??=38;:636848140=:11?1>=:4=8:6>767343397?i5:?:<3<6mh16558549g89<>120h01469:3fb?8??>33j70776;;g?8??>33h70776;6:4>;>0?099?529949606<502=6?:i;<;;2?43m2724;4=349>==0=::901469:312?8??>388<63687816c=:11<1>?k4=8:5>74c3433:7<=c:?:<3<5:k16558523c89<>12;8270776;01<>;>0?09>;529949673<502=6?<;;<;;2?45;2724;4=239>==0=:;;01469:303?8??>38:j63687815`=:11<1><j4=8:5>75d3433:7<<b:?:<3<5;h16558522;89<>12;9370776;003>;>0?09?;529949664<502=6?;n;<;;2?4202724;4=569>==0=:<<01469:4489<>12=l01469:6;89<>12>801469:320?8??>38;=6368782bc=:11<1=kk4=8:5>4`c3433:7?ic:?:<3<6nk1655851gc89<>128l270776;3e<>;>0?0:j:5299495c0<502=6<h;;<;;2?7a;2724;4>f39>==0=9o;01469:0d3?8??>3;nj6368782a`=:11<1=hj4=8:5>4cd3433:7?jb:?:<3<58h16558521;89<>12;:370776;033>;>0?09<;529949653<502=6?>;;<;;2?4782724;4>f49>==>=9lk01467:5:f?8??033i70778;0ge>;>0102m636898:`>;>0102o6368987=5=:1121>8<4=8:;>737343347<;f:?:<=<5<l16556522789<>?2;9870778;005>;>0109?=5299:967`<50236?<j;<;;<?45l272454=2b9>==>=:;h01467:30b?8??0389563689816==:1121>?84=8:;>742343347<=4:?:<=<5::16556523089<>?2;8:70778;014>;>0109=k5299:964c<50236??k;<;;<?44k272454=3c9>==>=::k01467:31:?8??03884636898172=:1121>>84=8:;>755343347<:a:?:<=<5=116556524589<>?2;?=70778;75?8??03>m70778;5:?8??03=970778;037>;>0109<<5299:95c`<50236<hj;<;;<?7al272454>fb9>==>=9oh01467:0db?8??03;m56368982b==:1121=k94=8:;>4`1343347?i4:?:<=<6n:1655651g089<>?28l:70778;3e4>;>010:ik5299:95`c<50236<kk;<;;<?7bk272454>ec9>==>=:9k01467:32:?8??038;4636898142=:1121>=84=8:;>762343347<?4:?:<=<5891655651g789<>>28oj70779;6;a>;>0002n6368881`d=:11315l5299;9=a=:11315n5299;90<6<50226?;=;<;;=?428272444=4g9>==?=:=o01466:316?8??1388?636888174=:1131>>>4=8::>74a343357<=e:?:<<<5:m16557523a89<>>2;8i70779;01e>;>0009>45299;967><50226?<9;<;;=?45=272444=259>==?=:;901466:301?8??1389=636888165=:1131><h4=8::>77b343357<>d:?:<<<5;j16557522`89<>>2;9j70779;00=>;>0009?55299;9661<50226?=9;<;;=?44:272444=5`9>==?=:<201466:374?8??138>:63688862>;>000?j6368884=>;>000<>636888146=:1131>=?4=8::>4`a343357?ie:?:<<<6nm1655751ga89<>>28li70779;3ee>;>000:j45299;95c><50226<h8;<;;=?7a>272444>f59>==?=9o901466:0d1?8??13;m=6368882b5=:1131=hh4=8::>4cb343357?jd:?:<<<6mj1655751d`89<>>2;:j70779;03=>;>0009<55299;9651<50226?>9;<;;=?47=272444=059>==?=:9:01466:0d6?8??i3;nm6368`87<`=:11k15o5299c96ag<502j64o4=8:b><b<502j64m4=8:b>1?73433m7<:2:?:<d<5=91655o525d89<>f2;>n7077a;001>;>0h09?>5299c9667<502j6?=?;<;;e?45n2724l4=2d9>==g=:;n0146n:30`?8??i389n6368`816d=:11k1>?74=8:b>74?3433m7<=6:?:<d<5:<1655o523689<>f2;887077a;016>;>0h09><5299c9676<502j6??i;<;;e?46m2724l4=1e9>==g=::i0146n:31a?8??i388m6368`817<=:11k1>>64=8:b>7503433m7<<6:?:<d<5;;1655o524c89<>f2;?37077a;063>;>0h099;5299c913=:11k18k5299c93<=:11k1;?5299c9655<502j6?>>;<;;e?7an2724l4>fd9>==g=9on0146n:0d`?8??i3;mn6368`82bd=:11k1=k74=8:b>4`?3433m7?i7:?:<d<6n?1655o51g689<>f28l87077a;3e6>;>0h0:j<5299c95c6<502j6<ki;<;;e?7bm2724l4>ee9>==g=9li0146n:0ga?8??i38;m6368`814<=:11k1>=64=8:b>7603433m7<?6:?:<d<58<1655o521689<>f2;:;7077a;3e1>;>0k0:il5299`90=c<502i64l4=8:a>7bf3433n77n;<;;f??c3433n77l;<;;f?2>82724o4=539>==d=:<:0146m:36e?8??j38?i6368c8170=:11h1>>=4=8:a>7563433n7<<0:?:<g<5:o1655l523g89<>e2;8o7077b;01g>;>0k09>o5299`967g<502i6?<6;<;;f?4502724o4=279>==d=:;?0146m:307?8??j389?6368c8167=:11h1>??4=8:a>7473433n7<>f:?:<g<59l1655l520f89<>e2;9h7077b;00f>;>0k09?l5299`966?<502i6?=7;<;;f?44?2724o4=379>==d=::80146m:37b?8??j38>46368c8112=:11h1>884=8:a>00<502i69h4=8:a>2?<502i6:<4=8:a>7643433n7<?1:?:<g<6no1655l51gg89<>e28lo7077b;3eg>;>0k0:jo5299`95cg<502i6<h6;<;;f?7a02724o4>f69>==d=9o<0146m:0d7?8??j3;m?6368c82b7=:11h1=k?4=8:a>4`73433n7?jf:?:<g<6ml1655l51df89<>e28oh7077b;3ff>;>0k09<l5299`965?<502i6?>7;<;;f?47?2724o4=079>==d=:9?0146m:327?8??j38;<6368c82b0=:11i1=ho4=8:`>1>b3433o77m;<;;g?4ci2724n46a:?:<f<>l2724n46c:?:<f<3191655m524089<>d2;?;7077c;07b>;>0j098h5299a9663<502h6?=<;<;;g?4492724n4=319>==e=:;l0146l:30f?8??k389h6368b816f=:11i1>?l4=8:`>74f3433o7<=9:?:<f<5:11655m523489<>d2;8>7077c;010>;>0j09>>5299a9674<502h6?<>;<;;g?4582724n4=1g9>==e=:8o0146l:33g?8??k388o6368b817g=:11i1>>o4=8:`>75>3433o7<<8:?:<f<5;>1655m522489<>d2;997077c;06e>;>0j09955299a9601<502h6?;9;<;;g?313433o7:i;<;;g?1>3433o79=;<;;g?47;2724n4=009>==e=9ol0146l:0df?8??k3;mh6368b82bf=:11i1=kl4=8:`>4`f3433o7?i9:?:<f<6n11655m51g589<>d28l=7077c;3e0>;>0j0:j>5299a95c4<502h6<h>;<;;g?7a82724n4>eg9>==e=9lo0146l:0gg?8??k3;no6368b82ag=:11i1>=o4=8:`>76>3433o7<?8:?:<f<58>1655m521489<>d2;:>7077c;030>;>0j09<=5299a95c3<502o6<kn;<;;`?2?m2724i46b:?:<a<5lh1655j59`9>==b=1m1655j59b9>==b=<0:0146k:371?8??l38><6368e810c=:11n1>9k4=8:g>7523433h7<<3:?:<a<5;81655j522289<>c2;8m7077d;01a>;>0m09>i5299f967e<502o6?<m;<;;`?45i2724i4=289>==b=:;20146k:305?8??l38996368e8161=:11n1>?=4=8:g>7453433h7<=1:?:<a<5:91655j520d89<>c2;;n7077d;02`>;>0m09?n5299f966d<502o6?=n;<;;`?4412724i4=399>==b=::=0146k:315?8??l388>6368e811d=:11n1>864=8:g>7303433h7<:6:?:<a<2>2724i4;f:?:<a<012724i482:?:<a<58:1655j521389<>c28lm7077d;3ea>;>0m0:ji5299f95ce<502o6<hm;<;;`?7ai2724i4>f89>==b=9o20146k:0d4?8??l3;m:6368e82b1=:11n1=k=4=8:g>4`53433h7?i1:?:<a<6n91655j51dd89<>c28on7077d;3f`>;>0m0:in5299f95`d<502o6?>n;<;;`?4712724i4=099>==b=:9=0146k:325?8??l38;96368e8141=:11n1>=>4=8:g>4`23433i7?ja:?:<`<30l1655k59c9>==c=:mk0146j:8c89<>b20n0146j:8a89<>b2=3;7077e;066>;>0l099=5299g961`<502n6?:j;<;;a?44=2724h4=329>==c=::;0146j:313?8??m389j6368d816`=:11o1>?j4=8:f>74d3433i7<=b:?:<`<5:h1655k523;89<>b2;837077e;012>;>0l09>85299g9672<502n6?<<;<;;a?45:2724h4=209>==c=:;:0146j:33e?8??m38:i6368d815a=:11o1>>m4=8:f>75e3433i7<<a:?:<`<5;01655k522:89<>b2;9<7077e;002>;>0l09??5299g960g<502n6?;7;<;;a?42?2724h4=579>==c==?1655k54g9>==c=?01655k5739>==c=:990146j:322?8??m3;mj6368d82b`=:11o1=kj4=8:f>4`d3433i7?ib:?:<`<6nh1655k51g;89<>b28l37077e;3e3>;>0l0:j;5299g95c2<502n6<h<;<;;a?7a:2724h4>f09>==c=9o:0146j:0ge?8??m3;ni6368d82aa=:11o1=hm4=8:f>4ce3433i7<?a:?:<`<5801655k521:89<>b2;:<7077e;032>;>0l09<85299g9652<502n6?>?;<;;a?7a=2724k4>e`9>==`=<1o0146i:8`89<>a2;nj7077f;;b?8??n33o7077f;;`?8??n3>2<6368g8117=:11l1>8>4=8:e>72a3433j7<;e:?:<c<5;<1655h522189<>a2;9:7077f;004>;>0o09>k5299d967c<502m6?<k;<;;b?45k2724k4=2c9>==`=:;k0146i:30:?8??n38946368g8163=:11l1>?;4=8:e>7433433j7<=3:?:<c<5:;1655h523389<>a2;8;7077f;02b>;>0o09=h5299d964b<502m6?=l;<;;b?44j2724k4=3`9>==`=::30146i:31;?8??n388;6368g8173=:11l1>><4=8:e>73f3433j7<:8:?:<c<5=>1655h524489<>a2<<0146i:5d89<>a2>30146i:6089<>a2;:87077f;035>;>0o0:jk5299d95cc<502m6<hk;<;;b?7ak2724k4>fc9>==`=9ok0146i:0d:?8??n3;m46368g82b2=:11l1=k84=8:e>4`33433j7?i3:?:<c<6n;1655h51g389<>a28l;7077f;3fb>;>0o0:ih5299d95`b<502m6<kl;<;;b?7bj2724k4=0`9>==`=:930146i:32;?8??n38;;6368g8143=:11l1>=;4=8:e>7633433j7<?0:?:<c<6n<1654>51dc89<?72=2n70760;;a?8?>838om636918:e>;>1902h636918:g>;>190?5=529829604<503;6?;?;<;:4?43n2725=4=4d9>=<6=::?0147?:310?8?>8388=636918175=:10:1>?h4=8;3>74b3432<7<=d:?:=5<5:j1654>523`89<?72;8j70760;01=>;>1909>5529829670<503;6?<:;<;:4?45<2725=4=229>=<6=:;80147?:302?8?>8389<63691815c=:10:1><k4=8;3>77c3432<7<<c:?:=5<5;k1654>522c89<?72;9270760;00<>;>1909?:529829660<503;6?==;<;:4?42i2725=4=599>=<6=:<=0147?:375?8?>83?=70760;6e?8?>83=270760;51?8?>838;?636918144=:10:1=kh4=8;3>4`b3432<7?id:?:=5<6nj1654>51g`89<?728lj70760;3e=>;>190:j55298295c1<503;6<h9;<;:4?7a<2725=4>f29>=<6=9o80147?:0d2?8?>83;m<6369182ac=:10:1=hk4=8;3>4cc3432<7?jc:?:=5<6mk1654>521c89<?72;:270760;03<>;>1909<:529829650<503;6?>:;<;:4?47<2725=4=019>=<6=9o?0147>:0gb?8?>93>3i636908:f>;>1809hl529839=d=:10;15i529839=f=:10;184>4=8;2>7353432=7<:0:?:=4<5<o1654?525g89<?62;9>70761;007>;>1809?<529839666<503:6?<i;<;:5?45m2725<4=2e9>=<7=:;i0147>:30a?8?>9389m63690816<=:10;1>?64=8;2>7413432=7<=5:?:=4<5:=1654?523189<?62;8970761;015>;>1809>=52983964`<503:6??j;<;:5?46l2725<4=3b9>=<7=::h0147>:31b?8?>9388563690817==:10;1>>94=8;2>7513432=7<<2:?:=4<5=h1654?524:89<?62;?<70761;062>;>180>:6369087b>;>180<563690846>;>1809<>529839657<503:6<hi;<;:5?7am2725<4>fe9>=<7=9oi0147>:0da?8?>93;mm6369082b<=:10;1=k64=8;2>4`03432=7?i6:?:=4<6n=1654?51g189<?628l970761;3e5>;>180:j=5298395``<503:6<kj;<;:5?7bl2725<4>eb9>=<7=9lh0147>:32b?8?>938;563690814==:10;1>=94=8;2>7613432=7<?5:?:=4<58=1654?521289<?628l>707>4;3fe>;>9=0?4h529069=g=:18>1>io4=837><g<50;?64j4=837><e<50;?697?;<;20?42:272=94=519>=42=:=l014?;:36f?8?6<3889636158176=:18>1>>?4=837>757343:87<=f:?:51<5:l165<:523f89<732;8h707>4;01f>;>9=09>l52906967?<50;?6?<7;<;20?45>272=94=249>=42=:;>014?;:300?8?6<389>636158164=:18>1>?>4=837>77a343:87<>e:?:51<59m165<:522a89<732;9i707>4;00e>;>9=09?452906966><50;?6?=8;<;20?44>272=94=339>=42=:<k014?;:37;?8?6<38>;636158113=:18>19;5290690c=:18>1;452906937=:18>1>==4=837>766343:87?if:?:51<6nl165<:51gf89<7328lh707>4;3ef>;>9=0:jl5290695c?<50;?6<h7;<;20?7a?272=94>f79>=42=9o>014?;:0d0?8?6<3;m>6361582b4=:18>1=k>4=837>4ca343:87?je:?:51<6mm165<:51da89<7328oi707>4;03e>;>9=09<452906965><50;?6?>8;<;20?47>272=94=049>=42=:9>014?;:323?8?6<3;m96361482ad=:18?185k4=836><d<50;>6?jn;<;21??f343:977k;<;21??d343:97:60:?:50<5=;165<;524289<722;>m707>5;07a>;>9<09?8529079665<50;>6?=>;<;21?448272=84=2g9>=43=:;o014?::30g?8?6=389o63614816g=:18?1>?o4=836>74>343:97<=8:?:50<5:?165<;523789<722;8?707>5;017>;>9<09>?529079677<50;>6?<?;<;21?46n272=84=1d9>=43=:8n014?::31`?8?6=388n63614817d=:18?1>>74=836>75?343:97<<7:?:50<5;?165<;522089<722;?j707>5;06<>;>9<099:529079600<50;>6884=836>1`<50;>6:74=836>24<50;>6?><;<;21?479272=84>fg9>=43=9oo014?::0dg?8?6=3;mo6361482bg=:18?1=ko4=836>4`>343:97?i8:?:50<6n>165<;51g489<7228l?707>5;3e7>;>9<0:j?5290795c7<50;>6<h?;<;21?7bn272=84>ed9>=43=9ln014?::0g`?8?6=3;nn63614814d=:18?1>=74=836>76?343:97<?7:?:50<58?165<;521789<722;:?707>5;034>;>9<0:j85290495`g<50;=696j;<;22??e343::7<ka:?:53<>i272=;46d:?:53<>k272=;4;919>=40=:<8014?9:373?8?6>38?j63617810`=:18<1>>;4=835>754343::7<<1:?:53<5;9165<8523d89<712;8n707>6;01`>;>9?09>n52904967d<50;=6?<n;<;22?451272=;4=299>=40=:;<014?9:306?8?6>3898636178166=:18<1>?<4=835>746343::7<=0:?:53<59o165<8520g89<712;;o707>6;00g>;>9?09?o52904966g<50;=6?=6;<;22?440272=;4=369>=40=::<014?9:311?8?6>38>m63617811==:18<1>894=835>731343::7;9;<;22?2a343::796;<;22?15343::7<?3:?:53<588165<851gd89<7128ln707>6;3e`>;>9?0:jn5290495cd<50;=6<hn;<;22?7a1272=;4>f99>=40=9o=014?9:0d5?8?6>3;m86361782b6=:18<1=k<4=835>4`6343::7?i0:?:53<6mo165<851dg89<7128oo707>6;3fg>;>9?0:io52904965g<50;=6?>6;<;22?470272=;4=069>=40=:9<014?9:326?8?6>38;8636178145=:18<1=k;4=834>4cf343:;7:7e:?:52<>j272=:4=d`9>=41=1h165<959e9>=41=1j165<9548289<702;?9707>7;064>;>9>098k52905961c<50;<6?=:;<;23?44;272=:4=309>=41=:::014?8:30e?8?6?389i63616816a=:18=1>?m4=834>74e343:;7<=a:?:52<5:0165<9523:89<702;8=707>7;011>;>9>09>9529059675<50;<6?<=;<;23?459272=:4=219>=41=:8l014?8:33f?8?6?38:h63616817f=:18=1>>l4=834>75f343:;7<<9:?:52<5;1165<9522589<702;9=707>7;006>;>9>099l52905960><50;<6?;8;<;23?42>272=:4:6:?:52<3n272=:489:?:52<0:272=:4=029>=41=:9;014?8:0de?8?6?3;mi6361682ba=:18=1=km4=834>4`e343:;7?ia:?:52<6n0165<951g:89<7028l<707>7;3e2>;>9>0:j95290595c5<50;<6<h=;<;23?7a9272=:4>f19>=41=9ll014?8:0gf?8?6?3;nh6361682af=:18=1=hl4=834>76f343:;7<?9:?:52<581165<9521589<702;:=707>7;031>;>9>09<9529059656<50;<6<h:;<;2<?7bi272=54;8d9>=4>=1k165<652ec89<7?20k014?7:8f89<7?20i014?7:5;3?8?6038>>636198115=:1821>9h4=83;>72b343:47<<5:?:5=<5;:165<6522389<7?2;9;707>8;01b>;>9109>h5290:967b<50;36?<l;<;2<?45j272=54=2`9>=4>=:;3014?7:30;?8?60389:636198160=:1821>?:4=83;>744343:47<=2:?:5=<5:8165<6523289<7?2;;m707>8;02a>;>9109=i5290:966e<50;36?=m;<;2<?44i272=54=389>=4>=::2014?7:314?8?60388:636198177=:1821>8o4=83;>73?343:47<:7:?:5=<5=?165<65579>=4>=<o165<65789>=4>=?;165<6521189<7?2;::707>8;3eb>;>910:jh5290:95cb<50;36<hl;<;2<?7aj272=54>f`9>=4>=9o3014?7:0d;?8?603;m;6361982b3=:1821=k:4=83;>4`4343:47?i2:?:5=<6n8165<651g289<7?28om707>8;3fa>;>910:ii5290:95`e<50;36<km;<;2<?47i272=54=089>=4>=:92014?7:324?8?6038;:636198140=:1821>=:4=83;>767343:47?i5:?:5<<6mh165<7549g89<7>20h014?6:3fb?8?6133j707>9;;g?8?6133h707>9;6:4>;>90099?5290;9606<50;26?:i;<;2=?43m272=44=349>=4?=::9014?6:312?8?61388<63618816c=:1831>?k4=83:>74c343:57<=c:?:5<<5:k165<7523c89<7>2;82707>9;01<>;>9009>;5290;9673<50;26?<;;<;2=?45;272=44=239>=4?=:;;014?6:303?8?6138:j63618815`=:1831><j4=83:>75d343:57<<b:?:5<<5;h165<7522;89<7>2;93707>9;003>;>9009?;5290;9664<50;26?;n;<;2=?420272=44=569>=4?=:<<014?6:4489<7>2=l014?6:6;89<7>2>8014?6:320?8?6138;=6361882bc=:1831=kk4=83:>4`c343:57?ic:?:5<<6nk165<751gc89<7>28l2707>9;3e<>;>900:j:5290;95c0<50;26<h;;<;2=?7a;272=44>f39>=4?=9o;014?6:0d3?8?613;nj6361882a`=:1831=hj4=83:>4cd343:57?jb:?:5<<58h165<7521;89<7>2;:3707>9;033>;>9009<;5290;9653<50;26?>;;<;2=?478272=44>f49>=4g=9lk014?n:5:f?8?6i33i707>a;0ge>;>9h02m6361`8:`>;>9h02o6361`87=5=:18k1>8<4=83b>737343:m7<;f:?:5d<5<l165<o522789<7f2;98707>a;005>;>9h09?=5290c967`<50;j6?<j;<;2e?45l272=l4=2b9>=4g=:;h014?n:30b?8?6i38956361`816==:18k1>?84=83b>742343:m7<=4:?:5d<5::165<o523089<7f2;8:707>a;014>;>9h09=k5290c964c<50;j6??k;<;2e?44k272=l4=3c9>=4g=::k014?n:31:?8?6i38846361`8172=:18k1>>84=83b>755343:m7<:a:?:5d<5=1165<o524589<7f2;?=707>a;75?8?6i3>m707>a;5:?8?6i3=9707>a;037>;>9h09<<5290c95c`<50;j6<hj;<;2e?7al272=l4>fb9>=4g=9oh014?n:0db?8?6i3;m56361`82b==:18k1=k94=83b>4`1343:m7?i4:?:5d<6n:165<o51g089<7f28l:707>a;3e4>;>9h0:ik5290c95`c<50;j6<kk;<;2e?7bk272=l4>ec9>=4g=:9k014?n:32:?8?6i38;46361`8142=:18k1>=84=83b>762343:m7<?4:?:5d<589165<o51g789<7e28oj707>b;6;a>;>9k02n6361c81`d=:18h15l5290`9=a=:18h15n5290`90<6<50;i6?;=;<;2f?428272=o4=4g9>=4d=:=o014?m:316?8?6j388?6361c8174=:18h1>>>4=83a>74a343:n7<=e:?:5g<5:m165<l523a89<7e2;8i707>b;01e>;>9k09>45290`967><50;i6?<9;<;2f?45=272=o4=259>=4d=:;9014?m:301?8?6j389=6361c8165=:18h1><h4=83a>77b343:n7<>d:?:5g<5;j165<l522`89<7e2;9j707>b;00=>;>9k09?55290`9661<50;i6?=9;<;2f?44:272=o4=5`9>=4d=:<2014?m:374?8?6j38>:6361c862>;>9k0?j6361c84=>;>9k0<>6361c8146=:18h1>=?4=83a>4`a343:n7?ie:?:5g<6nm165<l51ga89<7e28li707>b;3ee>;>9k0:j45290`95c><50;i6<h8;<;2f?7a>272=o4>f59>=4d=9o9014?m:0d1?8?6j3;m=6361c82b5=:18h1=hh4=83a>4cb343:n7?jd:?:5g<6mj165<l51d`89<7e2;:j707>b;03=>;>9k09<55290`9651<50;i6?>9;<;2f?47=272=o4=059>=4d=:9:014?m:0d6?8?6k3;nm6361b87<`=:18i15o5290a96ag<50;h64o4=83`><b<50;h64m4=83`>1?7343:o7<:2:?:5f<5=9165<m525d89<7d2;>n707>c;001>;>9j09?>5290a9667<50;h6?=?;<;2g?45n272=n4=2d9>=4e=:;n014?l:30`?8?6k389n6361b816d=:18i1>?74=83`>74?343:o7<=6:?:5f<5:<165<m523689<7d2;88707>c;016>;>9j09><5290a9676<50;h6??i;<;2g?46m272=n4=1e9>=4e=::i014?l:31a?8?6k388m6361b817<=:18i1>>64=83`>750343:o7<<6:?:5f<5;;165<m524c89<7d2;?3707>c;063>;>9j099;5290a913=:18i18k5290a93<=:18i1;?5290a9655<50;h6?>>;<;2g?7an272=n4>fd9>=4e=9on014?l:0d`?8?6k3;mn6361b82bd=:18i1=k74=83`>4`?343:o7?i7:?:5f<6n?165<m51g689<7d28l8707>c;3e6>;>9j0:j<5290a95c6<50;h6<ki;<;2g?7bm272=n4>ee9>=4e=9li014?l:0ga?8?6k38;m6361b814<=:18i1>=64=83`>760343:o7<?6:?:5f<58<165<m521689<7d2;:;707>c;3e1>;>9m0:il5290f90=c<50;o64l4=83g>7bf343:h77n;<;2`??c343:h77l;<;2`?2>8272=i4=539>=4b=:<:014?k:36e?8?6l38?i6361e8170=:18n1>>=4=83g>756343:h7<<0:?:5a<5:o165<j523g89<7c2;8o707>d;01g>;>9m09>o5290f967g<50;o6?<6;<;2`?450272=i4=279>=4b=:;?014?k:307?8?6l389?6361e8167=:18n1>??4=83g>747343:h7<>f:?:5a<59l165<j520f89<7c2;9h707>d;00f>;>9m09?l5290f966?<50;o6?=7;<;2`?44?272=i4=379>=4b=::8014?k:37b?8?6l38>46361e8112=:18n1>884=83g>00<50;o69h4=83g>2?<50;o6:<4=83g>764343:h7<?1:?:5a<6no165<j51gg89<7c28lo707>d;3eg>;>9m0:jo5290f95cg<50;o6<h6;<;2`?7a0272=i4>f69>=4b=9o<014?k:0d7?8?6l3;m?6361e82b7=:18n1=k?4=83g>4`7343:h7?jf:?:5a<6ml165<j51df89<7c28oh707>d;3ff>;>9m09<l5290f965?<50;o6?>7;<;2`?47?272=i4=079>=4b=:9?014?k:327?8?6l38;<6361e82b0=:18l1=ho4=83e>1>b343:j77m;<;2b?4ci272=k46a:?:5c<>l272=k46c:?:5c<319165<h524089<7a2;?;707>f;07b>;>9o098h5290d9663<50;m6?=<;<;2b?449272=k4=319>=4`=:;l014?i:30f?8?6n389h6361g816f=:18l1>?l4=83e>74f343:j7<=9:?:5c<5:1165<h523489<7a2;8>707>f;010>;>9o09>>5290d9674<50;m6?<>;<;2b?458272=k4=1g9>=4`=:8o014?i:33g?8?6n388o6361g817g=:18l1>>o4=83e>75>343:j7<<8:?:5c<5;>165<h522489<7a2;99707>f;06e>;>9o09955290d9601<50;m6?;9;<;2b?31343:j7:i;<;2b?1>343:j79=;<;2b?47;272=k4=009>=4`=9ol014?i:0df?8?6n3;mh6361g82bf=:18l1=kl4=83e>4`f343:j7?i9:?:5c<6n1165<h51g589<7a28l=707>f;3e0>;>9o0:j>5290d95c4<50;m6<h>;<;2b?7a8272=k4>eg9>=4`=9lo014?i:0gg?8?6n3;no6361g82ag=:18l1>=o4=83e>76>343:j7<?8:?:5c<58>165<h521489<7a2;:>707>f;030>;>9o09<=5290d95c3<508;6<kn;<;14?2?m272>=46b:?:65<5lh165?>59`9>=76=1m165?>59b9>=76=<0:014<?:371?8?5838><63621810c=:1;:1>9k4=803>7523439<7<<3:?:65<5;8165?>522289<472;8m707=0;01a>;>:909>i52932967e<508;6?<m;<;14?45i272>=4=289>=76=:;2014<?:305?8?583899636218161=:1;:1>?=4=803>7453439<7<=1:?:65<5:9165?>520d89<472;;n707=0;02`>;>:909?n52932966d<508;6?=n;<;14?441272>=4=399>=76=::=014<?:315?8?58388>63621811d=:1;:1>864=803>7303439<7<:6:?:65<2>272>=4;f:?:65<01272>=482:?:65<58:165?>521389<4728lm707=0;3ea>;>:90:ji5293295ce<508;6<hm;<;14?7ai272>=4>f89>=76=9o2014<?:0d4?8?583;m:6362182b1=:1;:1=k=4=803>4`53439<7?i1:?:65<6n9165?>51dd89<4728on707=0;3f`>;>:90:in5293295`d<508;6?>n;<;14?471272>=4=099>=76=:9=014<?:325?8?5838;9636218141=:1;:1>=>4=803>4`23439=7?ja:?:64<30l165??59c9>=77=:mk014<>:8c89<4620n014<>:8a89<462=3;707=1;066>;>:8099=52933961`<508:6?:j;<;15?44=272><4=329>=77=::;014<>:313?8?59389j63620816`=:1;;1>?j4=802>74d3439=7<=b:?:64<5:h165??523;89<462;83707=1;012>;>:809>8529339672<508:6?<<;<;15?45:272><4=209>=77=:;:014<>:33e?8?5938:i63620815a=:1;;1>>m4=802>75e3439=7<<a:?:64<5;0165??522:89<462;9<707=1;002>;>:809??52933960g<508:6?;7;<;15?42?272><4=579>=77==?165??54g9>=77=?0165??5739>=77=:99014<>:322?8?593;mj6362082b`=:1;;1=kj4=802>4`d3439=7?ib:?:64<6nh165??51g;89<4628l3707=1;3e3>;>:80:j;5293395c2<508:6<h<;<;15?7a:272><4>f09>=77=9o:014<>:0ge?8?593;ni6362082aa=:1;;1=hm4=802>4ce3439=7<?a:?:64<580165??521:89<462;:<707=1;032>;>:809<8529339652<508:6?>?;<;15?7a=272>?4>e`9>=74=<1o014<=:8`89<452;nj707=2;;b?8?5:33o707=2;;`?8?5:3>2<636238117=:1;81>8>4=801>72a3439>7<;e:?:67<5;<165?<522189<452;9:707=2;004>;>:;09>k52930967c<50896?<k;<;16?45k272>?4=2c9>=74=:;k014<=:30:?8?5:3894636238163=:1;81>?;4=801>7433439>7<=3:?:67<5:;165?<523389<452;8;707=2;02b>;>:;09=h52930964b<50896?=l;<;16?44j272>?4=3`9>=74=::3014<=:31;?8?5:388;636238173=:1;81>><4=801>73f3439>7<:8:?:67<5=>165?<524489<452<<014<=:5d89<452>3014<=:6089<452;:8707=2;035>;>:;0:jk5293095cc<50896<hk;<;16?7ak272>?4>fc9>=74=9ok014<=:0d:?8?5:3;m46362382b2=:1;81=k84=801>4`33439>7?i3:?:67<6n;165?<51g389<4528l;707=2;3fb>;>:;0:ih5293095`b<50896<kl;<;16?7bj272>?4=0`9>=74=:93014<=:32;?8?5:38;;636238143=:1;81>=;4=801>7633439>7<?0:?:67<6n<165?=51dc89<442=2n707=3;;a?8?5;38om636228:e>;>::02h636228:g>;>::0?5=529319604<50886?;?;<;17?43n272>>4=4d9>=75=::?014<<:310?8?5;388=636228175=:1;91>?h4=800>74b3439?7<=d:?:66<5:j165?=523`89<442;8j707=3;01=>;>::09>5529319670<50886?<:;<;17?45<272>>4=229>=75=:;8014<<:302?8?5;389<63622815c=:1;91><k4=800>77c3439?7<<c:?:66<5;k165?=522c89<442;92707=3;00<>;>::09?:529319660<50886?==;<;17?42i272>>4=599>=75=:<=014<<:375?8?5;3?=707=3;6e?8?5;3=2707=3;51?8?5;38;?636228144=:1;91=kh4=800>4`b3439?7?id:?:66<6nj165?=51g`89<4428lj707=3;3e=>;>::0:j55293195c1<50886<h9;<;17?7a<272>>4>f29>=75=9o8014<<:0d2?8?5;3;m<6362282ac=:1;91=hk4=800>4cc3439?7?jc:?:66<6mk165?=521c89<442;:2707=3;03<>;>::09<:529319650<50886?>:;<;17?47<272>>4=019>=75=9o?014<;:0gb?8?5<3>3i636258:f>;>:=09hl529369=d=:1;>15i529369=f=:1;>184>4=807>735343987<:0:?:61<5<o165?:525g89<432;9>707=4;007>;>:=09?<529369666<508?6?<i;<;10?45m272>94=2e9>=72=:;i014<;:30a?8?5<389m63625816<=:1;>1>?64=807>741343987<=5:?:61<5:=165?:523189<432;89707=4;015>;>:=09>=52936964`<508?6??j;<;10?46l272>94=3b9>=72=::h014<;:31b?8?5<388563625817==:1;>1>>94=807>751343987<<2:?:61<5=h165?:524:89<432;?<707=4;062>;>:=0>:6362587b>;>:=0<563625846>;>:=09<>529369657<508?6<hi;<;10?7am272>94>fe9>=72=9oi014<;:0da?8?5<3;mm6362582b<=:1;>1=k64=807>4`0343987?i6:?:61<6n=165?:51g189<4328l9707=4;3e5>;>:=0:j=5293695``<508?6<kj;<;10?7bl272>94>eb9>=72=9lh014<;:32b?8?5<38;563625814==:1;>1>=94=807>761343987<?5:?:61<58=165?:521289<4328l>707=5;3fe>;>:<0?4h529379=g=:1;?1>io4=806><g<508>64j4=806><e<508>697?;<;11?42:272>84=519>=73=:=l014<::36f?8?5=3889636248176=:1;?1>>?4=806>757343997<=f:?:60<5:l165?;523f89<422;8h707=5;01f>;>:<09>l52937967?<508>6?<7;<;11?45>272>84=249>=73=:;>014<::300?8?5=389>636248164=:1;?1>?>4=806>77a343997<>e:?:60<59m165?;522a89<422;9i707=5;00e>;>:<09?452937966><508>6?=8;<;11?44>272>84=339>=73=:<k014<::37;?8?5=38>;636248113=:1;?19;5293790c=:1;?1;452937937=:1;?1>==4=806>766343997?if:?:60<6nl165?;51gf89<4228lh707=5;3ef>;>:<0:jl5293795c?<508>6<h7;<;11?7a?272>84>f79>=73=9o>014<::0d0?8?5=3;m>6362482b4=:1;?1=k>4=806>4ca343997?je:?:60<6mm165?;51da89<4228oi707=5;03e>;>:<09<452937965><508>6?>8;<;11?47>272>84=049>=73=:9>014<::323?8?5=3;m96362782ad=:1;<185k4=805><d<508=6?jn;<;12??f3439:77k;<;12??d3439:7:60:?:63<5=;165?8524289<412;>m707=6;07a>;>:?09?8529349665<508=6?=>;<;12?448272>;4=2g9>=70=:;o014<9:30g?8?5>389o63627816g=:1;<1>?o4=805>74>3439:7<=8:?:63<5:?165?8523789<412;8?707=6;017>;>:?09>?529349677<508=6?<?;<;12?46n272>;4=1d9>=70=:8n014<9:31`?8?5>388n63627817d=:1;<1>>74=805>75?3439:7<<7:?:63<5;?165?8522089<412;?j707=6;06<>;>:?099:529349600<508=6884=805>1`<508=6:74=805>24<508=6?><;<;12?479272>;4>fg9>=70=9oo014<9:0dg?8?5>3;mo6362782bg=:1;<1=ko4=805>4`>3439:7?i8:?:63<6n>165?851g489<4128l?707=6;3e7>;>:?0:j?5293495c7<508=6<h?;<;12?7bn272>;4>ed9>=70=9ln014<9:0g`?8?5>3;nn63627814d=:1;<1>=74=805>76?3439:7<?7:?:63<58?165?8521789<412;:?707=6;034>;>:?0:j85293595`g<508<696j;<;13??e3439;7<ka:?:62<>i272>:46d:?:62<>k272>:4;919>=71=:<8014<8:373?8?5?38?j63626810`=:1;=1>>;4=804>7543439;7<<1:?:62<5;9165?9523d89<402;8n707=7;01`>;>:>09>n52935967d<508<6?<n;<;13?451272>:4=299>=71=:;<014<8:306?8?5?3898636268166=:1;=1>?<4=804>7463439;7<=0:?:62<59o165?9520g89<402;;o707=7;00g>;>:>09?o52935966g<508<6?=6;<;13?440272>:4=369>=71=::<014<8:311?8?5?38>m63626811==:1;=1>894=804>7313439;7;9;<;13?2a3439;796;<;13?153439;7<?3:?:62<588165?951gd89<4028ln707=7;3e`>;>:>0:jn5293595cd<508<6<hn;<;13?7a1272>:4>f99>=71=9o=014<8:0d5?8?5?3;m86362682b6=:1;=1=k<4=804>4`63439;7?i0:?:62<6mo165?951dg89<4028oo707=7;3fg>;>:>0:io52935965g<508<6?>6;<;13?470272>:4=069>=71=:9<014<8:326?8?5?38;8636268145=:1;=1=k;4=80;>4cf343947:7e:?:6=<>j272>54=d`9>=7>=1h165?659e9>=7>=1j165?6548289<4?2;?9707=8;064>;>:1098k5293:961c<50836?=:;<;1<?44;272>54=309>=7>=:::014<7:30e?8?50389i63629816a=:1;21>?m4=80;>74e343947<=a:?:6=<5:0165?6523:89<4?2;8=707=8;011>;>:109>95293:9675<50836?<=;<;1<?459272>54=219>=7>=:8l014<7:33f?8?5038:h63629817f=:1;21>>l4=80;>75f343947<<9:?:6=<5;1165?6522589<4?2;9=707=8;006>;>:1099l5293:960><50836?;8;<;1<?42>272>54:6:?:6=<3n272>5489:?:6=<0:272>54=029>=7>=:9;014<7:0de?8?503;mi6362982ba=:1;21=km4=80;>4`e343947?ia:?:6=<6n0165?651g:89<4?28l<707=8;3e2>;>:10:j95293:95c5<50836<h=;<;1<?7a9272>54>f19>=7>=9ll014<7:0gf?8?503;nh6362982af=:1;21=hl4=80;>76f343947<?9:?:6=<581165?6521589<4?2;:=707=8;031>;>:109<95293:9656<50836<h:;<;1e?7bi272>l4;8d9>=7g=1k165?o52ec89<4f20k014<n:8f89<4f20i014<n:5;3?8?5i38>>6362`8115=:1;k1>9h4=80b>72b3439m7<<5:?:6d<5;:165?o522389<4f2;9;707=a;01b>;>:h09>h5293c967b<508j6?<l;<;1e?45j272>l4=2`9>=7g=:;3014<n:30;?8?5i389:6362`8160=:1;k1>?:4=80b>7443439m7<=2:?:6d<5:8165?o523289<4f2;;m707=a;02a>;>:h09=i5293c966e<508j6?=m;<;1e?44i272>l4=389>=7g=::2014<n:314?8?5i388:6362`8177=:1;k1>8o4=80b>73?3439m7<:7:?:6d<5=?165?o5579>=7g=<o165?o5789>=7g=?;165?o521189<4f2;::707=a;3eb>;>:h0:jh5293c95cb<508j6<hl;<;1e?7aj272>l4>f`9>=7g=9o3014<n:0d;?8?5i3;m;6362`82b3=:1;k1=k:4=80b>4`43439m7?i2:?:6d<6n8165?o51g289<4f28om707=a;3fa>;>:h0:ii5293c95`e<508j6<km;<;1e?47i272>l4=089>=7g=:92014<n:324?8?5i38;:6362`8140=:1;k1>=:4=80b>7673439m7?i5:?:6g<6mh165?l549g89<4e20h014<m:3fb?8?5j33j707=b;;g?8?5j33h707=b;6:4>;>:k099?5293`9606<508i6?:i;<;1f?43m272>o4=349>=7d=::9014<m:312?8?5j388<6362c816c=:1;h1>?k4=80a>74c3439n7<=c:?:6g<5:k165?l523c89<4e2;82707=b;01<>;>:k09>;5293`9673<508i6?<;;<;1f?45;272>o4=239>=7d=:;;014<m:303?8?5j38:j6362c815`=:1;h1><j4=80a>75d3439n7<<b:?:6g<5;h165?l522;89<4e2;93707=b;003>;>:k09?;5293`9664<508i6?;n;<;1f?420272>o4=569>=7d=:<<014<m:4489<4e2=l014<m:6;89<4e2>8014<m:320?8?5j38;=6362c82bc=:1;h1=kk4=80a>4`c3439n7?ic:?:6g<6nk165?l51gc89<4e28l2707=b;3e<>;>:k0:j:5293`95c0<508i6<h;;<;1f?7a;272>o4>f39>=7d=9o;014<m:0d3?8?5j3;nj6362c82a`=:1;h1=hj4=80a>4cd3439n7?jb:?:6g<58h165?l521;89<4e2;:3707=b;033>;>:k09<;5293`9653<508i6?>;;<;1f?478272>o4>f49>=7e=9lk014<l:5:f?8?5k33i707=c;0ge>;>:j02m6362b8:`>;>:j02o6362b87=5=:1;i1>8<4=80`>7373439o7<;f:?:6f<5<l165?m522789<4d2;98707=c;005>;>:j09?=5293a967`<508h6?<j;<;1g?45l272>n4=2b9>=7e=:;h014<l:30b?8?5k38956362b816==:1;i1>?84=80`>7423439o7<=4:?:6f<5::165?m523089<4d2;8:707=c;014>;>:j09=k5293a964c<508h6??k;<;1g?44k272>n4=3c9>=7e=::k014<l:31:?8?5k38846362b8172=:1;i1>>84=80`>7553439o7<:a:?:6f<5=1165?m524589<4d2;?=707=c;75?8?5k3>m707=c;5:?8?5k3=9707=c;037>;>:j09<<5293a95c`<508h6<hj;<;1g?7al272>n4>fb9>=7e=9oh014<l:0db?8?5k3;m56362b82b==:1;i1=k94=80`>4`13439o7?i4:?:6f<6n:165?m51g089<4d28l:707=c;3e4>;>:j0:ik5293a95`c<508h6<kk;<;1g?7bk272>n4>ec9>=7e=:9k014<l:32:?8?5k38;46362b8142=:1;i1>=84=80`>7623439o7<?4:?:6f<589165?m51g789<4c28oj707=d;6;a>;>:m02n6362e81`d=:1;n15l5293f9=a=:1;n15n5293f90<6<508o6?;=;<;1`?428272>i4=4g9>=7b=:=o014<k:316?8?5l388?6362e8174=:1;n1>>>4=80g>74a3439h7<=e:?:6a<5:m165?j523a89<4c2;8i707=d;01e>;>:m09>45293f967><508o6?<9;<;1`?45=272>i4=259>=7b=:;9014<k:301?8?5l389=6362e8165=:1;n1><h4=80g>77b3439h7<>d:?:6a<5;j165?j522`89<4c2;9j707=d;00=>;>:m09?55293f9661<508o6?=9;<;1`?44:272>i4=5`9>=7b=:<2014<k:374?8?5l38>:6362e862>;>:m0?j6362e84=>;>:m0<>6362e8146=:1;n1>=?4=80g>4`a3439h7?ie:?:6a<6nm165?j51ga89<4c28li707=d;3ee>;>:m0:j45293f95c><508o6<h8;<;1`?7a>272>i4>f59>=7b=9o9014<k:0d1?8?5l3;m=6362e82b5=:1;n1=hh4=80g>4cb3439h7?jd:?:6a<6mj165?j51d`89<4c2;:j707=d;03=>;>:m09<55293f9651<508o6?>9;<;1`?47=272>i4=059>=7b=:9:014<k:0d6?8?5m3;nm6362d87<`=:1;o15o5293g96ag<508n64o4=80f><b<508n64m4=80f>1?73439i7<:2:?:6`<5=9165?k525d89<4b2;>n707=e;001>;>:l09?>5293g9667<508n6?=?;<;1a?45n272>h4=2d9>=7c=:;n014<j:30`?8?5m389n6362d816d=:1;o1>?74=80f>74?3439i7<=6:?:6`<5:<165?k523689<4b2;88707=e;016>;>:l09><5293g9676<508n6??i;<;1a?46m272>h4=1e9>=7c=::i014<j:31a?8?5m388m6362d817<=:1;o1>>64=80f>7503439i7<<6:?:6`<5;;165?k524c89<4b2;?3707=e;063>;>:l099;5293g913=:1;o18k5293g93<=:1;o1;?5293g9655<508n6?>>;<;1a?7an272>h4>fd9>=7c=9on014<j:0d`?8?5m3;mn6362d82bd=:1;o1=k74=80f>4`?3439i7?i7:?:6`<6n?165?k51g689<4b28l8707=e;3e6>;>:l0:j<5293g95c6<508n6<ki;<;1a?7bm272>h4>ee9>=7c=9li014<j:0ga?8?5m38;m6362d814<=:1;o1>=64=80f>7603439i7<?6:?:6`<58<165?k521689<4b2;:;707=e;3e1>;>:o0:il5293d90=c<508m64l4=80e>7bf3439j77n;<;1b??c3439j77l;<;1b?2>8272>k4=539>=7`=:<:014<i:36e?8?5n38?i6362g8170=:1;l1>>=4=80e>7563439j7<<0:?:6c<5:o165?h523g89<4a2;8o707=f;01g>;>:o09>o5293d967g<508m6?<6;<;1b?450272>k4=279>=7`=:;?014<i:307?8?5n389?6362g8167=:1;l1>??4=80e>7473439j7<>f:?:6c<59l165?h520f89<4a2;9h707=f;00f>;>:o09?l5293d966?<508m6?=7;<;1b?44?272>k4=379>=7`=::8014<i:37b?8?5n38>46362g8112=:1;l1>884=80e>00<508m69h4=80e>2?<508m6:<4=80e>7643439j7<?1:?:6c<6no165?h51gg89<4a28lo707=f;3eg>;>:o0:jo5293d95cg<508m6<h6;<;1b?7a0272>k4>f69>=7`=9o<014<i:0d7?8?5n3;m?6362g82b7=:1;l1=k?4=80e>4`73439j7?jf:?:6c<6ml165?h51df89<4a28oh707=f;3ff>;>:o09<l5293d965?<508m6?>7;<;1b?47?272>k4=079>=7`=:9?014<i:327?8?5n38;<6362g82b0=:1::1=ho4=813>1>b3438<77m;<;04?4ci272?=46a:?:75<>l272?=46c:?:75<319165>>524089<572;?;707<0;07b>;>;9098h529229663<509;6?=<;<;04?449272?=4=319>=66=:;l014=?:30f?8?48389h63631816f=:1::1>?l4=813>74f3438<7<=9:?:75<5:1165>>523489<572;8>707<0;010>;>;909>>529229674<509;6?<>;<;04?458272?=4=1g9>=66=:8o014=?:33g?8?48388o63631817g=:1::1>>o4=813>75>3438<7<<8:?:75<5;>165>>522489<572;99707<0;06e>;>;90995529229601<509;6?;9;<;04?313438<7:i;<;04?1>3438<79=;<;04?47;272?=4=009>=66=9ol014=?:0df?8?483;mh6363182bf=:1::1=kl4=813>4`f3438<7?i9:?:75<6n1165>>51g589<5728l=707<0;3e0>;>;90:j>5292295c4<509;6<h>;<;04?7a8272?=4>eg9>=66=9lo014=?:0gg?8?483;no6363182ag=:1::1>=o4=813>76>3438<7<?8:?:75<58>165>>521489<572;:>707<0;030>;>;909<=5292295c3<509:6<kn;<;05?2?m272?<46b:?:74<5lh165>?59`9>=67=1m165>?59b9>=67=<0:014=>:371?8?4938><63630810c=:1:;1>9k4=812>7523438=7<<3:?:74<5;8165>?522289<562;8m707<1;01a>;>;809>i52923967e<509:6?<m;<;05?45i272?<4=289>=67=:;2014=>:305?8?493899636308161=:1:;1>?=4=812>7453438=7<=1:?:74<5:9165>?520d89<562;;n707<1;02`>;>;809?n52923966d<509:6?=n;<;05?441272?<4=399>=67=::=014=>:315?8?49388>63630811d=:1:;1>864=812>7303438=7<:6:?:74<2>272?<4;f:?:74<01272?<482:?:74<58:165>?521389<5628lm707<1;3ea>;>;80:ji5292395ce<509:6<hm;<;05?7ai272?<4>f89>=67=9o2014=>:0d4?8?493;m:6363082b1=:1:;1=k=4=812>4`53438=7?i1:?:74<6n9165>?51dd89<5628on707<1;3f`>;>;80:in5292395`d<509:6?>n;<;05?471272?<4=099>=67=:9=014=>:325?8?4938;9636308141=:1:;1>=>4=812>4`23tyoi:4?:3y>``1=<>=01ik9:d78yvc293:1>v3j508732=:m<:1i85rseaa>5<5s4nhn7:87:?ggd<b=2wx8;650;0x9a`32==<70ji7;12<>{t<?31<7<t=ed6>11034nm;7=>9:p03g=838p1ih9:554?8ba?39:;6s|47`94?4|50l<6998;<;e2?56<2wx8;m50;0x9<`?2==<707i6;121>{t<?n1<7<t=`23>110343mj7=>4:p03c=838p1l>>:554?8?an39:96s|47d94?4|5mlj6998;<fe=?56<2wx8:>50;0x9a`e2==<70ji9;121>{t<>;1<7<t=ega>11034nnm7=>4:p024=838p1ikl:554?8bbi39:96s|46194?4|5ml:6998;<fe4?56<2wx8::50;0x9a`52==<70ji0;121>{zf1<<97>51zJ24a3<ug2=;;4?:0yK55b23td3::950;3xL46c=2we4;97:182M77l<1vb5889;295~N68m?0qc697`83>4}O99n>7p`766`94?7|@8:o96sa875`>5<6sA;;h85rn944`?6=9rB:<i;4}o:53`<728qC==j:;|l;22`=83;pD<>k5:m<3>7290:wE??d49~j=0?93:1=vF>0e78yk>10;0;6<uG11f6?xh?>191<7?tH02g1>{i0?2?6=4>{I33`0=zf1<397>51zJ24a3<ug2=4;4?:0yK55b23td3:5950;3xL46c=2we4;67:182M77l<1vb5879;295~N68m?0qc698`83>4}O99n>7p`769`94?7|@8:o96sa87:`>5<6sA;;h85rn94;`?6=9rB:<i;4}o:5<`<728qC==j:;|l;2=`=83;pD<>k5:m<3?7290:wE??d49~j=0>93:1=vF>0e78yk>11;0;6<uG11f6?xh?>091<7?tH02g1>{i0?3?6=4>{I33`0=zf1<297>51zJ24a3<ug2=5;4?:0yK55b23td3:4950;3xL46c=2we4;77:182M77l<1vb5869;295~N68m?0qc699`83>4}O99n>7p`768`94?7|@8:o96sa87;`>5<6sA;;h85rn94:`?6=9rB:<i;4}o:5=`<728qC==j:;|l;2<`=83;pD<>k5:m<3g7290:wE??d49~j=0f93:1=vF>0e78yk>1i;0;6<uG11f6?xh?>h91<7?tH02g1>{i0?k?6=4>{I33`0=zf1<j97>51zJ24a3<ug2=m;4?:0yK55b23td3:l950;3xL46c=2we4;o7:182M77l<1vb58n9;295~N68m?0qc69a`83>4}O99n>7p`76``94?7|@8:o96sa87c`>5<6sA;;h85rn94b`?6=9rB:<i;4}o:5e`<728qC==j:;|l;2d`=83;pD<>k5:m<3d7290:wE??d49~j=0e93:1=vF>0e78yk>1j;0;6<uG11f6?xh?>k91<7?tH02g1>{i0?h?6=4>{I33`0=zf1<i97>51zJ24a3<ug2=n;4?:0yK55b23td3:o950;3xL46c=2we4;l7:182M77l<1vb58m9;295~N68m?0qc69b`83>4}O99n>7p`76c`94?7|@8:o96sa87``>5<6sA;;h85rn94a`?6=9rB:<i;4}o:5f`<728qC==j:;|l;2g`=83;pD<>k5:m<3e7290:wE??d49~j=0d93:1=vF>0e78yk>1k;0;6<uG11f6?xh?>j91<7?tH02g1>{i0?i?6=4>{I33`0=zf1<h97>51zJ24a3<ug2=o;4?:0yK55b23td3:n950;3xL46c=2we4;m7:182M77l<1vb58l9;295~N68m?0qc69c`83>4}O99n>7p`76b`94?7|@8:o96sa87a`>5<6sA;;h85rn94``?6=9rB:<i;4}o:5g`<728qC==j:;|l;2f`=83;pD<>k5:m<3b7290:wE??d49~j=0c93:1=vF>0e78yk>1l;0;6<uG11f6?xh?>m91<7?tH02g1>{i0?n?6=4>{I33`0=zf1<o97>51zJ24a3<ug2=h;4?:0yK55b23td3:i950;3xL46c=2we4;j7:182M77l<1vb58k9;295~N68m?0qc69d`83>4}O99n>7p`76e`94?7|@8:o96sa87f`>5<6sA;;h85rn94g`?6=9rB:<i;4}o:5``<728qC==j:;|l;2a`=83;pD<>k5:m<3c7290:wE??d49~j=0b93:1=vF>0e78yk>1m;0;6<uG11f6?xh?>l91<7?tH02g1>{i0?o?6=4>{I33`0=zf1<n97>51zJ24a3<ug2=i;4?:0yK55b23td3:h950;3xL46c=2we4;k7:182M77l<1vb58j9;295~N68m?0qc69e`83>4}O99n>7p`76d`94?7|@8:o96sa87g`>5<6sA;;h85rn94f`?6=9rB:<i;4}o:5a`<728qC==j:;|l;2``=83;pD<>k5:m<3`7290:wE??d49~j=0a93:1=vF>0e78yk>1n;0;6<uG11f6?xh?>o91<7?tH02g1>{i0?l?6=4>{I33`0=zf1<m97>51zJ24a3<ug2=j;4?:0yK55b23td3:k950;3xL46c=2we4;h7:182M77l<1vb58i9;295~N68m?0qc69f`83>4}O99n>7p`76g`94?7|@8:o96sa87d`>5<6sA;;h85rn94e`?6=9rB:<i;4}o:5b`<728qC==j:;|l;2c`=83;pD<>k5:m<267290:wE??d49~j=1793:1=vF>0e78yk>08;0;6<uG11f6?xh??991<7?tH02g1>{i0>:?6=4>{I33`0=zf1=;97>51zJ24a3<ug2<<;4?:0yK55b23td3;=950;3xL46c=2we4:>7:182M77l<1vb59?9;295~N68m?0qc680`83>4}O99n>7p`771`94?7|@8:o96sa862`>5<6sA;;h85rn953`?6=9rB:<i;4}o:44`<728qC==j:;|l;35`=83;pD<>k5:m<277290:wE??d49~j=1693:1=vF>0e78yk>09;0;6<uG11f6?xh??891<7?tH02g1>{i0>;?6=4>{I33`0=zf1=:97>51zJ24a3<ug2<=;4?:0yK55b23td3;<950;3xL46c=2we4:?7:182M77l<1vb59>9;295~N68m?0qc681`83>4}O99n>7p`770`94?7|@8:o96sa863`>5<6sA;;h85rn952`?6=9rB:<i;4}o:45`<728qC==j:;|l;34`=83;pD<>k5:m<247290:wE??d49~j=1593:1=vF>0e78yk>0:;0;6<uG11f6?xh??;91<7?tH02g1>{i0>8?6=4>{I33`0=zf1=997>51zJ24a3<ug2<>;4?:0yK55b23td3;?950;3xL46c=2we4:<7:182M77l<1vb59=9;295~N68m?0qc682`83>4}O99n>7p`773`94?7|@8:o96sa860`>5<6sA;;h85rn951`?6=9rB:<i;4}o:46`<728qC==j:;|l;37`=83;pD<>k5:m<257290:wE??d49~j=1493:1=vF>0e78yk>0;;0;6<uG11f6?xh??:91<7?tH02g1>{i0>9?6=4>{I33`0=zf1=897>51zJ24a3<ug2<?;4?:0yK55b23td3;>950;3xL46c=2we4:=7:182M77l<1vb59<9;295~N68m?0qc683`83>4}O99n>7p`772`94?7|@8:o96sa861`>5<6sA;;h85rn950`?6=9rB:<i;4}o:47`<728qC==j:;|l;36`=83;pD<>k5:m<227290:wE??d49~j=1393:1=vF>0e78yk>0<;0;6<uG11f6?xh??=91<7?tH02g1>{i0>>?6=4>{I33`0=zf1=?97>51zJ24a3<ug2<8;4?:0yK55b23td3;9950;3xL46c=2we4::7:182M77l<1vb59;9;295~N68m?0qc684`83>4}O99n>7p`775`94?7|@8:o96sa866`>5<6sA;;h85rn957`?6=9rB:<i;4}o:40`<728qC==j:;|l;31`=83;pD<>k5:m<237290:wE??d49~j=1293:1=vF>0e78yk>0=;0;6<uG11f6?xh??<91<7?tH02g1>{i0>??6=4>{I33`0=zf1=>97>51zJ24a3<ug2<9;4?:0yK55b23td3;8950;3xL46c=2we4:;7:182M77l<1vb59:9;295~N68m?0qc685`83>4}O99n>7p`774`94?7|@8:o96sa867`>5<6sA;;h85rn956`?6=9rB:<i;4}o:41`<728qC==j:;|l;30`=83;pD<>k5:m<207290:wE??d49~j=1193:1=vF>0e78yk>0>;0;6<uG11f6?xh???91<7?tH02g1>{i0><?6=4>{I33`0=zf1==97>51zJ24a3<ug2<:;4?:0yK55b23td3;;950;3xL46c=2we4:87:182M77l<1vb5999;295~N68m?0qc686`83>4}O99n>7p`777`94?7|@8:o96sa864`>5<6sA;;h85rn955`?6=9rB:<i;4}o:42`<728qC==j:;|l;33`=83;pD<>k5:m<217290:wE??d49~j=1093:1=vF>0e78yk>0?;0;6<uG11f6?xh??>91<7?tH02g1>{i0>=?6=4>{I33`0=zf1=<97>51zJ24a3<ug2<;;4?:0yK55b23td3;:950;3xL46c=2we4:97:182M77l<1vb5989;295~N68m?0qc687`83>4}O99n>7p`776`94?7|@8:o96sa865`>5<6sA;;h85rn954`?6=:rB:<i;4}o:43`<728qC==j:;|l;32`=83;pD<>k5:m<2>7290:wE??d49~j=1?93:18vF>0e78yk>00;0;6<uG11f6?xh??191<7?tH02g1>{i0>2?6=4>{I33`0=zf1=397>51zJ24a3<ug2<4;4?:3yK55b23td3;5950;7xL46c=2we4:67:181M77l<1vb5979;295~N68m?0qc688`83>4}O99n>7p`779`94?7|@8:o96sa86:`>5<6sA;;h85rn95;`?6=9rB:<i;4}o:4<`<728qC==j:;|l;3=`=83;pD<>k5:m<2?7290:wE??d49~j=1>93:1=vF>0e78yk>01;0;6<uG11f6?xh??091<7?tH02g1>{i0>3?6=4>{I33`0=zf1=297>51zJ24a3<ug2<5;4?:0yK55b23td3;4950;3xL46c=2we4:77:182M77l<1vb5969;295~N68m?0qc689`83>4}O99n>7p`778`94?7|@8:o96sa86;`>5<6sA;;h85rn95:`?6=9rB:<i;4}o:4=`<728qC==j:;|l;3<`=83;pD<>k5:m<2g7290:wE??d49~j=1f93:1=vF>0e78yk>0i;0;6<uG11f6?xh??h91<7?tH02g1>{i0>k?6=4>{I33`0=zf1=j97>51zJ24a3<ug2<m;4?:0yK55b23td3;l950;3xL46c=2we4:o7:182M77l<1vb59n9;295~N68m?0qc68a`83>4}O99n>7p`77``94?7|@8:o96sa86c`>5<6sA;;h85rn95b`?6=9rB:<i;4}o:4e`<728qC==j:;|l;3d`=83;pD<>k5:m<2d7290:wE??d49~j=1e93:1=vF>0e78yk>0j;0;6<uG11f6?xh??k91<7?tH02g1>{i0>h?6=4>{I33`0=zf1=i97>51zJ24a3<ug2<n;4?:0yK55b23td3;o950;3xL46c=2we4:l7:182M77l<1vb59m9;295~N68m?0qc68b`83>4}O99n>7p`77c`94?7|@8:o96sa86``>5<6sA;;h85rn95a`?6=9rB:<i;4}o:4f`<728qC==j:;|l;3g`=83;pD<>k5:m<2e7290:wE??d49~j=1d93:1=vF>0e78yk>0k;0;6<uG11f6?xh??j91<7?tH02g1>{i0>i?6=4>{I33`0=zf1=h97>51zJ24a3<ug2<o;4?:0yK55b23td3;n950;3xL46c=2we4:m7:182M77l<1vb59l9;295~N68m?0qc68c`83>4}O99n>7p`77b`94?7|@8:o96sa86a`>5<6sA;;h85rn95``?6=9rB:<i;4}o:4g`<728qC==j:;|l;3f`=83;pD<>k5:m<2b7290:wE??d49~j=1c93:1=vF>0e78yk>0l;0;6<uG11f6?xh??m91<7?tH02g1>{i0>n?6=4>{I33`0=zf1=o97>51zJ24a3<ug2<h;4?:0yK55b23td3;i950;3xL46c=2we4:j7:182M77l<1vb59k9;295~N68m?0qc68d`83>4}O99n>7p`77e`94?7|@8:o96sa86f`>5<6sA;;h85rn95g`?6=9rB:<i;4}o:4``<728qC==j:;|l;3a`=83;pD<>k5:m<2c7290:wE??d49~j=1b93:1=vF>0e78yk>0m;0;6<uG11f6?xh??l91<7?tH02g1>{i0>o?6=4>{I33`0=zf1=n97>51zJ24a3<ug2<i;4?:0yK55b23td3;h950;3xL46c=2we4:k7:182M77l<1vb59j9;295~N68m?0qc68e`83>4}O99n>7p`77d`94?7|@8:o96sa86g`>5<6sA;;h85rn95f`?6=9rB:<i;4}o:4a`<728qC==j:;|l;3``=83;pD<>k5:m<2`7290:wE??d49~j=1a93:1=vF>0e78yk>0n;0;6<uG11f6?xh??o91<7?tH02g1>{i0>l?6=4>{I33`0=zf1=m97>51zJ24a3<ug2<j;4?:0yK55b23td3;k950;3xL46c=2we4:h7:182M77l<1vb59i9;295~N68m?0qc68f`83>4}O99n>7p`77g`94?7|@8:o96sa86d`>5<6sA;;h85rn95e`?6=9rB:<i;4}o:4b`<728qC==j:;|l;3c`=83;pD<>k5:m<=67290:wE??d49~j=>793:1=vF>0e78yk>?8;0;6<uG11f6?xh?0991<7?tH02g1>{i01:?6=4>{I33`0=zf12;97>51zJ24a3<ug23<;4?:0yK55b23td34=950;3xL46c=2we45>7:182M77l<1vb56?9;295~N68m?0qc670`83>4}O99n>7p`781`94?7|@8:o96sa892`>5<6sA;;h85rn9:3`?6=9rB:<i;4}o:;4`<728qC==j:;|l;<5`=83;pD<>k5:m<=77290:wE??d49~j=>693:1=vF>0e78yk>?9;0;6<uG11f6?xh?0891<7?tH02g1>{i01;?6=4>{I33`0=zf12:97>51zJ24a3<ug23=;4?:0yK55b23td34<950;3xL46c=2we45?7:182M77l<1vb56>9;295~N68m?0qc671`83>4}O99n>7p`780`94?7|@8:o96sa893`>5<6sA;;h85rn9:2`?6=9rB:<i;4}o:;5`<728qC==j:;|l;<4`=83;pD<>k5:m<=47290:wE??d49~j=>593:1=vF>0e78yk>?:;0;6<uG11f6?xh?0;91<7?tH02g1>{i018?6=4>{I33`0=zf12997>51zJ24a3<ug23>;4?:0yK55b23td34?950;3xL46c=2we45<7:182M77l<1vb56=9;295~N68m?0qc672`83>4}O99n>7p`783`94?7|@8:o96sa890`>5<6sA;;h85rn9:1`?6=9rB:<i;4}o:;6`<728qC==j:;|l;<7`=83;pD<>k5:m<=57290:wE??d49~j=>493:1=vF>0e78yk>?;;0;6<uG11f6?xh?0:91<7?tH02g1>{i019?6=4>{I33`0=zf12897>51zJ24a3<ug23?;4?:0yK55b23td34>950;3xL46c=2we45=7:182M77l<1vb56<9;295~N68m?0qc673`83>4}O99n>7p`782`94?7|@8:o96sa891`>5<6sA;;h85rn9:0`?6=9rB:<i;4}o:;7`<728qC==j:;|l;<6`=83;pD<>k5:m<=27290:wE??d49~j=>393:1=vF>0e78yk>?<;0;6<uG11f6?xh?0=91<7?tH02g1>{i01>?6=4>{I33`0=zf12?97>51zJ24a3<ug238;4?:0yK55b23td349950;3xL46c=2we45:7:182M77l<1vb56;9;295~N68m?0qc674`83>4}O99n>7p`785`94?7|@8:o96sa896`>5<6sA;;h85rn9:7`?6=9rB:<i;4}o:;0`<728qC==j:;|l;<1`=83;pD<>k5:m<=37290:wE??d49~j=>293:1=vF>0e78yk>?=;0;6<uG11f6?xh?0<91<7?tH02g1>{i01??6=4>{I33`0=zf12>97>51zJ24a3<ug239;4?:0yK55b23td348950;3xL46c=2we45;7:182M77l<1vb56:9;295~N68m?0qc675`83>4}O99n>7p`784`94?7|@8:o96sa897`>5<6sA;;h85rn9:6`?6=9rB:<i;4}o:;1`<728qC==j:;|l;<0`=83;pD<>k5:m<=07290:wE??d49~j=>193:1=vF>0e78yk>?>;0;6<uG11f6?xh?0?91<7?tH02g1>{i01<?6=4>{I33`0=zf12=97>51zJ24a3<ug23:;4?:0yK55b23td34;950;3xL46c=2we4587:182M77l<1vb5699;295~N68m?0qc676`83>4}O99n>7p`787`94?7|@8:o96sa894`>5<6sA;;h85rn9:5`?6=9rB:<i;4}o:;2`<728qC==j:;|l;<3`=83;pD<>k5:m<=17290:wE??d49~j=>093:1=vF>0e78yk>??;0;6<uG11f6?xh?0>91<7?tH02g1>{i01=?6=4>{I33`0=zf12<97>51zJ24a3<ug23;;4?:0yK55b23td34:950;3xL46c=2we4597:182M77l<1vb5689;295~N68m?0qc677`83>4}O99n>7p`786`94?7|@8:o96sa895`>5<6sA;;h85rn9:4`?6=9rB:<i;4}o:;3`<728qC==j:;|l;<2`=83;pD<>k5:m<=>7290:wE??d49~j=>?93:1=vF>0e78yk>?0;0;6<uG11f6?xh?0191<7?tH02g1>{i012?6=4>{I33`0=zf12397>51zJ24a3<ug234;4?:0yK55b23td345950;3xL46c=2we4567:182M77l<1vb5679;295~N68m?0qc678`83>4}O99n>7p`789`94?7|@8:o96sa89:`>5<6sA;;h85rn9:;`?6=9rB:<i;4}o:;<`<728qC==j:;|l;<=`=83;pD<>k5:m<=?7290:wE??d49~j=>>93:1=vF>0e78yk>?1;0;6<uG11f6?xh?0091<7?tH02g1>{i013?6=4>{I33`0=zf12297>51zJ24a3<ug235;4?:0yK55b23td344950;3xL46c=2we4577:182M77l<1vb5669;295~N68m?0qc679`83>4}O99n>7p`788`94?7|@8:o96sa89;`>5<6sA;;h85rn9::`?6=9rB:<i;4}o:;=`<728qC==j:;|l;<<`=83;pD<>k5:m<=g7290:wE??d49~j=>f93:1=vF>0e78yk>?i;0;6<uG11f6?xh?0h91<7?tH02g1>{i01k?6=4>{I33`0=zf12j97>51zJ24a3<ug23m;4?:0yK55b23td34l950;3xL46c=2we45o7:182M77l<1vb56n9;295~N68m?0qc67a`83>4}O99n>7p`78``94?7|@8:o96sa89c`>5<6sA;;h85rn9:b`?6=9rB:<i;4}o:;e`<728qC==j:;|l;<d`=83;pD<>k5:m<=d7290:wE??d49~j=>e93:1=vF>0e78yk>?j;0;6<uG11f6?xh?0k91<7?tH02g1>{i01h?6=4>{I33`0=zf12i97>51zJ24a3<ug23n;4?:0yK55b23td34o950;3xL46c=2we45l7:182M77l<1vb56m9;295~N68m?0qc67b`83>4}O99n>7p`78c`94?7|@8:o96sa89``>5<6sA;;h85rn9:a`?6=9rB:<i;4}o:;f`<728qC==j:;|l;<g`=83;pD<>k5:m<=e7290:wE??d49~j=>d93:1=vF>0e78yk>?k;0;6<uG11f6?xh?0j91<7?tH02g1>{i01i?6=4>{I33`0=zf12h97>51zJ24a3<ug23o;4?:3yK55b23td34n950;3xL46c=2we45m7:182M77l<1vb56l9;295~N68m?0qc67c`83>4}O99n>7p`78b`94?7|@8:o96sa89a`>5<6sA;;h85rn9:``?6=9rB:<i;4}o:;g`<728qC==j:;|l;<f`=83;pD<>k5:m<=b7290:wE??d49~j=>c93:1=vF>0e78yk>?l;0;6<uG11f6?xh?0m91<7?tH02g1>{i01n?6=4>{I33`0=zf12o97>51zJ24a3<ug23h;4?:0yK55b23td34i950;3xL46c=2we45j7:182M77l<1vb56k9;295~N68m?0qc67d`83>4}O99n>7p`78e`94?7|@8:o96sa89f`>5<6sA;;h85rn9:g`?6=9rB:<i;4}o:;``<728qC==j:;|l;<a`=83;pD<>k5:m<=c7290:wE??d49~j=>b93:1=vF>0e78yk>?m;0;6<uG11f6?xh?0l91<7?tH02g1>{i01o?6=4>{I33`0=zf12n97>51zJ24a3<ug23i;4?:0yK55b23td34h950;3xL46c=2we45k7:182M77l<1vb56j9;295~N68m?0qc67e`83>4}O99n>7p`78d`94?7|@8:o96sa89g`>5<6sA;;h85rn9:f`?6=9rB:<i;4}o:;a`<728qC==j:;|l;<``=83;pD<>k5:m<=`7290:wE??d49~j=>a93:1=vF>0e78yk>?n;0;6<uG11f6?xh?0o91<7?tH02g1>{i01l?6=4>{I33`0=zf12m97>51zJ24a3<ug23j;4?:0yK55b23td34k950;3xL46c=2we45h7:182M77l<1vb56i9;295~N68m?0qc67f`83>4}O99n>7p`78g`94?7|@8:o96sa89d`>5<6sA;;h85rn9:e`?6=9rB:<i;4}o:;b`<728qC==j:;|l;<c`=83;pD<>k5:m<<67290:wE??d49~j=?793:1=vF>0e78yk>>8;0;6<uG11f6?xh?1991<7?tH02g1>{i00:?6=4>{I33`0=zf13;97>51zJ24a3<ug22<;4?:0yK55b23td35=950;3xL46c=2we44>7:182M77l<1vb57?9;295~N68m?0qc660`83>4}O99n>7p`791`94?7|@8:o96sa882`>5<6sA;;h85rn9;3`?6=9rB:<i;4}o::4`<728qC==j:;|l;=5`=83;pD<>k5:m<<77290:wE??d49~j=?693:1=vF>0e78yk>>9;0;6<uG11f6?xh?1891<7?tH02g1>{i00;?6=4>{I33`0=zf13:97>51zJ24a3<ug22=;4?:0yK55b23td35<950;3xL46c=2we44?7:182M77l<1vb57>9;295~N68m?0qc661`83>4}O99n>7p`790`94?7|@8:o96sa883`>5<6sA;;h85rn9;2`?6=9rB:<i;4}o::5`<728qC==j:;|l;=4`=83;pD<>k5:m<<47290:wE??d49~j=?593:1=vF>0e78yk>>:;0;6<uG11f6?xh?1;91<7?tH02g1>{i008?6=4>{I33`0=zf13997>51zJ24a3<ug22>;4?:0yK55b23td35?950;3xL46c=2we44<7:182M77l<1vb57=9;295~N68m?0qc662`83>4}O99n>7p`793`94?7|@8:o96sa880`>5<6sA;;h85rn9;1`?6=9rB:<i;4}o::6`<728qC==j:;|l;=7`=83;pD<>k5:m<<57290:wE??d49~j=?493:1=vF>0e78yk>>;;0;6<uG11f6?xh?1:91<7?tH02g1>{i009?6=4>{I33`0=zf13897>51zJ24a3<ug22?;4?:0yK55b23td35>950;3xL46c=2we44=7:182M77l<1vb57<9;295~N68m?0qc663`83>4}O99n>7p`792`94?7|@8:o96sa881`>5<6sA;;h85rn9;0`?6=9rB:<i;4}o::7`<728qC==j:;|l;=6`=83;pD<>k5:m<<27290:wE??d49~j=?393:1=vF>0e78yk>><;0;6<uG11f6?xh?1=91<7?tH02g1>{i00>?6=4>{I33`0=zf13?97>51zJ24a3<ug228;4?:0yK55b23td359950;3xL46c=2we44:7:182M77l<1vb57;9;295~N68m?0qc664`83>4}O99n>7p`795`94?7|@8:o96sa886`>5<6sA;;h85rn9;7`?6=9rB:<i;4}o::0`<728qC==j:;|l;=1`=83;pD<>k5:m<<37290:wE??d49~j=?293:1=vF>0e78yk>>=;0;6<uG11f6?xh?1<91<7?tH02g1>{i00??6=4>{I33`0=zf13>97>51zJ24a3<ug229;4?:0yK55b23td358950;3xL46c=2we44;7:182M77l<1vb57:9;295~N68m?0qc665`83>4}O99n>7p`794`94?7|@8:o96sa887`>5<6sA;;h85rn9;6`?6=9rB:<i;4}o::1`<728qC==j:;|l;=0`=83;pD<>k5:m<<07290:wE??d49~j=?193:1=vF>0e78yk>>>;0;6<uG11f6?xh?1?91<7?tH02g1>{i00<?6=4>{I33`0=zf13=97>51zJ24a3<ug22:;4?:0yK55b23td35;950;3xL46c=2we4487:182M77l<1vb5799;295~N68m?0qc666`83>4}O99n>7p`797`94?7|@8:o96sa884`>5<6sA;;h85rn9;5`?6=9rB:<i;4}o::2`<728qC==j:;|l;=3`=83;pD<>k5:m<<17290:wE??d49~j=?093:1=vF>0e78yk>>?;0;6<uG11f6?xh?1>91<7?tH02g1>{i00=?6=4>{I33`0=zf13<97>51zJ24a3<ug22;;4?:0yK55b23td35:950;3xL46c=2we4497:182M77l<1vb5789;295~N68m?0qc667`83>4}O99n>7p`796`94?7|@8:o96sa885`>5<6sA;;h85rn9;4`?6=9rB:<i;4}o::3`<728qC==j:;|l;=2`=83;pD<>k5:m<<>7290:wE??d49~j=??93:1=vF>0e78yk>>0;0;6<uG11f6?xh?1191<7?tH02g1>{i002?6=4>{I33`0=zf13397>51zJ24a3<ug224;4?:0yK55b23td355950;3xL46c=2we4467:182M77l<1vb5779;295~N68m?0qc668`83>4}O99n>7p`799`94?7|@8:o96sa88:`>5<6sA;;h85rn9;;`?6=9rB:<i;4}o::<`<728qC==j:;|l;==`=83;pD<>k5:m<<?7290:wE??d49~j=?>93:1=vF>0e78yk>>1;0;6<uG11f6?xh?1091<7?tH02g1>{i003?6=4>{I33`0=zf13297>51zJ24a3<ug225;4?:0yK55b23td354950;3xL46c=2we4477:182M77l<1vb5769;295~N68m?0qc669`83>4}O99n>7p`798`94?7|@8:o96sa88;`>5<6sA;;h85rn9;:`?6=9rB:<i;4}o::=`<728qC==j:;|l;=<`=83;pD<>k5:m<<g7290:wE??d49~j=?f93:1=vF>0e78yk>>i;0;6<uG11f6?xh?1h91<7?tH02g1>{i00k?6=4>{I33`0=zf13j97>51zJ24a3<ug22m;4?:0yK55b23td35l950;3xL46c=2we44o7:182M77l<1vb57n9;295~N68m?0qc66a`83>4}O99n>7p`79``94?7|@8:o96sa88c`>5<6sA;;h85rn9;b`?6=9rB:<i;4}o::e`<728qC==j:;|l;=d`=83;pD<>k5:m<<d7290:wE??d49~j=?e93:1=vF>0e78yk>>j;0;6<uG11f6?xh?1k91<7?tH02g1>{i00h?6=4>{I33`0=zf13i97>51zJ24a3<ug22n;4?:0yK55b23td35o950;3xL46c=2we44l7:182M77l<1vb57m9;295~N68m?0qc66b`83>4}O99n>7p`79c`94?7|@8:o96sa88``>5<6sA;;h85rn9;a`?6=9rB:<i;4}o::f`<728qC==j:;|l;=g`=83;pD<>k5:m<<e7290:wE??d49~j=?d93:1=vF>0e78yk>>k;0;6<uG11f6?xh?1j91<7?tH02g1>{i00i?6=4>{I33`0=zf13h97>51zJ24a3<ug22o;4?:0yK55b23td35n950;3xL46c=2we44m7:182M77l<1vb57l9;295~N68m?0qc66c`83>4}O99n>7p`79b`94?7|@8:o96sa88a`>5<6sA;;h85rn9;``?6=9rB:<i;4}o::g`<728qC==j:;|l;=f`=83;pD<>k5:m<<b7290:wE??d49~j=?c93:1=vF>0e78yk>>l;0;6?uG11f6?xh?1m91<7?tH02g1>{i00n?6=4>{I33`0=zf13o97>51zJ24a3<ug22h;4?:0yK55b23td35i950;3xL46c=2we44j7:182M77l<1vb57k9;295~N68m?0qc66d`83>4}O99n>7p`79e`94?7|@8:o96sa88f`>5<6sA;;h85rn9;g`?6=9rB:<i;4}o::``<728qC==j:;|l;=a`=83;pD<>k5:m<<c7290:wE??d49~j=?b93:1=vF>0e78yk>>m;0;6<uG11f6?xh?1l91<7?tH02g1>{i00o?6=4>{I33`0=zf13n97>51zJ24a3<ug22i;4?:2yK55b23td35h950;1xL46c=2we44k7:180M77l<1vb57j9;297~N68m?0qc66e`83>6}O99n>7p`79d`94?5|@8:o96sa88g`>5<4sA;;h85rn9;f`?6=;rB:<i;4}o::a`<72:qC==j:;|l;=``=839pD<>k5:m<<`72908wE??d49~j=?a93:1?vF>0e78yk>>n;0;6>uG11f6?xh?1o91<7=tH02g1>{i00l?6=4>{I33`0=zf13m97>51zJ24a3<ug22j;4?:0yK55b23td35k950;3xL46c=2we44h7:182M77l<1vb57i9;295~N68m?0qc66f`83>4}O99n>7p`79g`94?7|@8:o96sa88d`>5<6sA;;h85rn9;e`?6=9rB:<i;4}o::b`<728qC==j:;|l;=c`=83;pD<>k5:m<d67290:wE??d49~j=g793:1=vF>0e78yk>f8;0;6<uG11f6?xh?i991<7?tH02g1>{i0h:?6=4>{I33`0=zf1k;97>51zJ24a3<ug2j<;4?:0yK55b23td3m=950;3xL46c=2we4l>7:182M77l<1vb5o?9;295~N68m?0qc6n0`83>4}O99n>7p`7a1`94?7|@8:o96sa8`2`>5<6sA;;h85rn9c3`?6=9rB:<i;4}o:b4`<728qC==j:;|l;e5`=83;pD<>k5:m<d77290:wE??d49~j=g693:1=vF>0e78yk>f9;0;6<uG11f6?xh?i891<7?tH02g1>{i0h;?6=4>{I33`0=zf1k:97>51zJ24a3<ug2j=;4?:0yK55b23td3m<950;3xL46c=2we4l?7:182M77l<1vb5o>9;295~N68m?0qc6n1`83>4}O99n>7p`7a0`94?7|@8:o96sa8`3`>5<6sA;;h85rn9c2`?6=9rB:<i;4}o:b5`<728qC==j:;|l;e4`=83;pD<>k5:m<d47290:wE??d49~j=g593:1=vF>0e78yk>f:;0;6<uG11f6?xh?i;91<7?tH02g1>{i0h8?6=4>{I33`0=zf1k997>51zJ24a3<ug2j>;4?:0yK55b23td3m?950;3xL46c=2we4l<7:182M77l<1vb5o=9;295~N68m?0qc6n2`83>4}O99n>7p`7a3`94?7|@8:o96sa8`0`>5<6sA;;h85rn9c1`?6=9rB:<i;4}o:b6`<728qC==j:;|l;e7`=83;pD<>k5:m<d57290:wE??d49~j=g493:1=vF>0e78yk>f;;0;6<uG11f6?xh?i:91<7?tH02g1>{i0h9?6=4>{I33`0=zf1k897>51zJ24a3<ug2j?;4?:0yK55b23td3m>950;3xL46c=2we4l=7:182M77l<1vb5o<9;295~N68m?0qc6n3`83>4}O99n>7p`7a2`94?7|@8:o96sa8`1`>5<6sA;;h85rn9c0`?6=9rB:<i;4}o:b7`<728qC==j:;|l;e6`=83;pD<>k5:m<d27290:wE??d49~j=g393:1=vF>0e78yk>f<;0;6<uG11f6?xh?i=91<7?tH02g1>{i0h>?6=4>{I33`0=zf1k?97>51zJ24a3<ug2j8;4?:0yK55b23td3m9950;3xL46c=2we4l:7:182M77l<1vb5o;9;295~N68m?0qc6n4`83>4}O99n>7p`7a5`94?7|@8:o96sa8`6`>5<6sA;;h85rn9c7`?6=9rB:<i;4}o:b0`<728qC==j:;|l;e1`=83;pD<>k5:m<d37290:wE??d49~j=g293:1=vF>0e78yk>f=;0;6<uG11f6?xh?i<91<7?tH02g1>{i0h??6=4>{I33`0=zf1k>97>51zJ24a3<ug2j9;4?:0yK55b23td3m8950;3xL46c=2we4l;7:182M77l<1vb5o:9;295~N68m?0qc6n5`83>4}O99n>7p`7a4`94?7|@8:o96sa8`7`>5<6sA;;h85rn9c6`?6=9rB:<i;4}o:b1`<728qC==j:;|l;e0`=83;pD<>k5:m<d07290:wE??d49~j=g193:1=vF>0e78yk>f>;0;6<uG11f6?xh?i?91<7?tH02g1>{i0h<?6=4>{I33`0=zf1k=97>51zJ24a3<ug2j:;4?:0yK55b23td3m;950;3xL46c=2we4l87:182M77l<1vb5o99;295~N68m?0qc6n6`83>4}O99n>7p`7a7`94?7|@8:o96sa8`4`>5<6sA;;h85rn9c5`?6=9rB:<i;4}o:b2`<728qC==j:;|l;e3`=83;pD<>k5:m<d17290:wE??d49~j=g093:1=vF>0e78yk>f?;0;6<uG11f6?xh?i>91<7?tH02g1>{i0h=?6=4>{I33`0=zf1k<97>51zJ24a3<ug2j;;4?:0yK55b23td3m:950;3xL46c=2we4l97:182M77l<1vb5o89;295~N68m?0qc6n7`83>4}O99n>7p`7a6`94?7|@8:o96sa8`5`>5<6sA;;h85rn9c4`?6=9rB:<i;4}o:b3`<728qC==j:;|l;e2`=83;pD<>k5:m<d>7290:wE??d49~j=g?93:1=vF>0e78yk>f0;0;6<uG11f6?xh?i191<7?tH02g1>{i0h2?6=4>{I33`0=zf1k397>51zJ24a3<ug2j4;4?:0yK55b23td3m5950;3xL46c=2we4l67:182M77l<1vb5o79;295~N68m?0qc6n8`83>4}O99n>7p`7a9`94?7|@8:o96sa8`:`>5<6sA;;h85rn9c;`?6=9rB:<i;4}o:b<`<728qC==j:;|l;e=`=83;pD<>k5:m<d?7290:wE??d49~j=g>93:1=vF>0e78yk>f1;0;6<uG11f6?xh?i091<7?tH02g1>{i0h3?6=4>{I33`0=zf1k297>51zJ24a3<ug2j5;4?:0yK55b23td3m4950;3xL46c=2we4l77:182M77l<1vb5o69;295~N68m?0qc6n9`83>4}O99n>7p`7a8`94?7|@8:o96sa8`;`>5<6sA;;h85rn9c:`?6=9rB:<i;4}o:b=`<728qC==j:;|l;e<`=83;pD<>k5:m<dg7290:wE??d49~j=gf93:1=vF>0e78yk>fi;0;6<uG11f6?xh?ih91<7?tH02g1>{i0hk?6=4>{I33`0=zf1kj97>51zJ24a3<ug2jm;4?:0yK55b23td3ml950;3xL46c=2we4lo7:182M77l<1vb5on9;295~N68m?0qc6na`83>4}O99n>7p`7a``94?7|@8:o96sa8`c`>5<6sA;;h85rn9cb`?6=9rB:<i;4}o:be`<728qC==j:;|l;ed`=83;pD<>k5:m<dd7290:wE??d49~j=ge93:1=vF>0e78yk>fj;0;6<uG11f6?xh?ik91<7?tH02g1>{i0hh?6=4>{I33`0=zf1ki97>51zJ24a3<ug2jn;4?:0yK55b23td3mo950;3xL46c=2we4ll7:182M77l<1vb5om9;295~N68m?0qc6nb`83>4}O99n>7p`7ac`94?7|@8:o96sa8```>5<6sA;;h85rn9ca`?6=9rB:<i;4}o:bf`<728qC==j:;|l;eg`=83;pD<>k5:m<de7290:wE??d49~j=gd93:1=vF>0e78yk>fk;0;6<uG11f6?xh?ij91<7?tH02g1>{i0hi?6=4>{I33`0=zf1kh97>51zJ24a3<ug2jo;4?:0yK55b23td3mn950;3xL46c=2we4lm7:182M77l<1vb5ol9;295~N68m?0qc6nc`83>4}O99n>7p`7ab`94?7|@8:o96sa8`a`>5<6sA;;h85rn9c``?6=9rB:<i;4}o:bg`<728qC==j:;|l;ef`=83;pD<>k5:m<db7290:wE??d49~j=gc93:1=vF>0e78yk>fl;0;6<uG11f6?xh?im91<7?tH02g1>{i0hn?6=4>{I33`0=zf1ko97>51zJ24a3<ug2jh;4?:0yK55b23td3mi950;3xL46c=2we4lj7:182M77l<1vb5ok9;295~N68m?0qc6nd`83>4}O99n>7p`7ae`94?7|@8:o96sa8`f`>5<6sA;;h85rn9cg`?6=9rB:<i;4}o:b``<728qC==j:;|l;ea`=83;pD<>k5:m<dc7290:wE??d49~j=gb93:1=vF>0e78yk>fm;0;6<uG11f6?xh?il91<7?tH02g1>{i0ho?6=4>{I33`0=zf1kn97>51zJ24a3<ug2ji;4?:0yK55b23td3mh950;3xL46c=2we4lk7:182M77l<1vb5oj9;295~N68m?0qc6ne`83>4}O99n>7p`7ad`94?7|@8:o96sa8`g`>5<6sA;;h85rn9cf`?6=9rB:<i;4}o:ba`<728qC==j:;|l;e``=83;pD<>k5:m<d`7290:wE??d49~j=ga93:1=vF>0e78yk>fn;0;6<uG11f6?xh?io91<7?tH02g1>{i0hl?6=4>{I33`0=zf1km97>51zJ24a3<ug2jj;4?:0yK55b23td3mk950;3xL46c=2we4lh7:182M77l<1vb5oi9;295~N68m?0qc6nf`83>4}O99n>7p`7ag`94?7|@8:o96sa8`d`>5<6sA;;h85rn9ce`?6=9rB:<i;4}o:bb`<728qC==j:;|l;ec`=83;pD<>k5:m<g67290:wE??d49~j=d793:1=vF>0e78yk>e8;0;6<uG11f6?xh?j991<7?tH02g1>{i0k:?6=4>{I33`0=zf1h;97>51zJ24a3<ug2i<;4?:0yK55b23td3n=950;3xL46c=2we4o>7:182M77l<1vb5l?9;295~N68m?0qc6m0`83>4}O99n>7p`7b1`94?7|@8:o96sa8c2`>5<6sA;;h85rn9`3`?6=9rB:<i;4}o:a4`<728qC==j:;|l;f5`=83;pD<>k5:m<g77290:wE??d49~j=d693:1=vF>0e78yk>e9;0;6<uG11f6?xh?j891<7?tH02g1>{i0k;?6=4>{I33`0=zf1h:97>51zJ24a3<ug2i=;4?:0yK55b23td3n<950;3xL46c=2we4o?7:182M77l<1vb5l>9;295~N68m?0qc6m1`83>4}O99n>7p`7b0`94?7|@8:o96sa8c3`>5<6sA;;h85rn9`2`?6=9rB:<i;4}o:a5`<728qC==j:;|l;f4`=83;pD<>k5:m<g47290:wE??d49~j=d593:1=vF>0e78yk>e:;0;6<uG11f6?xh?j;91<7?tH02g1>{i0k8?6=4>{I33`0=zf1h997>51zJ24a3<ug2i>;4?:0yK55b23td3n?950;3xL46c=2we4o<7:182M77l<1vb5l=9;295~N68m?0qc6m2`83>4}O99n>7p`7b3`94?7|@8:o96sa8c0`>5<6sA;;h85rn9`1`?6=9rB:<i;4}o:a6`<728qC==j:;|l;f7`=83;pD<>k5:m<g57290:wE??d49~j=d493:1=vF>0e78yk>e;;0;6<uG11f6?xh?j:91<7?tH02g1>{i0k9?6=4>{I33`0=zf1h897>51zJ24a3<ug2i?;4?:0yK55b23td3n>950;3xL46c=2we4o=7:182M77l<1vb5l<9;295~N68m?0qc6m3`83>4}O99n>7p`7b2`94?7|@8:o96sa8c1`>5<6sA;;h85rn9`0`?6=9rB:<i;4}o:a7`<728qC==j:;|l;f6`=83;pD<>k5:m<g27290:wE??d49~j=d393:1=vF>0e78yk>e<;0;6<uG11f6?xh?j=91<7?tH02g1>{i0k>?6=4>{I33`0=zf1h?97>51zJ24a3<ug2i8;4?:0yK55b23td3n9950;3xL46c=2we4o:7:182M77l<1vb5l;9;295~N68m?0qc6m4`83>4}O99n>7p`7b5`94?7|@8:o96sa8c6`>5<6sA;;h85rn9`7`?6=9rB:<i;4}o:a0`<728qC==j:;|l;f1`=83;pD<>k5:m<g37290:wE??d49~j=d293:1=vF>0e78yk>e=;0;6<uG11f6?xh?j<91<7?tH02g1>{i0k??6=4>{I33`0=zf1h>97>51zJ24a3<ug2i9;4?:0yK55b23td3n8950;3xL46c=2we4o;7:182M77l<1vb5l:9;295~N68m?0qc6m5`83>4}O99n>7p`7b4`94?7|@8:o96sa8c7`>5<6sA;;h85rn9`6`?6=9rB:<i;4}o:a1`<728qC==j:;|l;f0`=83;pD<>k5:m<g07290:wE??d49~j=d193:1=vF>0e78yk>e>;0;6<uG11f6?xh?j?91<7?tH02g1>{i0k<?6=4>{I33`0=zf1h=97>51zJ24a3<ug2i:;4?:0yK55b23td3n;950;3xL46c=2we4o87:182M77l<1vb5l99;295~N68m?0qc6m6`83>4}O99n>7p`7b7`94?7|@8:o96sa8c4`>5<6sA;;h85rn9`5`?6=9rB:<i;4}o:a2`<728qC==j:;|l;f3`=83;pD<>k5:m<g17290:wE??d49~j=d093:1=vF>0e78yk>e?;0;6<uG11f6?xh?j>91<7?tH02g1>{i0k=?6=4>{I33`0=zf1h<97>51zJ24a3<ug2i;;4?:0yK55b23td3n:950;3xL46c=2we4o97:182M77l<1vb5l89;295~N68m?0qc6m7`83>4}O99n>7p`7b6`94?7|@8:o96sa8c5`>5<6sA;;h85rn9`4`?6=9rB:<i;4}o:a3`<728qC==j:;|l;f2`=83;pD<>k5:m<g>7290:wE??d49~j=d?93:1=vF>0e78yk>e0;0;6<uG11f6?xh?j191<7?tH02g1>{i0k2?6=4>{I33`0=zf1h397>51zJ24a3<ug2i4;4?:0yK55b23td3n5950;3xL46c=2we4o67:182M77l<1vb5l79;295~N68m?0qc6m8`83>4}O99n>7p`7b9`94?7|@8:o96sa8c:`>5<6sA;;h85rn9`;`?6=9rB:<i;4}o:a<`<728qC==j:;|l;f=`=83;pD<>k5:m<g?7290:wE??d49~j=d>93:1=vF>0e78yk>e1;0;6<uG11f6?xh?j091<7?tH02g1>{i0k3?6=4>{I33`0=zf1h297>51zJ24a3<ug2i5;4?:0yK55b23td3n4950;3xL46c=2we4o77:182M77l<1vb5l69;295~N68m?0qc6m9`83>4}O99n>7p`7b8`94?7|@8:o96sa8c;`>5<6sA;;h85rn9`:`?6=9rB:<i;4}o:a=`<728qC==j:;|l;f<`=83;pD<>k5:m<gg7290:wE??d49~j=df93:1=vF>0e78yk>ei;0;6<uG11f6?xh?jh91<7?tH02g1>{i0kk?6=4>{I33`0=zf1hj97>51zJ24a3<ug2im;4?:0yK55b23td3nl950;3xL46c=2we4oo7:182M77l<1vb5ln9;295~N68m?0qc6ma`83>4}O99n>7p`7b``94?7|@8:o96sa8cc`>5<6sA;;h85rn9`b`?6=9rB:<i;4}o:ae`<728qC==j:;|l;fd`=83;pD<>k5:m<gd7290:wE??d49~j=de93:1=vF>0e78yk>ej;0;6<uG11f6?xh?jk91<7?tH02g1>{i0kh?6=4>{I33`0=zf1hi97>51zJ24a3<ug2in;4?:0yK55b23td3no950;3xL46c=2we4ol7:182M77l<1vb5lm9;295~N68m?0qc6mb`83>4}O99n>7p`7bc`94?7|@8:o96sa8c``>5<6sA;;h85rn9`a`?6=9rB:<i;4}o:af`<728qC==j:;|l;fg`=83;pD<>k5:m<ge7290:wE??d49~j=dd93:1=vF>0e78yk>ek;0;6<uG11f6?xh?jj91<7?tH02g1>{i0ki?6=4>{I33`0=zf1hh97>51zJ24a3<ug2io;4?:0yK55b23td3nn950;3xL46c=2we4om7:182M77l<1vb5ll9;295~N68m?0qc6mc`83>4}O99n>7p`7bb`94?7|@8:o96sa8ca`>5<6sA;;h85rn9```?6=9rB:<i;4}o:ag`<728qC==j:;|l;ff`=83;pD<>k5:m<gb7290:wE??d49~j=dc93:1=vF>0e78yk>el;0;6<uG11f6?xh?jm91<7?tH02g1>{i0kn?6=4>{I33`0=zf1ho97>51zJ24a3<ug2ih;4?:0yK55b23td3ni950;3xL46c=2we4oj7:182M77l<1vb5lk9;295~N68m?0qc6md`83>4}O99n>7p`7be`94?7|@8:o96sa8cf`>5<6sA;;h85rn9`g`?6=9rB:<i;4}o:a``<728qC==j:;|l;fa`=83;pD<>k5:m<gc7290:wE??d49~j=db93:1=vF>0e78yk>em;0;6<uG11f6?xh?jl91<7?tH02g1>{i0ko?6=4>{I33`0=zf1hn97>51zJ24a3<ug2ii;4?:0yK55b23td3nh950;3xL46c=2we4ok7:182M77l<1vb5lj9;295~N68m?0qc6me`83>4}O99n>7p`7bd`94?7|@8:o96sa8cg`>5<6sA;;h85rn9`f`?6=9rB:<i;4}o:aa`<728qC==j:;|l;f``=83;pD<>k5:m<g`7290:wE??d49~j=da93:1=vF>0e78yk>en;0;6<uG11f6?xh?jo91<7?tH02g1>{i0kl?6=4>{I33`0=zf1hm97>51zJ24a3<ug2ij;4?:0yK55b23td3nk950;3xL46c=2we4oh7:182M77l<1vb5li9;295~N68m?0qc6mf`83>4}O99n>7p`7bg`94?7|@8:o96sa8cd`>5<6sA;;h85rn9`e`?6=9rB:<i;4}o:ab`<728qC==j:;|l;fc`=83;pD<>k5:m<f672909wE??d49~j=e793:1>vF>0e78yk>d8;0;6?uG11f6?xh?k991<7<tH02g1>{i0j:?6=4={I33`0=zf1i;97>52zJ24a3<ug2h<;4?:3yK55b23td3o=950;0xL46c=2we4n>7:181M77l<1vb5m?9;296~N68m?0qc6l0`83>7}O99n>7p`7c1`94?4|@8:o96sa8b2`>5<5sA;;h85rn9a3`?6=:rB:<i;4}o:`4`<72;qC==j:;|l;g5`=838pD<>k5:m<f77290:wE??d49~j=e693:1=vF>0e78yk>d9;0;6<uG11f6?xh?k891<7?tH02g1>{i0j;?6=4>{I33`0=zf1i:97>51zJ24a3<ug2h=;4?:0yK55b23td3o<950;3xL46c=2we4n?7:182M77l<1vb5m>9;295~N68m?0qc6l1`83>4}O99n>7p`7c0`94?7|@8:o96sa8b3`>5<6sA;;h85rn9a2`?6=9rB:<i;4}o:`5`<728qC==j:;|l;g4`=83;pD<>k5:m<f47290:wE??d49~j=e593:1=vF>0e78yk>d:;0;6<uG11f6?xh?k;91<7?tH02g1>{i0j8?6=4>{I33`0=zf1i997>51zJ24a3<ug2h>;4?:0yK55b23td3o?950;3xL46c=2we4n<7:182M77l<1vb5m=9;295~N68m?0qc6l2`83>4}O99n>7p`7c3`94?7|@8:o96sa8b0`>5<6sA;;h85rn9a1`?6=9rB:<i;4}o:`6`<728qC==j:;|l;g7`=83;pD<>k5:m<f57290:wE??d49~j=e493:1=vF>0e78yk>d;;0;6<uG11f6?xh?k:91<7?tH02g1>{i0j9?6=4>{I33`0=zf1i897>51zJ24a3<ug2h?;4?:0yK55b23td3o>950;3xL46c=2we4n=7:182M77l<1vb5m<9;295~N68m?0qc6l3`83>4}O99n>7p`7c2`94?7|@8:o96sa8b1`>5<6sA;;h85rn9a0`?6=9rB:<i;4}o:`7`<728qC==j:;|l;g6`=83;pD<>k5:m<f27290:wE??d49~j=e393:1=vF>0e78yk>d<;0;6<uG11f6?xh?k=91<7?tH02g1>{i0j>?6=4>{I33`0=zf1i?97>51zJ24a3<ug2h8;4?:0yK55b23td3o9950;3xL46c=2we4n:7:182M77l<1vb5m;9;295~N68m?0qc6l4`83>4}O99n>7p`7c5`94?7|@8:o96sa8b6`>5<6sA;;h85rn9a7`?6=9rB:<i;4}o:`0`<728qC==j:;|l;g1`=83;pD<>k5:m<f37290:wE??d49~j=e293:1=vF>0e78yk>d=;0;6<uG11f6?xh?k<91<7?tH02g1>{i0j??6=4>{I33`0=zf1i>97>51zJ24a3<ug2h9;4?:0yK55b23td3o8950;3xL46c=2we4n;7:182M77l<1vb5m:9;295~N68m?0qc6l5`83>4}O99n>7p`7c4`94?7|@8:o96sa8b7`>5<6sA;;h85rn9a6`?6=9rB:<i;4}o:`1`<728qC==j:;|l;g0`=83;pD<>k5:m<f07290:wE??d49~j=e193:1=vF>0e78yk>d>;0;6<uG11f6?xh?k?91<7?tH02g1>{i0j<?6=4>{I33`0=zf1i=97>51zJ24a3<ug2h:;4?:0yK55b23td3o;950;3xL46c=2we4n87:182M77l<1vb5m99;295~N68m?0qc6l6`83>4}O99n>7p`7c7`94?7|@8:o96sa8b4`>5<6sA;;h85rn9a5`?6=9rB:<i;4}o:`2`<728qC==j:;|l;g3`=83;pD<>k5:m<f17290:wE??d49~j=e093:1=vF>0e78yk>d?;0;6<uG11f6?xh?k>91<7?tH02g1>{i0j=?6=4>{I33`0=zf1i<97>51zJ24a3<ug2h;;4?:0yK55b23td3o:950;3xL46c=2we4n97:182M77l<1vb5m89;295~N68m?0qc6l7`83>4}O99n>7p`7c6`94?7|@8:o96sa8b5`>5<6sA;;h85rn9a4`?6=9rB:<i;4}o:`3`<728qC==j:;|l;g2`=83;pD<>k5:m<f>7290:wE??d49~j=e?93:1=vF>0e78yk>d0;0;6<uG11f6?xh?k191<7?tH02g1>{i0j2?6=4>{I33`0=zf1i397>51zJ24a3<ug2h4;4?:0yK55b23td3o5950;3xL46c=2we4n67:182M77l<1vb5m79;295~N68m?0qc6l8`83>4}O99n>7p`7c9`94?7|@8:o96sa8b:`>5<6sA;;h85rn9a;`?6=9rB:<i;4}o:`<`<728qC==j:;|l;g=`=83;pD<>k5:m<f?7290:wE??d49~j=e>93:1=vF>0e78yk>d1;0;6<uG11f6?xh?k091<7?tH02g1>{i0j3?6=4>{I33`0=zf1i297>51zJ24a3<ug2h5;4?:0yK55b23td3o4950;3xL46c=2we4n77:182M77l<1vb5m69;295~N68m?0qc6l9`83>4}O99n>7p`7c8`94?7|@8:o96sa8b;`>5<6sA;;h85rn9a:`?6=9rB:<i;4}o:`=`<728qC==j:;|l;g<`=83;pD<>k5:m<fg7290:wE??d49~j=ef93:1=vF>0e78yk>di;0;6<uG11f6?xh?kh91<7?tH02g1>{i0jk?6=4>{I33`0=zf1ij97>51zJ24a3<ug2hm;4?:0yK55b23td3ol950;3xL46c=2we4no7:182M77l<1vb5mn9;295~N68m?0qc6la`83>4}O99n>7p`7c``94?7|@8:o96sa8bc`>5<6sA;;h85rn9ab`?6=9rB:<i;4}o:`e`<728qC==j:;|l;gd`=83;pD<>k5:m<fd7290:wE??d49~j=ee93:1=vF>0e78yk>dj;0;6<uG11f6?xh?kk91<7?tH02g1>{i0jh?6=4>{I33`0=zf1ii97>51zJ24a3<ug2hn;4?:0yK55b23td3oo950;3xL46c=2we4nl7:182M77l<1vb5mm9;296~N68m?0qc6lb`83>7}O99n>7p`7cc`94?4|@8:o96sa8b``>5<5sA;;h85rn9aa`?6=9rB:<i;4}o:`f`<728qC==j:;|l;gg`=83;pD<>k5:m<fe7290:wE??d49~j=ed93:1=vF>0e78yk>dk;0;6<uG11f6?xh?kj91<7?tH02g1>{i0ji?6=4>{I33`0=zf1ih97>51zJ24a3<ug2ho;4?:0yK55b23td3on950;3xL46c=2we4nm7:182M77l<1vb5ml9;295~N68m?0qc6lc`83>4}O99n>7p`7cb`94?4|@8:o96sa8ba`>5<5sA;;h85rn9a``?6=:rB:<i;4}o:`g`<728qC==j:;|l;gf`=83;pD<>k5:m<fb7290:wE??d49~j=ec93:1=vF>0e78yk>dl;0;6<uG11f6?xh?km91<7?tH02g1>{i0jn?6=4>{I33`0=zf1io97>51zJ24a3<ug2hh;4?:0yK55b23td3oi950;3xL46c=2we4nj7:182M77l<1vb5mk9;295~N68m?0qc6ld`83>4}O99n>7p`7ce`94?7|@8:o96sa8bf`>5<6sA;;h85rn9ag`?6=9rB:<i;4}o:```<72;qC==j:;|l;ga`=838pD<>k5:m<fc72909wE??d49~j=eb93:1>vF>0e78yk>dm;0;6?uG11f6?xh?kl91<7<tH02g1>{i0jo?6=4={I33`0=zf1in97>52zJ24a3<ug2hi;4?:3yK55b23td3oh950;0xL46c=2we4nk7:181M77l<1vb5mj9;296~N68m?0qc6le`83>7}O99n>7p`7cd`94?4|@8:o96sa8bg`>5<5sA;;h85rn9af`?6=:rB:<i;4}o:`a`<728qC==j:;|l;g``=83;pD<>k5:m<f`7290:wE??d49~j=ea93:1=vF>0e78yk>dn;0;6<uG11f6?xh?ko91<7?tH02g1>{i0jl?6=4>{I33`0=zf1im97>51zJ24a3<ug2hj;4?:0yK55b23td3ok950;3xL46c=2we4nh7:182M77l<1vb5mi9;295~N68m?0qc6lf`83>4}O99n>7p`7cg`94?7|@8:o96sa8bd`>5<6sA;;h85rn9ae`?6=9rB:<i;4}o:`b`<728qC==j:;|l;gc`=83;pD<>k5:m<a67290:wE??d49~j=b793:1=vF>0e78yk>c8;0;6<uG11f6?xh?l991<7?tH02g1>{i0m:?6=4>{I33`0=zf1n;97>51zJ24a3<ug2o<;4?:0yK55b23td3h=950;3xL46c=2we4i>7:182M77l<1vb5j?9;295~N68m?0qc6k0`83>4}O99n>7p`7d1`94?7|@8:o96sa8e2`>5<6sA;;h85rn9f3`?6=9rB:<i;4}o:g4`<728qC==j:;|l;`5`=83;pD<>k5:m<a77290:wE??d49~j=b693:1=vF>0e78yk>c9;0;6<uG11f6?xh?l891<7?tH02g1>{i0m;?6=4>{I33`0=zf1n:97>51zJ24a3<ug2o=;4?:0yK55b23td3h<950;3xL46c=2we4i?7:182M77l<1vb5j>9;295~N68m?0qc6k1`83>4}O99n>7p`7d0`94?7|@8:o96sa8e3`>5<6sA;;h85rn9f2`?6=9rB:<i;4}o:g5`<728qC==j:;|l;`4`=83;pD<>k5:m<a47290:wE??d49~j=b593:1=vF>0e78yk>c:;0;6<uG11f6?xh?l;91<7?tH02g1>{i0m8?6=4>{I33`0=zf1n997>51zJ24a3<ug2o>;4?:0yK55b23td3h?950;3xL46c=2we4i<7:182M77l<1vb5j=9;295~N68m?0qc6k2`83>4}O99n>7p`7d3`94?7|@8:o96sa8e0`>5<6sA;;h85rn9f1`?6=9rB:<i;4}o:g6`<728qC==j:;|l;`7`=83;pD<>k5:m<a57290:wE??d49~j=b493:1=vF>0e78yk>c;;0;6<uG11f6?xh?l:91<7?tH02g1>{i0m9?6=4>{I33`0=zf1n897>51zJ24a3<ug2o?;4?:0yK55b23td3h>950;3xL46c=2we4i=7:182M77l<1vb5j<9;295~N68m?0qc6k3`83>4}O99n>7p`7d2`94?7|@8:o96sa8e1`>5<6sA;;h85rn9f0`?6=9rB:<i;4}o:g7`<728qC==j:;|l;`6`=83;pD<>k5:m<a27290:wE??d49~j=b393:1=vF>0e78yk>c<;0;6<uG11f6?xh?l=91<7?tH02g1>{i0m>?6=4>{I33`0=zf1n?97>51zJ24a3<ug2o8;4?:0yK55b23td3h9950;3xL46c=2we4i:7:182M77l<1vb5j;9;295~N68m?0qc6k4`83>4}O99n>7p`7d5`94?7|@8:o96sa8e6`>5<6sA;;h85rn9f7`?6=9rB:<i;4}o:g0`<728qC==j:;|l;`1`=83;pD<>k5:m<a37290:wE??d49~j=b293:1=vF>0e78yk>c=;0;6<uG11f6?xh?l<91<7?tH02g1>{i0m??6=4>{I33`0=zf1n>97>51zJ24a3<ug2o9;4?:0yK55b23td3h8950;3xL46c=2we4i;7:182M77l<1vb5j:9;295~N68m?0qc6k5`83>4}O99n>7p`7d4`94?7|@8:o96sa8e7`>5<6sA;;h85rn9f6`?6=9rB:<i;4}o:g1`<728qC==j:;|l;`0`=83;pD<>k5:m<a07290:wE??d49~j=b193:1=vF>0e78yk>c>;0;6<uG11f6?xh?l?91<7?tH02g1>{i0m<?6=4>{I33`0=zf1n=97>51zJ24a3<ug2o:;4?:0yK55b23td3h;950;3xL46c=2we4i87:182M77l<1vb5j99;295~N68m?0qc6k6`83>4}O99n>7p`7d7`94?7|@8:o96sa8e4`>5<6sA;;h85rn9f5`?6=9rB:<i;4}o:g2`<728qC==j:;|l;`3`=83;pD<>k5:m<a17290:wE??d49~j=b093:1=vF>0e78yk>c?;0;6<uG11f6?xh?l>91<7?tH02g1>{i0m=?6=4>{I33`0=zf1n<97>51zJ24a3<ug2o;;4?:0yK55b23td3h:950;3xL46c=2we4i97:182M77l<1vb5j89;295~N68m?0qc6k7`83>4}O99n>7p`7d6`94?7|@8:o96sa8e5`>5<6sA;;h85rn9f4`?6=9rB:<i;4}o:g3`<728qC==j:;|l;`2`=83;pD<>k5:m<a>7290:wE??d49~j=b?93:1=vF>0e78yk>c0;0;6<uG11f6?xh?l191<7?tH02g1>{i0m2?6=4>{I33`0=zf1n397>51zJ24a3<ug2o4;4?:0yK55b23td3h5950;3xL46c=2we4i67:182M77l<1vb5j79;295~N68m?0qc6k8`83>4}O99n>7p`7d9`94?7|@8:o96sa8e:`>5<6sA;;h85rn9f;`?6=9rB:<i;4}o:g<`<728qC==j:;|l;`=`=83;pD<>k5:m<a?7290:wE??d49~j=b>93:1=vF>0e78yk>c1;0;6<uG11f6?xh?l091<7?tH02g1>{i0m3?6=4>{I33`0=zf1n297>51zJ24a3<ug2o5;4?:0yK55b23td3h4950;3xL46c=2we4i77:182M77l<1vb5j69;295~N68m?0qc6k9`83>4}O99n>7p`7d8`94?7|@8:o96sa8e;`>5<6sA;;h85rn9f:`?6=9rB:<i;4}o:g=`<728qC==j:;|l;`<`=83;pD<>k5:m<ag7290:wE??d49~j=bf93:1=vF>0e78yk>ci;0;6<uG11f6?xh?lh91<7?tH02g1>{i0mk?6=4>{I33`0=zf1nj97>51zJ24a3<ug2om;4?:0yK55b23td3hl950;3xL46c=2we4io7:182M77l<1vb5jn9;295~N68m?0qc6ka`83>4}O99n>7p`7d``94?7|@8:o96sa8ec`>5<6sA;;h85rn9fb`?6=9rB:<i;4}o:ge`<728qC==j:;|l;`d`=83;pD<>k5:m<ad7290:wE??d49~j=be93:1=vF>0e78yk>cj;0;6<uG11f6?xh?lk91<7?tH02g1>{i0mh?6=4>{I33`0=zf1ni97>51zJ24a3<ug2on;4?:0yK55b23td3ho950;3xL46c=2we4il7:182M77l<1vb5jm9;295~N68m?0qc6kb`83>4}O99n>7p`7dc`94?7|@8:o96sa8e``>5<6sA;;h85rn9fa`?6=9rB:<i;4}o:gf`<728qC==j:;|l;`g`=83;pD<>k5:m<ae7290:wE??d49~j=bd93:1=vF>0e78yk>ck;0;6<uG11f6?xh?lj91<7?tH02g1>{i0mi?6=4>{I33`0=zf1nh97>51zJ24a3<ug2oo;4?:0yK55b23td3hn950;3xL46c=2we4im7:182M77l<1vb5jl9;295~N68m?0qc6kc`83>4}O99n>7p`7db`94?7|@8:o96sa8ea`>5<6sA;;h85rn9f``?6=9rB:<i;4}o:gg`<728qC==j:;|l;`f`=83;pD<>k5:m<ab7290:wE??d49~j=bc93:1=vF>0e78yk>cl;0;6<uG11f6?xh?lm91<7?tH02g1>{i0mn?6=4>{I33`0=zf1no97>51zJ24a3<ug2oh;4?:0yK55b23td3hi950;3xL46c=2we4ij7:182M77l<1vb5jk9;295~N68m?0qc6kd`83>4}O99n>7p`7de`94?7|@8:o96sa8ef`>5<6sA;;h85rn9fg`?6=9rB:<i;4}o:g``<728qC==j:;|l;`a`=83;pD<>k5:m<ac7290:wE??d49~j=bb93:1=vF>0e78yk>cm;0;6<uG11f6?xh?ll91<7?tH02g1>{i0mo?6=4>{I33`0=zf1nn97>51zJ24a3<ug2oi;4?:0yK55b23td3hh950;3xL46c=2we4ik7:182M77l<1vb5jj9;295~N68m?0qc6ke`83>4}O99n>7p`7dd`94?7|@8:o96sa8eg`>5<6sA;;h85rn9ff`?6=9rB:<i;4}o:ga`<728qC==j:;|l;```=83;pD<>k5:m<a`7290:wE??d49~j=ba93:1=vF>0e78yk>cn;0;6<uG11f6?xh?lo91<7?tH02g1>{i0ml?6=4>{I33`0=zf1nm97>51zJ24a3<ug2oj;4?:0yK55b23td3hk950;3xL46c=2we4ih7:182M77l<1vb5ji9;295~N68m?0qc6kf`83>4}O99n>7p`7dg`94?7|@8:o96sa8ed`>5<6sA;;h85rn9fe`?6=9rB:<i;4}o:gb`<728qC==j:;|l;`c`=83;pD<>k5:m<`67290:wE??d49~j=c793:1=vF>0e78yk>b8;0;6<uG11f6?xh?m991<7?tH02g1>{i0l:?6=4>{I33`0=zf1o;97>51zJ24a3<ug2n<;4?:0yK55b23td3i=950;3xL46c=2we4h>7:182M77l<1vb5k?9;295~N68m?0qc6j0`83>4}O99n>7p`7e1`94?7|@8:o96sa8d2`>5<6sA;;h85rn9g3`?6=9rB:<i;4}o:f4`<728qC==j:;|l;a5`=83;pD<>k5:m<`77290:wE??d49~j=c693:1=vF>0e78yk>b9;0;6<uG11f6?xh?m891<7?tH02g1>{i0l;?6=4>{I33`0=zf1o:97>51zJ24a3<ug2n=;4?:0yK55b23td3i<950;3xL46c=2we4h?7:182M77l<1vb5k>9;295~N68m?0qc6j1`83>0}O99n>7p`7e0`94?7|@8:o96sa8d3`>5<6sA;;h85rn9g2`?6=9rB:<i;4}o:f5`<728qC==j:;|l;a4`=83;pD<>k5:m<`47290:wE??d49~j=c593:1=vF>0e78yk>b:;0;6<uG11f6?xh?m;91<7?tH02g1>{i0l8?6=4>{I33`0=zf1o997>51zJ24a3<ug2n>;4?:0yK55b23td3i?950;3xL46c=2we4h<7:182M77l<1vb5k=9;295~N68m?0qc6j2`83>4}O99n>7p`j1183>4}O99n>7p`j1383>4}O99n>7p`j1283>4}O99n>7p`j1583>4}O99n>7p`j1483>4}O99n>7p`j1783>4}O99n>7p`j1683>4}O99n>7p`j1983>4}O99n>7p`j1883>4}O99n>7p`j1`83>4}O99n>7p`j1c83>4}O99n>7p`j1b83>4}O99n>7p`j1e83>4}O99n>7p`j1d83>4}O99n>7p`j1g83>4}O99n>7p`>60a94?7|@8:o96sa173g>5<6sA;;h85rn042a?6=9rB:<i;4}o355c<728qC==j:;|l2276=83;pD<>k5:m5346290:wE??d49~j405:3:1=vF>0e78yk71::0;6<uG11f6?xh6>;>1<7?tH02g1>{i9?8>6=4>{I33`0=zf8<9:7>51zJ24a3<ug;=>:4?:0yK55b23td::?650;3xL46c=2we=;7m:182M77l<1vb<86c;295~N68m?0qc?99e83>4}O99n>7p`>68g94?7|@8:o96sa17;e>5<6sA;;h85rn04b4?6=9rB:<i;4}o35e4<728qC==j:;|l22d4=83;pD<>k5:m53g4290:wE??d49~j40f<3:1=vF>0e78yk71i<0;6<uG11f6?xh6>h<1<7?tH02g1>{i9?k<6=4>{I33`0=zf8=:m7>51zJ24a3<ug;<=o4?:0yK55b23td:;<m50;3xL46c=2we=:?k:182M77l<1vb<9>e;295~N68m?0qc?81g83>4}O99n>7p`>73294?7|@8:o96sa1602>5<6sA;;h85rn0516?6=9rB:<i;4}o3466<728qC==j:;|l2372=83;pD<>k5:m5242290:wE??d49~j415>3:1=vF>0e78yx{zHIIp5;?8:b1e707><tJKNv>r@ARxyEF \ No newline at end of file
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ucf b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ucf
deleted file mode 100644
index 82f632d21..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.ucf
+++ /dev/null
@@ -1,17 +0,0 @@
-#
-# Clock constraints
-#
-NET "CLK" TNM_NET = D_CLK ;
-INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
-TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG;
-TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
-
-#
-# Input keep/save net constraints
-#
-NET "TRIG0<*" S;
-NET "TRIG0<*" KEEP;
-NET "DATA<*" S;
-NET "DATA<*" KEEP;
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.v b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.v
deleted file mode 100644
index 1c77ab89b..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.v
+++ /dev/null
@@ -1,33 +0,0 @@
-///////////////////////////////////////////////////////////////////////////////
-// Copyright (c) 2013 Xilinx, Inc.
-// All Rights Reserved
-///////////////////////////////////////////////////////////////////////////////
-// ____ ____
-// / /\/ /
-// /___/ \ / Vendor : Xilinx
-// \ \ \/ Version : 14.4
-// \ \ Application: Xilinx CORE Generator
-// / / Filename : chipscope_ila.v
-// /___/ /\ Timestamp : Wed Jan 30 10:07:41 PST 2013
-// \ \ / \
-// \___\/\___\
-//
-// Design Name: Verilog Synthesis Wrapper
-///////////////////////////////////////////////////////////////////////////////
-// This wrapper is used to integrate with Project Navigator and PlanAhead
-
-`timescale 1ns/1ps
-
-module chipscope_ila(
- CONTROL,
- CLK,
- DATA,
- TRIG0) /* synthesis syn_black_box syn_noprune=1 */;
-
-
-inout [35 : 0] CONTROL;
-input CLK;
-input [255 : 0] DATA;
-input [7 : 0] TRIG0;
-
-endmodule
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.veo b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.veo
deleted file mode 100644
index 86140dddd..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.veo
+++ /dev/null
@@ -1,31 +0,0 @@
-///////////////////////////////////////////////////////////////////////////////
-// Copyright (c) 2013 Xilinx, Inc.
-// All Rights Reserved
-///////////////////////////////////////////////////////////////////////////////
-// ____ ____
-// / /\/ /
-// /___/ \ / Vendor : Xilinx
-// \ \ \/ Version : 14.4
-// \ \ Application: Xilinx CORE Generator
-// / / Filename : chipscope_ila.veo
-// /___/ /\ Timestamp : Wed Jan 30 10:07:41 PST 2013
-// \ \ / \
-// \___\/\___\
-//
-// Design Name: ISE Instantiation template
-///////////////////////////////////////////////////////////////////////////////
-
-// The following must be inserted into your Verilog file for this
-// core to be instantiated. Change the instance name and port connections
-// (in parentheses) to your own signal names.
-
-//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
-chipscope_ila YourInstanceName (
- .CONTROL(CONTROL), // INOUT BUS [35:0]
- .CLK(CLK), // IN
- .DATA(DATA), // IN BUS [255:0]
- .TRIG0(TRIG0) // IN BUS [7:0]
-);
-
-// INST_TAG_END ------ End INSTANTIATION Template ---------
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xco b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xco
deleted file mode 100644
index a197969f5..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xco
+++ /dev/null
@@ -1,141 +0,0 @@
-##############################################################
-#
-# Xilinx Core Generator version 14.4
-# Date: Wed Jan 30 18:05:25 2013
-#
-##############################################################
-#
-# This file contains the customisation parameters for a
-# Xilinx CORE Generator IP GUI. It is strongly recommended
-# that you do not manually alter this file as it may cause
-# unexpected and unsupported behavior.
-#
-##############################################################
-#
-# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
-#
-##############################################################
-#
-# BEGIN Project Options
-SET addpads = false
-SET asysymbol = true
-SET busformat = BusFormatAngleBracketNotRipped
-SET createndf = false
-SET designentry = Verilog
-SET device = xc7k410t
-SET devicefamily = kintex7
-SET flowvendor = Other
-SET formalverification = false
-SET foundationsym = false
-SET implementationfiletype = Ngc
-SET package = fbg900
-SET removerpms = false
-SET simulationfiles = Behavioral
-SET speedgrade = -2
-SET verilogsim = true
-SET vhdlsim = false
-# END Project Options
-# BEGIN Select
-SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
-# END Select
-# BEGIN Parameters
-CSET check_bramcount=false
-CSET component_name=chipscope_ila
-CSET constraint_type=external
-CSET counter_width_1=Disabled
-CSET counter_width_10=Disabled
-CSET counter_width_11=Disabled
-CSET counter_width_12=Disabled
-CSET counter_width_13=Disabled
-CSET counter_width_14=Disabled
-CSET counter_width_15=Disabled
-CSET counter_width_16=Disabled
-CSET counter_width_2=Disabled
-CSET counter_width_3=Disabled
-CSET counter_width_4=Disabled
-CSET counter_width_5=Disabled
-CSET counter_width_6=Disabled
-CSET counter_width_7=Disabled
-CSET counter_width_8=Disabled
-CSET counter_width_9=Disabled
-CSET data_port_width=256
-CSET data_same_as_trigger=false
-CSET disable_save_keep=false
-CSET enable_storage_qualification=true
-CSET enable_trigger_output_port=false
-CSET example_design=false
-CSET exclude_from_data_storage_1=true
-CSET exclude_from_data_storage_10=true
-CSET exclude_from_data_storage_11=true
-CSET exclude_from_data_storage_12=true
-CSET exclude_from_data_storage_13=true
-CSET exclude_from_data_storage_14=true
-CSET exclude_from_data_storage_15=true
-CSET exclude_from_data_storage_16=true
-CSET exclude_from_data_storage_2=true
-CSET exclude_from_data_storage_3=true
-CSET exclude_from_data_storage_4=true
-CSET exclude_from_data_storage_5=true
-CSET exclude_from_data_storage_6=true
-CSET exclude_from_data_storage_7=true
-CSET exclude_from_data_storage_8=true
-CSET exclude_from_data_storage_9=true
-CSET match_type_1=basic_with_edges
-CSET match_type_10=basic_with_edges
-CSET match_type_11=basic_with_edges
-CSET match_type_12=basic_with_edges
-CSET match_type_13=basic_with_edges
-CSET match_type_14=basic_with_edges
-CSET match_type_15=basic_with_edges
-CSET match_type_16=basic_with_edges
-CSET match_type_2=basic_with_edges
-CSET match_type_3=basic_with_edges
-CSET match_type_4=basic_with_edges
-CSET match_type_5=basic_with_edges
-CSET match_type_6=basic_with_edges
-CSET match_type_7=basic_with_edges
-CSET match_type_8=basic_with_edges
-CSET match_type_9=basic_with_edges
-CSET match_units_1=1
-CSET match_units_10=1
-CSET match_units_11=1
-CSET match_units_12=1
-CSET match_units_13=1
-CSET match_units_14=1
-CSET match_units_15=1
-CSET match_units_16=1
-CSET match_units_2=1
-CSET match_units_3=1
-CSET match_units_4=1
-CSET match_units_5=1
-CSET match_units_6=1
-CSET match_units_7=1
-CSET match_units_8=1
-CSET match_units_9=1
-CSET max_sequence_levels=1
-CSET number_of_trigger_ports=1
-CSET sample_data_depth=16384
-CSET sample_on=Rising
-CSET trigger_port_width_1=8
-CSET trigger_port_width_10=8
-CSET trigger_port_width_11=8
-CSET trigger_port_width_12=8
-CSET trigger_port_width_13=8
-CSET trigger_port_width_14=8
-CSET trigger_port_width_15=8
-CSET trigger_port_width_16=8
-CSET trigger_port_width_2=8
-CSET trigger_port_width_3=8
-CSET trigger_port_width_4=8
-CSET trigger_port_width_5=8
-CSET trigger_port_width_6=8
-CSET trigger_port_width_7=8
-CSET trigger_port_width_8=8
-CSET trigger_port_width_9=8
-CSET use_rpms=false
-# END Parameters
-# BEGIN Extra information
-MISC pkg_timestamp=2012-12-18T02:47:40Z
-# END Extra information
-GENERATE
-# CRC: 529ae269
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xdc b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xdc
deleted file mode 100644
index 49e2b9e7b..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xdc
+++ /dev/null
@@ -1,6 +0,0 @@
-#
-# Clock constraints
-#
-set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
-set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
-set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xise b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xise
deleted file mode 100644
index a7b6a4ff0..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila.xise
+++ /dev/null
@@ -1,72 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
-<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
-
- <header>
- <!-- ISE source project file created by Project Navigator. -->
- <!-- -->
- <!-- This file contains project source information including a list of -->
- <!-- project source files, project and process properties. This file, -->
- <!-- along with the project source files, is sufficient to open and -->
- <!-- implement in ISE Project Navigator. -->
- <!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
- </header>
-
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
-
- <files>
- <file xil_pn:name="chipscope_ila.ngc" xil_pn:type="FILE_NGC">
- <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
- </file>
- <file xil_pn:name="chipscope_ila.v" xil_pn:type="FILE_VERILOG">
- <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
- <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
- </file>
- </files>
-
- <properties>
- <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device" xil_pn:value="xc7k410t" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Device Family" xil_pn:value="Kintex7" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_ila" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_ila.ngc" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_ila" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Package" xil_pn:value="fbg900" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
- <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
- <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
- <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
- <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
- <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
- <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
- <!-- -->
- <!-- The following properties are for internal use only. These should not be modified.-->
- <!-- -->
- <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_ila" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="kintex7" xil_pn:valueState="default"/>
- <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-01-30T10:07:43" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="CE4C98CD1DB11D55C53E652EE111F1A3" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
- <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
- </properties>
-
- <bindings/>
-
- <libraries/>
-
- <autoManagedFiles>
- <!-- The following files are identified by `include statements in verilog -->
- <!-- source files and are automatically managed by Project Navigator. -->
- <!-- -->
- <!-- Do not hand-edit this section, as it will be overwritten when the -->
- <!-- project is analyzed based on files automatically identified as -->
- <!-- include files. -->
- </autoManagedFiles>
-
-</project>
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_flist.txt b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_flist.txt
deleted file mode 100644
index fc7d41b56..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_flist.txt
+++ /dev/null
@@ -1,17 +0,0 @@
-# Output products list for <chipscope_ila>
-chipscope_ila.asy
-chipscope_ila.cdc
-chipscope_ila.constraints/chipscope_ila.ucf
-chipscope_ila.constraints/chipscope_ila.xdc
-chipscope_ila.gise
-chipscope_ila.ncf
-chipscope_ila.ngc
-chipscope_ila.ucf
-chipscope_ila.v
-chipscope_ila.veo
-chipscope_ila.xco
-chipscope_ila.xdc
-chipscope_ila.xise
-chipscope_ila_flist.txt
-chipscope_ila_readme.txt
-chipscope_ila_xmdf.tcl
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_readme.txt b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_readme.txt
deleted file mode 100644
index 42e95e1e7..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_readme.txt
+++ /dev/null
@@ -1,47 +0,0 @@
-The following files were generated for 'chipscope_ila' in directory
-/home/jblum/coregen_chipscope/
-
-XCO file generator:
- Generate an XCO file for compatibility with legacy flows.
-
- * chipscope_ila.xco
-
-Creates an implementation netlist:
- Creates an implementation netlist for the IP.
-
- * chipscope_ila.cdc
- * chipscope_ila.constraints/chipscope_ila.ucf
- * chipscope_ila.constraints/chipscope_ila.xdc
- * chipscope_ila.ncf
- * chipscope_ila.ngc
- * chipscope_ila.ucf
- * chipscope_ila.v
- * chipscope_ila.veo
- * chipscope_ila.xdc
- * chipscope_ila_xmdf.tcl
-
-IP Symbol Generator:
- Generate an IP symbol based on the current project options'.
-
- * chipscope_ila.asy
-
-Generate ISE subproject:
- Create an ISE subproject for use when including this core in ISE designs
-
- * chipscope_ila.gise
- * chipscope_ila.xise
-
-Deliver Readme:
- Readme file for the IP.
-
- * chipscope_ila_readme.txt
-
-Generate FLIST file:
- Text file listing all of the output files produced when a customized core was
- generated in the CORE Generator.
-
- * chipscope_ila_flist.txt
-
-Please see the Xilinx CORE Generator online help for further details on
-generated files and how to use them.
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_xmdf.tcl b/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_xmdf.tcl
deleted file mode 100755
index b18241e0b..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/chipscope_ila_xmdf.tcl
+++ /dev/null
@@ -1,87 +0,0 @@
-# The package naming convention is <core_name>_xmdf
-package provide chipscope_ila_xmdf 1.0
-
-# This includes some utilities that support common XMDF operations
-package require utilities_xmdf
-
-# Define a namespace for this package. The name of the name space
-# is <core_name>_xmdf
-namespace eval ::chipscope_ila_xmdf {
-# Use this to define any statics
-}
-
-# Function called by client to rebuild the params and port arrays
-# Optional when the use context does not require the param or ports
-# arrays to be available.
-proc ::chipscope_ila_xmdf::xmdfInit { instance } {
-# Variable containing name of library into which module is compiled
-# Recommendation: <module_name>
-# Required
-utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila
-}
-# ::chipscope_ila_xmdf::xmdfInit
-
-# Function called by client to fill in all the xmdf* data variables
-# based on the current settings of the parameters
-proc ::chipscope_ila_xmdf::xmdfApplyParams { instance } {
-
-set fcount 0
-# Array containing libraries that are assumed to exist
-# Examples include unisim and xilinxcorelib
-# Optional
-# In this example, we assume that the unisim library will
-# be available to the simulation and synthesis tool
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
-utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
-incr fcount
-
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.asy
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.cdc
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
-incr fcount
-
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.ucf
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
-incr fcount
-
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ncf
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.xdc
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ngc
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.v
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.veo
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.xco
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_xmdf.tcl
-utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
-incr fcount
-
-utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila
-incr fcount
-
-}
-
-# ::gen_comp_name_xmdf::xmdfApplyParams
-
diff --git a/fpga/usrp3/top/x300/coregen_chipscope/coregen.cgp b/fpga/usrp3/top/x300/coregen_chipscope/coregen.cgp
deleted file mode 100644
index 593e2f179..000000000
--- a/fpga/usrp3/top/x300/coregen_chipscope/coregen.cgp
+++ /dev/null
@@ -1,9 +0,0 @@
-SET busformat = BusFormatAngleBracketNotRipped
-SET designentry = Verilog
-SET device = xc7k410t
-SET devicefamily = kintex7
-SET flowvendor = Other
-SET package = ffg900
-SET speedgrade = -2
-SET verilogsim = true
-SET vhdlsim = false