aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/n3xx/dboards/common
diff options
context:
space:
mode:
Diffstat (limited to 'fpga/usrp3/top/n3xx/dboards/common')
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs18
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd314
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd414
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd362
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf23420
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edfbin0 -> 55104 bytes
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd1147
-rw-r--r--fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd397
8 files changed, 26072 insertions, 0 deletions
diff --git a/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs b/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs
new file mode 100644
index 000000000..2439e8b40
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/Makefile.srcs
@@ -0,0 +1,18 @@
+#
+# Copyright 2018 Ettus Research, a National Instruments Company
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+##################################################
+# DB COMMON Sources
+##################################################
+DB_COMMON_SRCS = $(abspath $(addprefix $(BASE_DIR)/n3xx/dboards/common/, \
+PkgRegs.vhd \
+sync/CrossTrigger.vhd \
+sync/Pulser.vhd \
+sync/TdcTop.vhd \
+sync/TdcWrapper.vhd \
+sync/TdcCore.edf \
+sync/SyncRegsIfc.edf \
+))
diff --git a/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd b/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd
new file mode 100644
index 000000000..783e86e9c
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/PkgRegs.vhd
@@ -0,0 +1,314 @@
+--
+-- Copyright 2018 Ettus Research, a National Instruments Company
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- This package contains functions for reading and writing N310 registers.
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+
+package PkgRegs is
+
+
+ -- RegPort Type Definitions : ---------------------------------------------------------
+ -- ------------------------------------------------------------------------------------
+
+ constant kAddressWidth : integer := 16;
+
+ subtype InterfaceData_t is std_logic_vector(31 downto 0);
+ type RegDataAry_t is array (natural range <>) of InterfaceData_t;
+ constant kRegPortDataZero : InterfaceData_t := (others => '0');
+
+ -- The type of the signal used to communicate from the Interface
+ -- component to the frameworks
+ type RegPortIn_t is record
+ Address : unsigned(kAddressWidth - 1 downto 0);
+ Data : InterfaceData_t;
+ Rd : boolean; -- Must be a one clock cycle pulse
+ Wt : boolean; -- Must be a one clock cycle pulse
+ end record;
+
+ -- The type of the signal used to communicate to the Interface
+ -- component from the frameworks
+ -- Ready is just the Ready signal from the Handshake component.
+ -- Address in RegPortIn_t should be valid in the cycle where Data, DataValid,
+ -- or Ready are being sampled by the bus communication interface.
+ type RegPortOut_t is record
+ Data : InterfaceData_t;
+ DataValid : boolean; -- Must be a one clock cycle pulse
+ Ready : boolean; -- Must be valid one clock after Wt assertion
+ end record;
+
+ -- Constants for the RegPort
+ constant kRegPortInZero : RegPortIn_t := (
+ Address => to_unsigned(0,kAddressWidth),
+ Data => (others => '0'),
+ Rd => false,
+ Wt => false);
+
+ constant kRegPortOutZero : RegPortOut_t := (
+ Data => (others=>'0'),
+ DataValid => false,
+ Ready => true);
+
+
+
+ -- Register Offset Types : ------------------------------------------------------------
+ -- ------------------------------------------------------------------------------------
+
+ -- Custom type for defining register spaces. Is it assumed that all defined register
+ -- addresses for each space are kOffset <= Address < kOffset+kWidth. Therefore when
+ -- Address equals kOffset+kWidth, we are not talking to this space but the space
+ -- above it.
+ type RegOffset_t is record
+ kOffset : integer;
+ kWidth : integer;
+ end record;
+
+ constant kRegOffsetZero : RegOffset_t := (kOffset => 16#0#, kWidth => 16#04#);
+
+
+
+ -- Access Functions : -----------------------------------------------------------------
+ -- ------------------------------------------------------------------------------------
+
+ -- Helper function to combine register ports on their way back upstream.
+ function "+" (L, R : RegPortOut_t) return RegPortOut_t;
+
+ function Mask(RegPortIn : in RegPortIn_t;
+ kRegisterOffset : in RegOffset_t) return RegPortIn_t;
+
+ -- Helper functions to determine when a register is targeted by the RegPort. There
+ -- are three groups: RegSelected, RegWrite, and RegRead. The latter two call
+ -- RegSelected to determine if a register is targeted and being read or written.
+ -- RegSelected is also overloaded to accommodate the RegOffset_t type.
+ -- function RegSelected (RegPortIn : RegPortIn_t;
+ -- RegisterOffset : RegOffset_t) return boolean;
+ function RegSelected (RegOffset : integer;
+ RegPortIn : RegPortIn_t) return boolean;
+ function RegWrite (Address : integer;
+ RegPortIn : RegPortIn_t) return boolean;
+ function RegRead (Address : integer;
+ RegPortIn : RegPortIn_t) return boolean;
+
+ function OrArray(ArrayIn : RegDataAry_t) return std_logic_vector;
+
+
+
+
+ -- Flattening Functions : -------------------------------------------------------------
+ -- ------------------------------------------------------------------------------------
+
+ constant kFlatRegPortInSize : natural := kAddressWidth +
+ InterfaceData_t'length +
+ 2;
+
+ subtype FlatRegPortIn_t is std_logic_vector(kFlatRegPortInSize-1 downto 0);
+
+ constant kFlatRegPortOutSize : natural := InterfaceData_t'length +
+ 2;
+
+ subtype FlatRegPortOut_t is std_logic_vector(kFlatRegPortOutSize-1 downto 0);
+
+ function Flatten(Var : RegPortIn_t) return FlatRegPortIn_t;
+ function Unflatten(Var : FlatRegPortIn_t) return RegPortIn_t;
+
+ function Flatten(Var : RegPortOut_t) return FlatRegPortOut_t;
+ function Unflatten(Var : FlatRegPortOut_t) return RegPortOut_t;
+
+
+
+
+end PkgRegs;
+
+
+package body PkgRegs is
+
+ -- Combines RegPortOut_t types together
+ function "+" (L, R : RegPortOut_t) return RegPortOut_t
+ is
+ variable ReturnVal : RegPortOut_t;
+ begin
+ ReturnVal := kRegPortOutZero;
+ ReturnVal.Data := L.Data or R.Data;
+ ReturnVal.DataValid := L.DataValid or R.DataValid;
+ ReturnVal.Ready := L.Ready and R.Ready;
+ return ReturnVal;
+ end function;
+
+
+ -- This function lops off the portion of the register bus that is
+ -- decoded in the InAddrSpace function in order to reduce the number of bits
+ -- decoded by the register read logic. Also, the Rd and Wt strobes are gated
+ -- as well.
+ function Mask(RegPortIn : in RegPortIn_t;
+ kRegisterOffset : in RegOffset_t) return RegPortIn_t
+ is
+ variable RegPortInVar : RegPortIn_t;
+ variable InSpace : boolean := false;
+ begin
+
+ InSpace := (RegPortIn.Address >= kRegisterOffset.kOffset) and
+ (RegPortIn.Address < kRegisterOffset.kOffset + kRegisterOffset.kWidth);
+
+ -- Compare the most significant bits of the address bus downto the LSb
+ -- that we just calculated.
+ if InSpace then
+ -- If in address space then allow Rd and Wt to assert
+ RegPortInVar.Rd := RegPortIn.Rd;
+ RegPortInVar.Wt := RegPortIn.Wt;
+ else
+ RegPortInVar.Rd := kRegPortInZero.Rd;
+ RegPortInVar.Wt := kRegPortInZero.Wt;
+ end if;
+
+ RegPortInVar.Data := RegPortIn.Data;
+ RegPortInVar.Address := RegPortIn.Address - kRegisterOffset.kOffset;
+ return RegPortInVar;
+ end function Mask;
+
+
+ -- Returns true when this chip is selected and the address matches the register.
+ -- Note that RegOffset is divided by 4 before being compared against the register
+ -- port Address value.
+ function RegSelected (RegOffset : integer;
+ RegPortIn : RegPortIn_t) return boolean is
+ begin
+ return RegPortIn.Address = to_unsigned(RegOffset, RegPortIn.Address'length);
+ end function RegSelected;
+
+ -- Returns true when the register is being written.
+ function RegWrite (Address : integer;
+ RegPortIn : RegPortIn_t) return boolean is
+ begin
+ return RegSelected(Address, RegPortIn) and RegPortIn.Wt;
+ end function RegWrite;
+
+ -- Returns true when the register is being read.
+ function RegRead (Address : integer;
+ RegPortIn : RegPortIn_t) return boolean is
+ begin
+ return RegSelected(Address, RegPortIn) and RegPortIn.Rd;
+ end function RegRead;
+
+ -- Overloaded version of RegSelected for the RegOffset_t
+ -- NOTE!!! Offset <= Address < Offset+Width
+ -- Therefore, this function assumes that when Address = Offset+Width we are talking to
+ -- a different register group than the one given in RegisterOffset.
+ -- function RegSelected (RegPortIn : RegPortIn_t;
+ -- RegisterOffset : RegOffset_t) return boolean is
+ -- begin
+ -- return (RegPortIn.Address >= to_unsigned(RegisterOffset.kOffset, RegPortIn.Address'length)) and
+ -- (RegPortIn.Address < to_unsigned(RegisterOffset.kOffset + RegisterOffset.kWidth, RegPortIn.Address'length));
+ -- end function RegSelected;
+
+ function OrArray(ArrayIn : RegDataAry_t) return std_logic_vector
+ is
+ variable ReturnVar : std_logic_vector(ArrayIn(ArrayIn'right)'range);
+ begin
+ ReturnVar := (others => '0');
+ for i in ArrayIn'range loop
+ ReturnVar := ReturnVar or ArrayIn(i);
+ end loop;
+ return ReturnVar;
+ end function OrArray;
+
+
+ function to_Boolean (s : std_ulogic) return boolean is
+ begin
+ return (To_X01(s)='1');
+ end to_Boolean;
+
+ function to_StdLogic(b : boolean) return std_ulogic is
+ begin
+ if b then
+ return '1';
+ else
+ return '0';
+ end if;
+ end to_StdLogic;
+
+
+
+ -----------------------------------------------------
+ -- REG PORTS (FROM PkgCommunicationInterface)
+ --
+ -- subtype InterfaceData_t is std_logic_vector(31 downto 0);
+ --
+ -- constant kAddressWidth : positive := kAddressWidth - 2;
+ --
+ -- type RegPortIn_t is record
+ -- Address : unsigned(kAddressWidth - 1 downto 0);
+ -- Data : InterfaceData_t;
+ -- Rd : boolean; -- Must be a one clock cycle pulse
+ -- Wt : boolean; -- Must be a one clock cycle pulse
+ -- end record;
+
+ function Flatten(Var : RegPortIn_t) return FlatRegPortIn_t is
+ variable Index : natural;
+ variable RetVar : FlatRegPortIn_t;
+ begin
+ Index := 0;
+ RetVar(Index) := to_StdLogic(Var.Wt); Index := Index + 1;
+ RetVar(Index) := to_StdLogic(Var.Rd); Index := Index + 1;
+ RetVar(Index + Var.Data'length - 1 downto Index) := std_logic_vector(Var.Data);
+ Index := Index + Var.Data'length;
+ RetVar(Index + Var.Address'length - 1 downto Index) := std_logic_vector(Var.Address);
+ Index := Index + Var.Address'length;
+
+ return RetVar;
+ end function Flatten;
+
+ function Unflatten(Var : FlatRegPortIn_t) return RegPortIn_t is
+ variable Index : natural;
+ variable RetVal : RegPortIn_t;
+ begin
+ Index := 0;
+ RetVal.Wt := to_Boolean(Var(Index)); Index := Index + 1;
+ RetVal.Rd := to_Boolean(Var(Index)); Index := Index + 1;
+ RetVal.Data := InterfaceData_t(Var(Index + RetVal.Data'length - 1 downto Index));
+ Index := Index + RetVal.Data'length;
+ RetVal.Address := unsigned(Var(Index + RetVal.Address'length - 1 downto Index));
+ Index := Index + RetVal.Address'length;
+
+ return RetVal;
+ end function Unflatten;
+
+ -- type RegPortOut_t is record
+ -- Data : InterfaceData_t;
+ -- DataValid : boolean; -- Must be a one clock cycle pulse
+ -- Ready : boolean; -- Must be valid one clock after Wt assertion
+ -- end record;
+
+ function Flatten(Var : RegPortOut_t) return FlatRegPortOut_t is
+ variable Index : natural;
+ variable RetVar : FlatRegPortOut_t;
+ begin
+ Index := 0;
+ RetVar(Index) := to_StdLogic(Var.Ready); Index := Index + 1;
+ RetVar(Index) := to_StdLogic(Var.DataValid); Index := Index + 1;
+ RetVar(Index + Var.Data'length - 1 downto Index) := std_logic_vector(Var.Data);
+ Index := Index + Var.Data'length;
+
+ return RetVar;
+ end function Flatten;
+
+ function Unflatten(Var : FlatRegPortOut_t) return RegPortOut_t is
+ variable Index : natural;
+ variable RetVal : RegPortOut_t;
+ begin
+ Index := 0;
+ RetVal.Ready := to_Boolean(Var(Index)); Index := Index + 1;
+ RetVal.DataValid := to_Boolean(Var(Index)); Index := Index + 1;
+ RetVal.Data := InterfaceData_t(Var(Index + RetVal.Data'length - 1 downto Index));
+ Index := Index + RetVal.Data'length;
+
+ return RetVal;
+ end function Unflatten;
+
+
+
+end PkgRegs;
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd
new file mode 100644
index 000000000..70a873501
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/CrossTrigger.vhd
@@ -0,0 +1,414 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright 2018 Ettus Research, a National Instruments Company
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+--
+-- Purpose:
+--
+-- Uses the RP and SP edges to cross a trigger from the RefClk domain to
+-- the SampleClk domain. The RP FE captures the input trigger and sends it to
+-- the SampleClk domain. There, it is double-synchronized but only allowed to pass
+-- when the SP RE occurs. The trigger (now in the SampleClk domain) is then passed
+-- through an elastic buffer before being sent on it's merry way.
+--
+-- Below is the latency through this module. If you assert rTriggerIn before or after
+-- the rRP RE, then you need to add/subtract the distance to the rRP RE.
+--
+-- Deterministic latency through this module is (starting at the rRP RE):
+-- Measured difference between rRP and sSP rising edges (using a TDC, positive value
+-- if rRP rises before sSP).
+-- + One period of sSP
+-- + Two periods of SampleClk (Double Sync)
+-- + (sElasticBufferPtr value + 1) * SampleClk Period
+-- + One period of SampleClk
+--
+-- How much skew between RP and SP can we allow and still safely pass triggers?
+-- Our "launch" edge is essentially the RP FE, and our "latch" edge is the SP RE.
+-- Consider the no skew (RP and SP edges align) case first. Our setup and hold budget
+-- is balanced at T/2. Based on this, it seems we can tolerate almost T/2 skew in either
+-- direction (ignoring a few Reference and Sample Clock cycles here and there).
+-- My recommendation is to keep the skew to a minimum, like less than T/4.
+-- In the context of the FTDC project for N310, this should be a no-brainer since
+-- the SP pulses are started only a few RefClk cycles after RP. The skew is
+-- easily verified by taking a FTDC measurement. If the skew is less than T/4, you can
+-- sleep easy. If not, then I recommend doing a comprehensive analysis of how much
+-- settling time you have between the trigger being launched from the RefClk domain
+-- and latched in the SampleClk domain.
+--
+-- vreview_group Tdc
+-------------------------------------------------------------------------------
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+library unisim;
+ use unisim.vcomponents.all;
+
+
+entity CrossTrigger is
+ port (
+ aReset : in boolean;
+
+ RefClk : in std_logic;
+ -- For convenience while writing this, I have only considered the N3x0 case where
+ -- rRP is slightly ahead of sSP in phase.
+ rRP : in boolean;
+ -- De-asserts the clock cycle after rTriggerIn asserts. Re-asserts after the
+ -- second falling edge of rRP, indicating new triggers can be accepted.
+ rReadyForInput : out boolean;
+ -- Only one pulse will be output for each rising edge of rTriggerIn. rTriggerIn is
+ -- ignored when rReadyForInput is de-asserted. All levels are ignored when Enable
+ -- is de-asserted.
+ rEnableTrigger : in boolean;
+ rTriggerIn : in boolean;
+
+ SampleClk : in std_logic;
+ sSP : in boolean;
+ -- An elastic buffer just before the output is used to compensate for skew
+ -- in sSP pulses across boards. Default should be in the middle of the 4 bit
+ -- range at 7.
+ sElasticBufferPtr : in unsigned(3 downto 0);
+ -- Single-cycle pulse output.
+ sTriggerOut : out boolean
+ );
+end CrossTrigger;
+
+
+architecture rtl of CrossTrigger is
+
+ --vhook_sigstart
+ --vhook_sigend
+
+ signal rRpFE,
+ rRpDly,
+ rTriggerToSClk,
+ rTriggerCaptured,
+ sSpRE,
+ sSpDly : boolean;
+
+ signal sTriggerBuffer : unsigned(2**sElasticBufferPtr'length-1 downto 0);
+ signal sTriggerInSClk, sTriggerInSClk_ms : boolean;
+
+ function to_StdLogic(b : boolean) return std_ulogic is
+ begin
+ if b then
+ return '1';
+ else
+ return '0';
+ end if;
+ end to_StdLogic;
+
+ function to_Boolean (s : std_ulogic) return boolean is
+ begin
+ return (To_X01(s)='1');
+ end to_Boolean;
+
+ attribute async_reg : string;
+ attribute async_reg of sTriggerInSClk : signal is "TRUE";
+ attribute async_reg of sTriggerInSClk_ms : signal is "TRUE";
+
+begin
+
+ -- Reference Clock Domain Trigger Capture : -------------------------------------------
+ -- The trigger input is captured whenever it is high. The captured value is reset
+ -- by the falling edge of rRP.
+ -- ------------------------------------------------------------------------------------
+
+ rRpFE <= rRpDly and not rRP;
+
+ CaptureTrigger : process(aReset, RefClk)
+ begin
+ if aReset then
+ rTriggerCaptured <= false;
+ rRpDly <= false;
+ elsif rising_edge(RefClk) then
+ rRpDly <= rRP;
+ if not rEnableTrigger then
+ rTriggerCaptured <= false;
+ elsif rTriggerIn then
+ -- Capture trigger whenever the input is asserted (so this will work with single
+ -- cycle and multi-cycle pulses).
+ rTriggerCaptured <= true;
+ elsif rRpFE then
+ -- Reset the captured trigger one cycle after the rRP FE.
+ rTriggerCaptured <= false;
+ end if;
+ end if;
+ end process;
+
+
+ -- Send Trigger To Sample Clock Domain : ----------------------------------------------
+ -- Send the captured trigger on the falling edge of rRP.
+ -- ------------------------------------------------------------------------------------
+ SendTrigger : process(aReset, RefClk)
+ begin
+ if aReset then
+ rTriggerToSClk <= false;
+ elsif rising_edge(RefClk) then
+ if not rEnableTrigger then
+ rTriggerToSClk <= false;
+ elsif rRpFE then
+ rTriggerToSClk <= rTriggerCaptured;
+ end if;
+ end if;
+ end process;
+
+ rReadyForInput <= not (rTriggerToSClk or rTriggerCaptured);
+
+ -- Capture Trigger in Sample Clock Domain : -------------------------------------------
+ -- On the rising edge of sSP, capture the trigger. To keep things free of
+ -- metastability, we double-sync the trigger into the SampleClk domain first.
+ -- ------------------------------------------------------------------------------------
+
+ ReceiveAndProcessTrigger : process(aReset, SampleClk)
+ begin
+ if aReset then
+ sSpDly <= false;
+ sTriggerBuffer <= (others => '0');
+ sTriggerOut <= false;
+ sTriggerInSClk_ms <= false;
+ sTriggerInSClk <= false;
+ elsif rising_edge(SampleClk) then
+ -- Edge detector delays.
+ sSpDly <= sSP;
+
+ -- Double-synchronizer for trigger.
+ sTriggerInSClk_ms <= rTriggerToSClk;
+ sTriggerInSClk <= sTriggerInSClk_ms;
+
+ -- Delay chain for the elastic buffer. Move to the left people! Note that this
+ -- operation incurs at least one cycle of delay. Also note the trigger input is
+ -- gated with the SP RE.
+ sTriggerBuffer <= sTriggerBuffer(sTriggerBuffer'high-1 downto 0) &
+ to_stdlogic(sTriggerInSClk and sSpRE);
+
+ -- Based on the buffer pointer value select and flop the output one more time.
+ sTriggerOut <= to_boolean(sTriggerBuffer(to_integer(sElasticBufferPtr)));
+ end if;
+ end process;
+
+ -- Rising edge detectors.
+ sSpRE <= sSP and not sSpDly;
+
+
+end rtl;
+
+
+
+
+
+--------------------------------------------------------------------------------
+-- Testbench for CrossTrigger
+--
+-- Meh coverage on the triggers so far... but this tests general operation
+-- and latency.
+--------------------------------------------------------------------------------
+
+--synopsys translate_off
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+entity tb_CrossTrigger is end tb_CrossTrigger;
+
+architecture test of tb_CrossTrigger is
+
+ -- Sets up a 1.25 MHz period.
+ constant kClksPerPulseMaxBits: integer := 10;
+ constant kRpPeriodInRClks : integer := 8;
+ constant kRpHighTimeInRClks : integer := 4;
+ constant kSpPeriodInRClks : integer := 100;
+ constant kSpHighTimeInRClks : integer := 50;
+
+ --vhook_sigstart
+ signal aReset: boolean;
+ signal RefClk: std_logic := '0';
+ signal rEnablePulser: boolean;
+ signal rEnableTrigger: boolean;
+ signal rReadyForInput: boolean;
+ signal rRP: boolean;
+ signal rTriggerIn: boolean;
+ signal SampleClk: std_logic := '0';
+ signal sElasticBufferPtr: unsigned(3 downto 0);
+ signal sEnablePulser: boolean;
+ signal sSP: boolean;
+ signal sTriggerOut: boolean;
+ --vhook_sigend
+
+ signal StopSim : boolean;
+ -- shared variable Rand : Random_t;
+ constant kSPer : time := 8.000 ns; -- 125.00 MHz
+ constant kRPer : time := 100.000 ns; -- 10.00 MHz
+
+ signal rRfiExpected: boolean:= true;
+ signal sTriggerOutExpected: boolean:= false;
+
+ procedure ClkWait(
+ signal Clk : in std_logic;
+ X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ SampleClk <= not SampleClk after kSPer/2 when not StopSim else '0';
+ RefClk <= not RefClk after kRPer/2 when not StopSim else '0';
+
+ --vhook_e Pulser RpPulser
+ --vhook_a Clk RefClk
+ --vhook_a cLoadLimits true
+ --vhook_a cPeriod to_unsigned(kRpPeriodInRClks,kClksPerPulseMaxBits)
+ --vhook_a cHighTime to_unsigned(kRpHighTimeInRClks,kClksPerPulseMaxBits)
+ --vhook_a cEnablePulse rEnablePulser
+ --vhook_a cPulse rRP
+ RpPulser: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => RefClk, --in std_logic
+ cLoadLimits => true, --in boolean
+ cPeriod => to_unsigned(kRpPeriodInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => to_unsigned(kRpHighTimeInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => rEnablePulser, --in boolean
+ cPulse => rRP); --out boolean
+
+ --vhook_e Pulser SpPulser
+ --vhook_a Clk SampleClk
+ --vhook_a cLoadLimits true
+ --vhook_a cPeriod to_unsigned(kSpPeriodInRClks,kClksPerPulseMaxBits)
+ --vhook_a cHighTime to_unsigned(kSpHighTimeInRClks,kClksPerPulseMaxBits)
+ --vhook_a cEnablePulse sEnablePulser
+ --vhook_a cPulse sSP
+ SpPulser: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => SampleClk, --in std_logic
+ cLoadLimits => true, --in boolean
+ cPeriod => to_unsigned(kSpPeriodInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => to_unsigned(kSpHighTimeInRClks,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => sEnablePulser, --in boolean
+ cPulse => sSP); --out boolean
+
+
+ main: process
+ procedure SendTrigger is
+ begin
+ assert rReadyForInput
+ report "RFI isn't high, so we can't issue a trigger" severity error;
+
+ -- Give it some action. We need to ideally test this for every phase offset of
+ -- rTriggerIn with respect to the rising edge of rRP, but let's get to that later.
+ -- For now, wait until a rising edge on rRP and then wait for most of the period
+ -- to issue the trigger.
+ wait until rRP and not rRP'delayed;
+ wait for (kRpPeriodInRClks-3)*kRPer;
+ rTriggerIn <= true;
+ ClkWait(RefClk);
+ rTriggerIn <= false;
+ rRfiExpected <= false;
+
+ -- At this point, we wait until a sSP RE, plus two SampleClks, plus sElasticBufferPtr
+ -- plus 1 worth of SampleClks, plus one more SampleClk, and then the trigger
+ -- should appear.
+ wait until not rRP and rRP'delayed;
+ wait until sSP and not sSP'delayed;
+ ClkWait(SampleClk,1);
+ ClkWait(SampleClk, to_integer(sElasticBufferPtr)+1);
+ sTriggerOutExpected <= true;
+ ClkWait(SampleClk,1);
+ sTriggerOutExpected <= false;
+ wait until not rRP and rRP'delayed;
+ ClkWait(RefClk,1);
+ rRfiExpected <= true;
+ end procedure SendTrigger;
+
+ begin
+ rEnablePulser <= false;
+ sEnablePulser <= false;
+ rEnableTrigger <= true;
+ sElasticBufferPtr <= to_unsigned(7, sElasticBufferPtr'length);
+
+ aReset <= true, false after 10 ns;
+ ClkWait(RefClk,5);
+
+ -- Start up the pulsers and ensure nothing comes out of the trigger for a while.
+ rEnablePulser <= true;
+ ClkWait(RefClk, 3);
+ ClkWait(SampleClk, 2);
+ sEnablePulser <= true;
+
+ ClkWait(RefClk, kRpPeriodInRClks*5);
+ assert (not sTriggerOut) and sTriggerOut'stable(kRpPeriodInRClks*5*kRPer)
+ report "Rogue activity on sTriggerOut before rTriggerIn asserted!" severity error;
+ assert (rReadyForInput) and rReadyForInput'stable(kRpPeriodInRClks*5*kRPer)
+ report "Ready for Input was not high before trigger!" severity error;
+
+
+ SendTrigger;
+
+ ClkWait(RefClk, kRpPeriodInRClks*5);
+
+ SendTrigger;
+
+ ClkWait(RefClk, kRpPeriodInRClks*5);
+
+ -- Turn off the trigger enable and send a trigger.
+ rEnableTrigger <= false;
+ ClkWait(RefClk);
+ rTriggerIn <= true;
+ ClkWait(RefClk);
+ rTriggerIn <= false;
+
+ -- And nothing should happen.
+ ClkWait(RefClk, kRpPeriodInRClks*5);
+ assert (not sTriggerOut) and sTriggerOut'stable(kRpPeriodInRClks*5*kRPer)
+ report "Rogue activity on sTriggerOut before rTriggerIn asserted!" severity error;
+
+
+ ClkWait(RefClk, kRpPeriodInRClks*5);
+ StopSim <= true;
+ wait;
+ end process;
+
+
+ CheckRfi : process(RefClk)
+ begin
+ if falling_edge(RefClk) then
+ assert rReadyForInput = rRfiExpected
+ report "RFI didn't match expected" severity error;
+ end if;
+ end process;
+
+ CheckTrigOut : process(SampleClk)
+ begin
+ if falling_edge(SampleClk) then
+ assert sTriggerOut = sTriggerOutExpected
+ report "Trigger Out didn't match expected" severity error;
+ end if;
+ end process;
+
+
+ --vhook_e CrossTrigger dutx
+ dutx: entity work.CrossTrigger (rtl)
+ port map (
+ aReset => aReset, --in boolean
+ RefClk => RefClk, --in std_logic
+ rRP => rRP, --in boolean
+ rReadyForInput => rReadyForInput, --out boolean
+ rEnableTrigger => rEnableTrigger, --in boolean
+ rTriggerIn => rTriggerIn, --in boolean
+ SampleClk => SampleClk, --in std_logic
+ sSP => sSP, --in boolean
+ sElasticBufferPtr => sElasticBufferPtr, --in unsigned(3:0)
+ sTriggerOut => sTriggerOut); --out boolean
+
+
+end test;
+--synopsys translate_on
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd
new file mode 100644
index 000000000..c6cb30f24
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/Pulser.vhd
@@ -0,0 +1,362 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright 2018 Ettus Research, a National Instruments Company
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+--
+-- Purpose:
+--
+-- The purpose of this module is to create a psuedo-clock "pulse" on the output
+-- cPulse whenever cEnablePulse is asserted.
+--
+-- The output period and high time are determined by the inputs cPeriod and
+-- cHighTime, where cPeriod must be greater than cHighTime+2. When these values
+-- are valid at the inputs, pulse cLoadLimits to load them into the pulser routine.
+-- It is not recommended to leave cEnablePulse asserted when loading new limits.
+--
+-- Dynamic period and duty cycle setup:
+-- 1) Disable the pulser by de-asserting cEnablePulse.
+-- 2) Load new period and duty cycle by modifying cPeriod and cHighTime. Pulse
+-- cLoadLimits for at least one Clk cycle.
+-- 3) Enable the pulser by asserting cEnablePulse.
+-- 4) Repeat 1-3 as necessary.
+--
+-- Static period and duty cycle setup:
+-- 1) Tie cLoadLimits to asserted.
+-- 2) Tie cPeriod and cHighTime to static values.
+-- 3) Enable and disable the pulser by asserting and de-asserting cEnablePulser at will.
+-- This input can also be tied asserted in this case.
+--
+-- vreview_group Tdc
+-------------------------------------------------------------------------------
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+ use ieee.math_real.all;
+
+entity Pulser is
+ generic (
+ -- The pulse counter is kClksPerPulseMaxBits wide.
+ -- Why 16? Then both cPeriod and cHighTime fit nicely into one 32 bit register!
+ -- Minimum of 3 to make our default values for cHighTime work out.
+ kClksPerPulseMaxBits : integer range 3 to 32 := 16
+ );
+ port (
+ aReset : in boolean;
+ Clk : in std_logic;
+
+ -- Pulse cLoadLimits when cPeriod and cHighTime are valid. Is it not recommended to
+ -- load new limits when the output is enabled.
+ -- Alternatively, cLoadLimits can be tied high if cPeriod and cHighTime are also
+ -- tied to static values.
+ cLoadLimits : in boolean;
+ cPeriod : in unsigned(kClksPerPulseMaxBits - 1 downto 0);
+ cHighTime : in unsigned(kClksPerPulseMaxBits - 1 downto 0);
+
+ -- When cEnablePulse is de-asserted, cPulse idles low on the following cycle.
+ -- When asserted, cPulse will then assert within a few cycles.
+ -- This input can be tied high, if desired, and the pulses will start several
+ -- clock cycles after aReset de-assertion.
+ cEnablePulse : in boolean;
+
+ -- When cEnablePulse is asserted, cPulse will produce a rising edge every
+ -- cPeriod of the Clk input and a falling edge cHighTime cycles after
+ -- the rising edge.
+ cPulse : out boolean
+ );
+end Pulser;
+
+
+architecture rtl of Pulser is
+
+ signal cCounter,
+ cPeriodStored,
+ cHighTimeStored : unsigned(cPeriod'range);
+
+ signal cSafeToStart_ms, cSafeToStart, cSafeToStartDly : boolean;
+
+ attribute ASYNC_REG : string;
+ attribute ASYNC_REG of cSafeToStart_ms : signal is "true";
+ attribute ASYNC_REG of cSafeToStart : signal is "true";
+
+begin
+
+ --synthesis translate_off
+ CheckInputRanges : process(Clk)
+ begin
+ if falling_edge(Clk) then
+ -- +2 since we have the output high offset from the zero of the counter
+ assert (cPeriodStored > cHighTimeStored + 2)
+ report "cPeriod is not greater than cHighTime + 2" severity error;
+ -- Ensure the high time is greater than 1...
+ assert (cHighTimeStored > 1)
+ report "cHighTime is not greater than 1" severity error;
+ end if;
+ end process;
+ --synthesis translate_on
+
+
+ -- ------------------------------------------------------------------------------------
+ -- !!! SAFE COUNTER STARTUP !!!
+ -- This counter starts safely, meaning it cannot start counting immediately after
+ -- aReset de-assertion, because the counter cannot start until cSafeToStart asserts,
+ -- which cannot happen until 1-2 clock cycles after aReset de-assertion.
+ -- ------------------------------------------------------------------------------------
+ CountFreqRefPeriod: process(aReset, Clk)
+ begin
+ if aReset then
+ cCounter <= (others => '0');
+ cSafeToStart_ms <= false;
+ cSafeToStart <= false;
+ cSafeToStartDly <= false;
+ cPulse <= false;
+ cPeriodStored <= (others => '1');
+ -- This is a rather arbitrary start value, but we are guaranteed that it is
+ -- less than the reset value of cPeriodStored as well as greater than 2,
+ -- so it works well enough in case the module isn't set up correctly.
+ cHighTimeStored <= to_unsigned(kClksPerPulseMaxBits+2,cHighTimeStored'length);
+ elsif rising_edge(Clk) then
+ -- Create a safe counter startup signal that asserts shortly after
+ -- aReset de-assertion.
+ cSafeToStart_ms <= true;
+ cSafeToStart <= cSafeToStart_ms;
+ -- In the case where cLoadLimits and cEnablePulse are tied high, we need to give
+ -- them one cycle to load before starting the counter, so we delay cSafeToStart
+ -- by one for the counter.
+ cSafeToStartDly <= cSafeToStart;
+
+ if cEnablePulse and cSafeToStartDly then
+ -- Simple counter increment until ceiling reached, then roll over.
+ if cCounter >= cPeriodStored - 1 then
+ cCounter <= (others => '0');
+ else
+ cCounter <= cCounter + 1;
+ end if;
+
+ -- Pulse the output when counter is between 1 and cHighTimeStored.
+ if cCounter = 1 then
+ cPulse <= true;
+ elsif cCounter >= cHighTimeStored+1 then
+ cPulse <= false;
+ end if;
+
+ else
+ cPulse <= false;
+ cCounter <= (others => '0');
+ end if;
+
+ if cLoadLimits and cSafeToStart then
+ cPeriodStored <= cPeriod;
+ cHighTimeStored <= cHighTime;
+ end if;
+ end if;
+ end process;
+
+end rtl;
+
+
+--------------------------------------------------------------------------------
+-- Testbench for Pulser
+--------------------------------------------------------------------------------
+
+--synopsys translate_off
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+ use ieee.math_real.all;
+
+entity tb_Pulser is end tb_Pulser;
+
+architecture test of tb_Pulser is
+
+ constant kClksPerPulseMaxBits : integer := 16;
+
+ --vhook_sigstart
+ signal aReset: boolean;
+ signal cEnablePulse: boolean;
+ signal cHighTime: unsigned(kClksPerPulseMaxBits-1 downto 0);
+ signal Clk: std_logic := '0';
+ signal cLoadLimits: boolean;
+ signal cPeriod: unsigned(kClksPerPulseMaxBits-1 downto 0);
+ signal cPulse: boolean;
+ signal cPulseDut2: boolean;
+ --vhook_sigend
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+
+ signal CheckPulse : boolean := false;
+ signal cPulseSl : std_logic := '0';
+ signal cPulseDut2Sl : std_logic := '0';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+
+ main: process
+ begin
+ cEnablePulse <= false;
+ aReset <= true, false after 10 ns;
+ ClkWait(5);
+
+ -- Ensure the pulse is quiet for a while.
+ ClkWait(100);
+ assert cPulse'stable(kPer*100) and not cPulse
+ report "pulse not stable at false at startup"
+ severity error;
+
+
+ -- Set up, then enable the pulse; expect it to go high after a few cycles.
+ cPeriod <= to_unsigned(250,cPeriod'length);
+ cHighTime <= to_unsigned(100,cPeriod'length);
+ cLoadLimits <= true;
+ ClkWait;
+ cLoadLimits <= false;
+ cEnablePulse <= true;
+ ClkWait(2); -- pulse rises here
+ wait until falling_edge(Clk);
+ assert cPulse report "cPulse not high two cycles after enabling" severity error;
+ -- After another clock cycle the checker below should be primed, so we can enable it.
+ ClkWait;
+ CheckPulse <= true;
+ ClkWait(to_integer(cHighTime)-1);
+ wait until falling_edge(Clk);
+ assert not cPulse report "Pulse not low after high requirement" severity error;
+
+ -- Check the pulse high and low for a few cycles (duplicated below, but this also
+ -- checks that it actually is toggling).
+ for i in 0 to 100 loop
+ ClkWait(to_integer(cPeriod) - to_integer(cHighTime));
+ wait until falling_edge(Clk);
+ assert cPulse report "Pulse not high when expected" severity error;
+ ClkWait(to_integer(cHighTime));
+ wait until falling_edge(Clk);
+ assert not cPulse report "Pulse not low after high requirement" severity error;
+ end loop;
+
+ -- Disable pulse, and check that it goes away for a long time
+ cEnablePulse <= false;
+ CheckPulse <= false;
+ -- 2 is about the max time for it to go away.
+ ClkWait(2);
+ ClkWait(2**kClksPerPulseMaxBits);
+ assert (not cPulse) and cPulse'stable(2**kClksPerPulseMaxBits*kPer)
+ report "disable didn't work" severity error;
+
+
+ -- Re-do all the initial tests with different periods and such.
+
+ -- Enable the pulse, expect it to go high after a few cycles
+ cPeriod <= to_unsigned(10,cPeriod'length);
+ cHighTime <= to_unsigned(5,cPeriod'length);
+ cLoadLimits <= true;
+ ClkWait;
+ cLoadLimits <= false;
+ cEnablePulse <= true;
+ ClkWait(2); -- pulse rises here
+ wait until falling_edge(Clk);
+ assert cPulse report "cPulse not high two cycles after enabling" severity error;
+ -- After another clock cycle the checker below should be primed, so we can enable it.
+ ClkWait;
+ CheckPulse <= true;
+ ClkWait(to_integer(cHighTime)-1);
+ wait until falling_edge(Clk);
+ assert not cPulse report "Pulse not low after high requirement" severity error;
+
+ -- Check the pulse high and low for a few cycles (duplicated below, but this also
+ -- checks that it actually is toggling).
+ for i in 0 to 100 loop
+ ClkWait(to_integer(cPeriod) - to_integer(cHighTime));
+ wait until falling_edge(Clk);
+ assert cPulse report "Pulse not high when expected" severity error;
+ ClkWait(to_integer(cHighTime));
+ wait until falling_edge(Clk);
+ assert not cPulse report "Pulse not low after high requirement" severity error;
+ end loop;
+
+ ClkWait(100);
+
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ cPulseSl <= '1' when cPulse else '0';
+
+ -- Test the period and duty cycle of the pulse.
+ CheckPulseSpecs : process(cPulseSl)
+ variable LastRise : time := 0 ns;
+ begin
+ if falling_edge(cPulseSl) then
+ assert (not CheckPulse) or (now - LastRise = kPer*to_integer(cHighTime))
+ report "High cycles requirement not met" severity error;
+ elsif rising_edge(cPulseSl) then
+ assert (not CheckPulse) or (now - LastRise = kPer*to_integer(cPeriod))
+ report "Period requirement not met" & LF &
+ "Act: " & time'image(now-LastRise) & LF &
+ "Req: " & time'image(kPer*to_integer(cPeriod))
+ severity error;
+ LastRise := now;
+ end if;
+ end process;
+
+ --vhook_e Pulser dutx
+ dutx: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => Clk, --in std_logic
+ cLoadLimits => cLoadLimits, --in boolean
+ cPeriod => cPeriod, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => cHighTime, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => cEnablePulse, --in boolean
+ cPulse => cPulse); --out boolean
+
+
+ --vhook_e Pulser dut2
+ --vhook_a cLoadLimits true
+ --vhook_a cPeriod to_unsigned(5,kClksPerPulseMaxBits)
+ --vhook_a cHighTime to_unsigned(2,kClksPerPulseMaxBits)
+ --vhook_a cEnablePulse true
+ --vhook_a cPulse cPulseDut2
+ dut2: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kClksPerPulseMaxBits) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => Clk, --in std_logic
+ cLoadLimits => true, --in boolean
+ cPeriod => to_unsigned(5,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => to_unsigned(2,kClksPerPulseMaxBits), --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => true, --in boolean
+ cPulse => cPulseDut2); --out boolean
+
+ cPulseDut2Sl <= '1' when cPulseDut2 else '0';
+
+ CheckDut2 : process (cPulseDut2Sl)
+ variable LastRise : time := 0 ns;
+ begin
+ if falling_edge(cPulseDut2Sl) then
+ assert (not CheckPulse) or (now - LastRise = kPer*2)
+ report "DUT 2 High cycles requirement not met" severity error;
+ elsif rising_edge(cPulseDut2Sl) then
+ assert (not CheckPulse) or (now - LastRise = kPer*5)
+ report "DUT 2 Period requirement not met" & LF &
+ "Act: " & time'image(now-LastRise) & LF &
+ "Req: " & time'image(kPer*5)
+ severity error;
+ LastRise := now;
+ end if;
+ end process;
+
+
+end test;
+--synopsys translate_on
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf b/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf
new file mode 100644
index 000000000..a3886f6d4
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/SyncRegsIfc.edf
@@ -0,0 +1,23420 @@
+(edif SyncRegsIfc
+ (edifversion 2 0 0)
+ (edifLevel 0)
+ (keywordmap (keywordlevel 0))
+(status
+ (written
+ (timeStamp 2018 03 16 14 23 36)
+ (program "Vivado" (version "2017.4"))
+ (comment "Built on 'Fri Dec 15 20:55:39 MST 2017'")
+ (comment "Built by 'xbuild'")
+ )
+)
+ (Library hdi_primitives
+ (edifLevel 0)
+ (technology (numberDefinition ))
+ (cell LUT3 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ (port I1 (direction INPUT))
+ (port I2 (direction INPUT))
+ )
+ )
+ )
+ (cell LUT2 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ (port I1 (direction INPUT))
+ )
+ )
+ )
+ (cell FDCE (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port Q (direction OUTPUT))
+ (port C (direction INPUT))
+ (port CE (direction INPUT))
+ (port CLR (direction INPUT))
+ (port D (direction INPUT))
+ )
+ )
+ )
+ (cell GND (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port G (direction OUTPUT))
+ )
+ )
+ )
+ (cell LUT4 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ (port I1 (direction INPUT))
+ (port I2 (direction INPUT))
+ (port I3 (direction INPUT))
+ )
+ )
+ )
+ (cell LUT1 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ )
+ )
+ )
+ (cell VCC (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port P (direction OUTPUT))
+ )
+ )
+ )
+ (cell LUT6 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ (port I1 (direction INPUT))
+ (port I2 (direction INPUT))
+ (port I3 (direction INPUT))
+ (port I4 (direction INPUT))
+ (port I5 (direction INPUT))
+ )
+ )
+ )
+ (cell LUT5 (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port O (direction OUTPUT))
+ (port I0 (direction INPUT))
+ (port I1 (direction INPUT))
+ (port I2 (direction INPUT))
+ (port I3 (direction INPUT))
+ (port I4 (direction INPUT))
+ )
+ )
+ )
+ (cell FDPE (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port Q (direction OUTPUT))
+ (port C (direction INPUT))
+ (port CE (direction INPUT))
+ (port D (direction INPUT))
+ (port PRE (direction INPUT))
+ )
+ )
+ )
+ (cell INV (celltype GENERIC)
+ (view netlist (viewtype NETLIST)
+ (interface
+ (port I (direction INPUT))
+ (port O (direction OUTPUT))
+ )
+ )
+ )
+ )
+ (Library work
+ (edifLevel 0)
+ (technology (numberDefinition ))
+ (cell SyncRegsIfc (celltype GENERIC)
+ (view SyncRegsIfc (viewtype NETLIST)
+ (interface
+ (port BusClk (direction INPUT))
+ (port MeasClk (direction INPUT))
+ (port RefClk (direction INPUT))
+ (port SampleClk (direction INPUT))
+ (port aBusReset (direction INPUT))
+ (port aTdcReset (direction OUTPUT))
+ (port bBusReset (direction INPUT))
+ (port mOffsetsDone (direction INPUT))
+ (port mOffsetsValid (direction INPUT))
+ (port rEnablePpsCrossing (direction OUTPUT))
+ (port rEnableTdc (direction OUTPUT))
+ (port rLoadRePulseCounts (direction OUTPUT))
+ (port rLoadRpCounts (direction OUTPUT))
+ (port rLoadRptCounts (direction OUTPUT))
+ (port rPpsPulseCaptured (direction INPUT))
+ (port rReRunEnable (direction OUTPUT))
+ (port rResetTdc (direction OUTPUT))
+ (port rResetTdcDone (direction INPUT))
+ (port sLoadSpCounts (direction OUTPUT))
+ (port sLoadSptCounts (direction OUTPUT))
+ (port (array (rename bRegPortInFlat "bRegPortInFlat[49:0]") 50) (direction INPUT))
+ (port (array (rename bRegPortOutFlat "bRegPortOutFlat[33:0]") 34) (direction OUTPUT))
+ (port (array (rename mRpOffset "mRpOffset[39:0]") 40) (direction INPUT))
+ (port (array (rename mSpOffset "mSpOffset[39:0]") 40) (direction INPUT))
+ (port (array (rename rPulserEnableDelayVal "rPulserEnableDelayVal[3:0]") 4) (direction OUTPUT))
+ (port (array (rename rRePulseHighTimeInRClks "rRePulseHighTimeInRClks[23:0]") 24) (direction OUTPUT))
+ (port (array (rename rRePulsePeriodInRClks "rRePulsePeriodInRClks[23:0]") 24) (direction OUTPUT))
+ (port (array (rename rRpHighTimeInRClks "rRpHighTimeInRClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename rRpPeriodInRClks "rRpPeriodInRClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename rRptHighTimeInRClks "rRptHighTimeInRClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename rRptPeriodInRClks "rRptPeriodInRClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename sPpsClkCrossDelayVal "sPpsClkCrossDelayVal[3:0]") 4) (direction OUTPUT))
+ (port (array (rename sSpHighTimeInSClks "sSpHighTimeInSClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename sSpPeriodInSClks "sSpPeriodInSClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename sSptHighTimeInSClks "sSptHighTimeInSClks[15:0]") 16) (direction OUTPUT))
+ (port (array (rename sSptPeriodInSClks "sSptPeriodInSClks[15:0]") 16) (direction OUTPUT))
+ )
+ (contents
+ (instance (rename BlkIn_iDlyPush_i_1 "BlkIn.iDlyPush_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h80"))
+ (property SOFT_HLUTNM (string "soft_lutpair22"))
+ )
+ (instance (rename BlkIn_iDlyPush_i_1__0 "BlkIn.iDlyPush_i_1__0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h20"))
+ (property SOFT_HLUTNM (string "soft_lutpair23"))
+ )
+ (instance (rename BlkIn_iDlyPush_i_1__1 "BlkIn.iDlyPush_i_1__1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h20"))
+ (property SOFT_HLUTNM (string "soft_lutpair24"))
+ )
+ (instance (rename BlkIn_iDlyPush_i_1__2 "BlkIn.iDlyPush_i_1__2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair24"))
+ )
+ (instance (rename BlkIn_iDlyPush_i_1__3 "BlkIn.iDlyPush_i_1__3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h80"))
+ (property SOFT_HLUTNM (string "soft_lutpair25"))
+ )
+ (instance (rename BlkIn_iDlyPush_i_1__4 "BlkIn.iDlyPush_i_1__4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h20"))
+ (property SOFT_HLUTNM (string "soft_lutpair26"))
+ )
+ (instance (rename BlkOut_oDataValid_i_1 "BlkOut.oDataValid_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename BlkOut_oDataValid_i_1__0 "BlkOut.oDataValid_i_1__0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename BlkOut_oDataValid_i_1__1 "BlkOut.oDataValid_i_1__1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename BlkOut_oDataValid_i_1__2 "BlkOut.oDataValid_i_1__2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename BlkOut_oDataValid_i_1__3 "BlkOut.oDataValid_i_1__3") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance GND (viewref netlist (cellref GND (libraryref hdi_primitives))))
+ (instance (rename Gen0_FDCEx_i_1 "Gen0.FDCEx_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h4000"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__0 "Gen0.FDCEx_i_1__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0400"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__1 "Gen0.FDCEx_i_1__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0400"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__10 "Gen0.FDCEx_i_1__10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__11 "Gen0.FDCEx_i_1__11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__2 "Gen0.FDCEx_i_1__2") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0100"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__3 "Gen0.FDCEx_i_1__3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h4000"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__4 "Gen0.FDCEx_i_1__4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0400"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__5 "Gen0.FDCEx_i_1__5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__6 "Gen0.FDCEx_i_1__6") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__7 "Gen0.FDCEx_i_1__7") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__8 "Gen0.FDCEx_i_1__8") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h6"))
+ )
+ (instance (rename Gen0_FDCEx_i_1__9 "Gen0.FDCEx_i_1__9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ )
+ (instance (rename Gen0_FDCEx_i_2 "Gen0.FDCEx_i_2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__0 "Gen0.FDCEx_i_2__0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__1 "Gen0.FDCEx_i_2__1") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__2 "Gen0.FDCEx_i_2__2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__3 "Gen0.FDCEx_i_2__3") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__4 "Gen0.FDCEx_i_2__4") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__5 "Gen0.FDCEx_i_2__5") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__6 "Gen0.FDCEx_i_2__6") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_2__7 "Gen0.FDCEx_i_2__7") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
+ (property INIT (string "2'h1"))
+ )
+ (instance (rename Gen0_FDCEx_i_3 "Gen0.FDCEx_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0400"))
+ (property SOFT_HLUTNM (string "soft_lutpair4"))
+ )
+ (instance (rename Gen0_FDCEx_i_3__0 "Gen0.FDCEx_i_3__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0008"))
+ (property SOFT_HLUTNM (string "soft_lutpair5"))
+ )
+ (instance (rename Gen0_FDCEx_i_3__1 "Gen0.FDCEx_i_3__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0002"))
+ (property SOFT_HLUTNM (string "soft_lutpair4"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg "IncomingOffsetHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[40]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[41]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[42]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[43]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[44]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[45]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[46]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[47]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[48]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[49]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[50]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[51]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[52]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[53]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[54]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[55]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[56]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[57]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[58]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[59]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[60]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[61]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[62]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[63]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[64]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[65]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[66]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[67]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[68]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[69]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[70]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[71]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[72]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[73]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[74]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[75]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[76]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[77]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[78]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[79]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[31].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[32].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[33].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[34].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[35].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[36].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[37].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[38].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[39].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[40].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[41].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[42].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[43].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[44].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[45].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[46].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[47].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[48].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[49].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[50].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[51].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[52].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[53].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[54].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[55].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[56].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[57].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[58].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[59].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[60].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[61].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[62].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[63].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[64].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[65].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[66].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[67].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[68].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[69].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[70].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[71].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[72].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[73].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[74].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[75].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[76].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[77].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[78].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[79].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_oDataValid_reg "IncomingOffsetHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PpsDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PpsDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PulserEnableDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iDlyPush_reg "RePulse1CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse1CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_oPushToggle2_reg "RePulse1CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iDlyPush_reg "RePulse2CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse2CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_oDataValid_reg "RePulse2CntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_oPushToggle2_reg "RePulse2CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkIn_iDlyPush_reg "RpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_0_ "RpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_10_ "RpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_11_ "RpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_12_ "RpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_13_ "RpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_14_ "RpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_15_ "RpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_16_ "RpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_17_ "RpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_18_ "RpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_19_ "RpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_1_ "RpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_20_ "RpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_21_ "RpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_22_ "RpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_23_ "RpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_24_ "RpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_25_ "RpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_26_ "RpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_27_ "RpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_28_ "RpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_29_ "RpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_2_ "RpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_30_ "RpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_31_ "RpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_3_ "RpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_4_ "RpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_5_ "RpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_6_ "RpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_7_ "RpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_8_ "RpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_9_ "RpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_oDataValid_reg "RpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntHs_BlkOut_oPushToggle2_reg "RpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkIn_iDlyPush_reg "RptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_0_ "RptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_10_ "RptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_11_ "RptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_12_ "RptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_13_ "RptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_14_ "RptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_15_ "RptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_16_ "RptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_17_ "RptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_18_ "RptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_19_ "RptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_1_ "RptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_20_ "RptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_21_ "RptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_22_ "RptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_23_ "RptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_24_ "RptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_25_ "RptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_26_ "RptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_27_ "RptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_28_ "RptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_29_ "RptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_2_ "RptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_30_ "RptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_31_ "RptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_3_ "RptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_4_ "RptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_5_ "RptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_6_ "RptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_7_ "RptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_8_ "RptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_9_ "RptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_oDataValid_reg "RptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntHs_BlkOut_oPushToggle2_reg "RptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkIn_iDlyPush_reg "SpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_0_ "SpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_10_ "SpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_11_ "SpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_12_ "SpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_13_ "SpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_14_ "SpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_15_ "SpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_16_ "SpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_17_ "SpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_18_ "SpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_19_ "SpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_1_ "SpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_20_ "SpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_21_ "SpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_22_ "SpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_23_ "SpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_24_ "SpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_25_ "SpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_26_ "SpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_27_ "SpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_28_ "SpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_29_ "SpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_2_ "SpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_30_ "SpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_31_ "SpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_3_ "SpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_4_ "SpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_5_ "SpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_6_ "SpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_7_ "SpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_8_ "SpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_9_ "SpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_oDataValid_reg "SpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntHs_BlkOut_oPushToggle2_reg "SpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkIn_iDlyPush_reg "SptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_0_ "SptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_10_ "SptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_11_ "SptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_12_ "SptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_13_ "SptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_14_ "SptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_15_ "SptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_16_ "SptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_17_ "SptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_18_ "SptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_19_ "SptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_1_ "SptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_20_ "SptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_21_ "SptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_22_ "SptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_23_ "SptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_24_ "SptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_25_ "SptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_26_ "SptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_27_ "SptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_28_ "SptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_29_ "SptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_2_ "SptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_30_ "SptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_31_ "SptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_3_ "SptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_4_ "SptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_5_ "SptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_6_ "SptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_7_ "SptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_8_ "SptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_9_ "SptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_oDataValid_reg "SptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntHs_BlkOut_oPushToggle2_reg "SptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property IS_CLR_INVERTED (string "1'b0"))
+ (property IS_C_INVERTED (string "1'b0"))
+ (property IS_D_INVERTED (string "1'b0"))
+ (property ASYNC_REG (boolean (true)))
+ (property box_type (string "PRIMITIVE"))
+ )
+ (instance VCC (viewref netlist (cellref VCC (libraryref hdi_primitives))))
+ (instance aTdcResetLcl_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance aTdcResetLcl_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0200000000000000"))
+ )
+ (instance aTdcResetLcl_i_3 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'h00001000"))
+ (property SOFT_HLUTNM (string "soft_lutpair2"))
+ )
+ (instance aTdcResetLcl_i_4 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0001"))
+ )
+ (instance aTdcResetLcl_i_5 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0001"))
+ )
+ (instance aTdcResetLcl_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_reg_rep (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_reg_rep__0 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_reg_rep__1 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_reg_rep__2 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_reg_rep__3 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
+ )
+ (instance aTdcResetLcl_rep_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance aTdcResetLcl_rep_i_1__0 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance aTdcResetLcl_rep_i_1__1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance aTdcResetLcl_rep_i_1__2 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance aTdcResetLcl_rep_i_1__3 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hBF80"))
+ )
+ (instance bClearTdcRegs_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'h00000080"))
+ (property SOFT_HLUTNM (string "soft_lutpair1"))
+ )
+ (instance bClearTdcRegs_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000000080"))
+ )
+ (instance bClearTdcRegs_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair22"))
+ )
+ (instance bClearTdcRegs_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bEnableTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h1101111111000000"))
+ )
+ (instance bEnableTdc_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bOffsetUpdated_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'h05030500"))
+ )
+ (instance bOffsetUpdated_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_0__i_1 "bPpsClkCrossDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair8"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_1__i_1 "bPpsClkCrossDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair7"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_2__i_1 "bPpsClkCrossDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair10"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_3__i_1 "bPpsClkCrossDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFF8"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_3__i_2 "bPpsClkCrossDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair19"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_3__i_3 "bPpsClkCrossDelayVal[3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000000100"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_reg_0_ "bPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_reg_1_ "bPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_reg_2_ "bPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPpsClkCrossDelayVal_reg_3_ "bPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bPpsClkCrossEn_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h1101111111000000"))
+ )
+ (instance bPpsClkCrossEn_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPulserEnableDelayVal_0__i_1 "bPulserEnableDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hFE"))
+ (property SOFT_HLUTNM (string "soft_lutpair8"))
+ )
+ (instance (rename bPulserEnableDelayVal_1__i_1 "bPulserEnableDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair29"))
+ )
+ (instance (rename bPulserEnableDelayVal_2__i_1 "bPulserEnableDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair9"))
+ )
+ (instance (rename bPulserEnableDelayVal_3__i_1 "bPulserEnableDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFF8"))
+ )
+ (instance (rename bPulserEnableDelayVal_3__i_2 "bPulserEnableDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair6"))
+ )
+ (instance (rename bPulserEnableDelayVal_reg_0_ "bPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
+ (property INIT (string "1'b1"))
+ )
+ (instance (rename bPulserEnableDelayVal_reg_1_ "bPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPulserEnableDelayVal_reg_2_ "bPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bPulserEnableDelayVal_reg_3_ "bPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bPushPpsDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0080000000000000"))
+ )
+ (instance bPushPpsDelayVal_i_2 (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h01"))
+ (property SOFT_HLUTNM (string "soft_lutpair0"))
+ )
+ (instance bPushPpsDelayVal_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair27"))
+ )
+ (instance bPushPpsDelayVal_i_4 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h1"))
+ (property SOFT_HLUTNM (string "soft_lutpair25"))
+ )
+ (instance bPushPpsDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bPushPulserEnableDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0080000000000000"))
+ )
+ (instance bPushPulserEnableDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bReRunEnable_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h1101111111000000"))
+ )
+ (instance bReRunEnable_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_1 "bRegPortOutLcl[Data][0]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFFFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_2 "bRegPortOutLcl[Data][0]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_3 "bRegPortOutLcl[Data][0]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_4 "bRegPortOutLcl[Data][0]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_5 "bRegPortOutLcl[Data][0]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hAAAAFAAAAAAAEEAA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_6 "bRegPortOutLcl[Data][0]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hF888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__0__i_7 "bRegPortOutLcl[Data][0]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000002000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__10__i_1 "bRegPortOutLcl[Data][10]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__10__i_2 "bRegPortOutLcl[Data][10]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__10__i_3 "bRegPortOutLcl[Data][10]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h88F8888888888888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__10__i_4 "bRegPortOutLcl[Data][10]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__11__i_1 "bRegPortOutLcl[Data][11]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__11__i_2 "bRegPortOutLcl[Data][11]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__11__i_3 "bRegPortOutLcl[Data][11]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__11__i_4 "bRegPortOutLcl[Data][11]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__12__i_1 "bRegPortOutLcl[Data][12]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__12__i_2 "bRegPortOutLcl[Data][12]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__12__i_3 "bRegPortOutLcl[Data][12]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__12__i_4 "bRegPortOutLcl[Data][12]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__13__i_1 "bRegPortOutLcl[Data][13]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFBAA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__13__i_2 "bRegPortOutLcl[Data][13]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__13__i_3 "bRegPortOutLcl[Data][13]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__13__i_4 "bRegPortOutLcl[Data][13]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__14__i_1 "bRegPortOutLcl[Data][14]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__14__i_2 "bRegPortOutLcl[Data][14]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__14__i_3 "bRegPortOutLcl[Data][14]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__14__i_4 "bRegPortOutLcl[Data][14]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__15__i_1 "bRegPortOutLcl[Data][15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__15__i_2 "bRegPortOutLcl[Data][15]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__15__i_3 "bRegPortOutLcl[Data][15]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__15__i_4 "bRegPortOutLcl[Data][15]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__16__i_1 "bRegPortOutLcl[Data][16]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFEEE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__16__i_2 "bRegPortOutLcl[Data][16]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__16__i_3 "bRegPortOutLcl[Data][16]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFF002000200020"))
+ )
+ (instance (rename bRegPortOutLcl_Data__16__i_4 "bRegPortOutLcl[Data][16]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hF080008000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__16__i_5 "bRegPortOutLcl[Data][16]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__17__i_1 "bRegPortOutLcl[Data][17]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__17__i_2 "bRegPortOutLcl[Data][17]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__17__i_3 "bRegPortOutLcl[Data][17]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__17__i_4 "bRegPortOutLcl[Data][17]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__18__i_1 "bRegPortOutLcl[Data][18]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFFFFEA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__18__i_2 "bRegPortOutLcl[Data][18]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__18__i_3 "bRegPortOutLcl[Data][18]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__18__i_4 "bRegPortOutLcl[Data][18]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__19__i_1 "bRegPortOutLcl[Data][19]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__19__i_2 "bRegPortOutLcl[Data][19]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__19__i_3 "bRegPortOutLcl[Data][19]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__19__i_4 "bRegPortOutLcl[Data][19]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__1__i_1 "bRegPortOutLcl[Data][1]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFFFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__1__i_2 "bRegPortOutLcl[Data][1]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFF88F888F888F8"))
+ )
+ (instance (rename bRegPortOutLcl_Data__1__i_3 "bRegPortOutLcl[Data][1]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__1__i_4 "bRegPortOutLcl[Data][1]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__1__i_5 "bRegPortOutLcl[Data][1]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__20__i_1 "bRegPortOutLcl[Data][20]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__20__i_2 "bRegPortOutLcl[Data][20]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__20__i_3 "bRegPortOutLcl[Data][20]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__20__i_4 "bRegPortOutLcl[Data][20]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__21__i_1 "bRegPortOutLcl[Data][21]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__21__i_2 "bRegPortOutLcl[Data][21]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__21__i_3 "bRegPortOutLcl[Data][21]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__21__i_4 "bRegPortOutLcl[Data][21]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__22__i_1 "bRegPortOutLcl[Data][22]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__22__i_2 "bRegPortOutLcl[Data][22]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__22__i_3 "bRegPortOutLcl[Data][22]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__22__i_4 "bRegPortOutLcl[Data][22]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__23__i_1 "bRegPortOutLcl[Data][23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__23__i_2 "bRegPortOutLcl[Data][23]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__23__i_3 "bRegPortOutLcl[Data][23]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__23__i_4 "bRegPortOutLcl[Data][23]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__24__i_1 "bRegPortOutLcl[Data][24]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__24__i_2 "bRegPortOutLcl[Data][24]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__24__i_3 "bRegPortOutLcl[Data][24]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__24__i_4 "bRegPortOutLcl[Data][24]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__25__i_1 "bRegPortOutLcl[Data][25]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__25__i_2 "bRegPortOutLcl[Data][25]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__25__i_3 "bRegPortOutLcl[Data][25]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000100000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__25__i_4 "bRegPortOutLcl[Data][25]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__25__i_5 "bRegPortOutLcl[Data][25]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__26__i_1 "bRegPortOutLcl[Data][26]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFFFFEA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__26__i_2 "bRegPortOutLcl[Data][26]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__26__i_3 "bRegPortOutLcl[Data][26]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0020000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__26__i_4 "bRegPortOutLcl[Data][26]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__26__i_5 "bRegPortOutLcl[Data][26]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_1 "bRegPortOutLcl[Data][27]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_2 "bRegPortOutLcl[Data][27]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_3 "bRegPortOutLcl[Data][27]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000200000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_4 "bRegPortOutLcl[Data][27]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000120000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_5 "bRegPortOutLcl[Data][27]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_6 "bRegPortOutLcl[Data][27]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__27__i_7 "bRegPortOutLcl[Data][27]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0040000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_1 "bRegPortOutLcl[Data][28]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_10 "bRegPortOutLcl[Data][28]_i_10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h1"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_2 "bRegPortOutLcl[Data][28]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_3 "bRegPortOutLcl[Data][28]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h88F8888888888888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_4 "bRegPortOutLcl[Data][28]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0004000C00000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_5 "bRegPortOutLcl[Data][28]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0800000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_6 "bRegPortOutLcl[Data][28]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_7 "bRegPortOutLcl[Data][28]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0040"))
+ (property SOFT_HLUTNM (string "soft_lutpair3"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_8 "bRegPortOutLcl[Data][28]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000008000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__28__i_9 "bRegPortOutLcl[Data][28]_i_9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h1"))
+ (property SOFT_HLUTNM (string "soft_lutpair5"))
+ )
+ (instance (rename bRegPortOutLcl_Data__29__i_1 "bRegPortOutLcl[Data][29]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__29__i_2 "bRegPortOutLcl[Data][29]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__29__i_3 "bRegPortOutLcl[Data][29]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__29__i_4 "bRegPortOutLcl[Data][29]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__2__i_1 "bRegPortOutLcl[Data][2]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__2__i_2 "bRegPortOutLcl[Data][2]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__2__i_3 "bRegPortOutLcl[Data][2]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__2__i_4 "bRegPortOutLcl[Data][2]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hF8"))
+ (property SOFT_HLUTNM (string "soft_lutpair21"))
+ )
+ (instance (rename bRegPortOutLcl_Data__2__i_5 "bRegPortOutLcl[Data][2]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_1 "bRegPortOutLcl[Data][30]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_2 "bRegPortOutLcl[Data][30]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_3 "bRegPortOutLcl[Data][30]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_4 "bRegPortOutLcl[Data][30]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000002000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_5 "bRegPortOutLcl[Data][30]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__30__i_6 "bRegPortOutLcl[Data][30]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000004000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_1 "bRegPortOutLcl[Data][31]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_10 "bRegPortOutLcl[Data][31]_i_10") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000020000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_11 "bRegPortOutLcl[Data][31]_i_11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0800000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_12 "bRegPortOutLcl[Data][31]_i_12") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h8"))
+ (property SOFT_HLUTNM (string "soft_lutpair23"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_2 "bRegPortOutLcl[Data][31]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_3 "bRegPortOutLcl[Data][31]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_4 "bRegPortOutLcl[Data][31]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_5 "bRegPortOutLcl[Data][31]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0010000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_6 "bRegPortOutLcl[Data][31]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000800000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_7 "bRegPortOutLcl[Data][31]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000200000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_8 "bRegPortOutLcl[Data][31]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000200000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__31__i_9 "bRegPortOutLcl[Data][31]_i_9") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000020000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__3__i_1 "bRegPortOutLcl[Data][3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__3__i_2 "bRegPortOutLcl[Data][3]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__3__i_3 "bRegPortOutLcl[Data][3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__3__i_4 "bRegPortOutLcl[Data][3]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hF888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__3__i_5 "bRegPortOutLcl[Data][3]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_1 "bRegPortOutLcl[Data][4]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFFFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_2 "bRegPortOutLcl[Data][4]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_3 "bRegPortOutLcl[Data][4]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_4 "bRegPortOutLcl[Data][4]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_5 "bRegPortOutLcl[Data][4]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0001000000000000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_6 "bRegPortOutLcl[Data][4]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h000000C00000E000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__4__i_7 "bRegPortOutLcl[Data][4]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hF888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__5__i_1 "bRegPortOutLcl[Data][5]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFFFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__5__i_2 "bRegPortOutLcl[Data][5]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFF88F888F888F8"))
+ )
+ (instance (rename bRegPortOutLcl_Data__5__i_3 "bRegPortOutLcl[Data][5]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__5__i_4 "bRegPortOutLcl[Data][5]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__5__i_5 "bRegPortOutLcl[Data][5]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__6__i_1 "bRegPortOutLcl[Data][6]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__6__i_2 "bRegPortOutLcl[Data][6]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__6__i_3 "bRegPortOutLcl[Data][6]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__6__i_4 "bRegPortOutLcl[Data][6]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'hF8"))
+ (property SOFT_HLUTNM (string "soft_lutpair21"))
+ )
+ (instance (rename bRegPortOutLcl_Data__6__i_5 "bRegPortOutLcl[Data][6]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_1 "bRegPortOutLcl[Data][7]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_2 "bRegPortOutLcl[Data][7]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_3 "bRegPortOutLcl[Data][7]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_4 "bRegPortOutLcl[Data][7]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hF888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_5 "bRegPortOutLcl[Data][7]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__7__i_6 "bRegPortOutLcl[Data][7]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h0000000000008000"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_1 "bRegPortOutLcl[Data][8]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_2 "bRegPortOutLcl[Data][8]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_3 "bRegPortOutLcl[Data][8]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_4 "bRegPortOutLcl[Data][8]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hF888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_5 "bRegPortOutLcl[Data][8]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__8__i_6 "bRegPortOutLcl[Data][8]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h8000"))
+ (property SOFT_HLUTNM (string "soft_lutpair3"))
+ )
+ (instance (rename bRegPortOutLcl_Data__9__i_1 "bRegPortOutLcl[Data][9]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'hFFFE"))
+ )
+ (instance (rename bRegPortOutLcl_Data__9__i_2 "bRegPortOutLcl[Data][9]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__9__i_3 "bRegPortOutLcl[Data][9]_i_3") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFF88F8"))
+ )
+ (instance (rename bRegPortOutLcl_Data__9__i_4 "bRegPortOutLcl[Data][9]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'h88F8888888888888"))
+ )
+ (instance (rename bRegPortOutLcl_Data__9__i_5 "bRegPortOutLcl[Data][9]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFF888F888F888"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__0_ "bRegPortOutLcl_reg[Data][0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__10_ "bRegPortOutLcl_reg[Data][10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__11_ "bRegPortOutLcl_reg[Data][11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__12_ "bRegPortOutLcl_reg[Data][12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__13_ "bRegPortOutLcl_reg[Data][13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__14_ "bRegPortOutLcl_reg[Data][14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__15_ "bRegPortOutLcl_reg[Data][15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__16_ "bRegPortOutLcl_reg[Data][16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__17_ "bRegPortOutLcl_reg[Data][17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__18_ "bRegPortOutLcl_reg[Data][18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__19_ "bRegPortOutLcl_reg[Data][19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__1_ "bRegPortOutLcl_reg[Data][1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__20_ "bRegPortOutLcl_reg[Data][20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__21_ "bRegPortOutLcl_reg[Data][21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__22_ "bRegPortOutLcl_reg[Data][22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__23_ "bRegPortOutLcl_reg[Data][23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__24_ "bRegPortOutLcl_reg[Data][24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__25_ "bRegPortOutLcl_reg[Data][25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__26_ "bRegPortOutLcl_reg[Data][26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__27_ "bRegPortOutLcl_reg[Data][27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__28_ "bRegPortOutLcl_reg[Data][28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__29_ "bRegPortOutLcl_reg[Data][29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__2_ "bRegPortOutLcl_reg[Data][2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__30_ "bRegPortOutLcl_reg[Data][30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__31_ "bRegPortOutLcl_reg[Data][31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__3_ "bRegPortOutLcl_reg[Data][3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__4_ "bRegPortOutLcl_reg[Data][4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__5_ "bRegPortOutLcl_reg[Data][5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__6_ "bRegPortOutLcl_reg[Data][6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__7_ "bRegPortOutLcl_reg[Data][7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__8_ "bRegPortOutLcl_reg[Data][8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRegPortOutLcl_reg_Data__9_ "bRegPortOutLcl_reg[Data][9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance bResetTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFEFFFFFFFEEEEEE"))
+ )
+ (instance bResetTdc_reg (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
+ (property INIT (string "1'b1"))
+ )
+ (instance (rename bRpOffsetStored_0__i_1 "bRpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair49"))
+ )
+ (instance (rename bRpOffsetStored_10__i_1 "bRpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair44"))
+ )
+ (instance (rename bRpOffsetStored_11__i_1 "bRpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair43"))
+ )
+ (instance (rename bRpOffsetStored_12__i_1 "bRpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair43"))
+ )
+ (instance (rename bRpOffsetStored_13__i_1 "bRpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair42"))
+ )
+ (instance (rename bRpOffsetStored_14__i_1 "bRpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair42"))
+ )
+ (instance (rename bRpOffsetStored_15__i_1 "bRpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair41"))
+ )
+ (instance (rename bRpOffsetStored_16__i_1 "bRpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair41"))
+ )
+ (instance (rename bRpOffsetStored_17__i_1 "bRpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair40"))
+ )
+ (instance (rename bRpOffsetStored_18__i_1 "bRpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair40"))
+ )
+ (instance (rename bRpOffsetStored_19__i_1 "bRpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair39"))
+ )
+ (instance (rename bRpOffsetStored_1__i_1 "bRpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair48"))
+ )
+ (instance (rename bRpOffsetStored_20__i_1 "bRpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair39"))
+ )
+ (instance (rename bRpOffsetStored_21__i_1 "bRpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair38"))
+ )
+ (instance (rename bRpOffsetStored_22__i_1 "bRpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair38"))
+ )
+ (instance (rename bRpOffsetStored_23__i_1 "bRpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair37"))
+ )
+ (instance (rename bRpOffsetStored_24__i_1 "bRpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair37"))
+ )
+ (instance (rename bRpOffsetStored_25__i_1 "bRpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair36"))
+ )
+ (instance (rename bRpOffsetStored_26__i_1 "bRpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair36"))
+ )
+ (instance (rename bRpOffsetStored_27__i_1 "bRpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair35"))
+ )
+ (instance (rename bRpOffsetStored_28__i_1 "bRpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair35"))
+ )
+ (instance (rename bRpOffsetStored_29__i_1 "bRpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair34"))
+ )
+ (instance (rename bRpOffsetStored_2__i_1 "bRpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair48"))
+ )
+ (instance (rename bRpOffsetStored_30__i_1 "bRpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair34"))
+ )
+ (instance (rename bRpOffsetStored_31__i_1 "bRpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair33"))
+ )
+ (instance (rename bRpOffsetStored_32__i_1 "bRpOffsetStored[32]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair33"))
+ )
+ (instance (rename bRpOffsetStored_33__i_1 "bRpOffsetStored[33]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair32"))
+ )
+ (instance (rename bRpOffsetStored_34__i_1 "bRpOffsetStored[34]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair32"))
+ )
+ (instance (rename bRpOffsetStored_35__i_1 "bRpOffsetStored[35]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair31"))
+ )
+ (instance (rename bRpOffsetStored_36__i_1 "bRpOffsetStored[36]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair31"))
+ )
+ (instance (rename bRpOffsetStored_37__i_1 "bRpOffsetStored[37]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair30"))
+ )
+ (instance (rename bRpOffsetStored_38__i_1 "bRpOffsetStored[38]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair30"))
+ )
+ (instance (rename bRpOffsetStored_39__i_1 "bRpOffsetStored[39]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'hFFFF8000"))
+ )
+ (instance (rename bRpOffsetStored_39__i_2 "bRpOffsetStored[39]_i_2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair29"))
+ )
+ (instance (rename bRpOffsetStored_39__i_3 "bRpOffsetStored[39]_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
+ (property INIT (string "16'h0008"))
+ (property SOFT_HLUTNM (string "soft_lutpair2"))
+ )
+ (instance (rename bRpOffsetStored_39__i_4 "bRpOffsetStored[39]_i_4") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
+ (property INIT (string "32'h00000004"))
+ (property SOFT_HLUTNM (string "soft_lutpair0"))
+ )
+ (instance (rename bRpOffsetStored_3__i_1 "bRpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair47"))
+ )
+ (instance (rename bRpOffsetStored_4__i_1 "bRpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair47"))
+ )
+ (instance (rename bRpOffsetStored_5__i_1 "bRpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair46"))
+ )
+ (instance (rename bRpOffsetStored_6__i_1 "bRpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair46"))
+ )
+ (instance (rename bRpOffsetStored_7__i_1 "bRpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair45"))
+ )
+ (instance (rename bRpOffsetStored_8__i_1 "bRpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair45"))
+ )
+ (instance (rename bRpOffsetStored_9__i_1 "bRpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair44"))
+ )
+ (instance (rename bRpOffsetStored_reg_0_ "bRpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_10_ "bRpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_11_ "bRpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_12_ "bRpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_13_ "bRpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_14_ "bRpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_15_ "bRpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_16_ "bRpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_17_ "bRpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_18_ "bRpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_19_ "bRpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_1_ "bRpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_20_ "bRpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_21_ "bRpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_22_ "bRpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_23_ "bRpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_24_ "bRpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_25_ "bRpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_26_ "bRpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_27_ "bRpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_28_ "bRpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_29_ "bRpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_2_ "bRpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_30_ "bRpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_31_ "bRpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_32_ "bRpOffsetStored_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_33_ "bRpOffsetStored_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_34_ "bRpOffsetStored_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_35_ "bRpOffsetStored_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_36_ "bRpOffsetStored_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_37_ "bRpOffsetStored_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_38_ "bRpOffsetStored_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_39_ "bRpOffsetStored_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_3_ "bRpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_4_ "bRpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_5_ "bRpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_6_ "bRpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_7_ "bRpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_8_ "bRpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bRpOffsetStored_reg_9_ "bRpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_0__i_1 "bScratch[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair28"))
+ )
+ (instance (rename bScratch_10__i_1 "bScratch[10]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair14"))
+ )
+ (instance (rename bScratch_11__i_1 "bScratch[11]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair15"))
+ )
+ (instance (rename bScratch_12__i_1 "bScratch[12]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair17"))
+ )
+ (instance (rename bScratch_13__i_1 "bScratch[13]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair16"))
+ )
+ (instance (rename bScratch_14__i_1 "bScratch[14]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair17"))
+ )
+ (instance (rename bScratch_15__i_1 "bScratch[15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair18"))
+ )
+ (instance (rename bScratch_1__i_1 "bScratch[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair6"))
+ )
+ (instance (rename bScratch_20__i_1 "bScratch[20]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair16"))
+ )
+ (instance (rename bScratch_21__i_1 "bScratch[21]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair20"))
+ )
+ (instance (rename bScratch_22__i_1 "bScratch[22]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair14"))
+ )
+ (instance (rename bScratch_23__i_1 "bScratch[23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair20"))
+ )
+ (instance (rename bScratch_24__i_1 "bScratch[24]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair28"))
+ )
+ (instance (rename bScratch_28__i_1 "bScratch[28]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair12"))
+ )
+ (instance (rename bScratch_29__i_1 "bScratch[29]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair19"))
+ )
+ (instance (rename bScratch_2__i_1 "bScratch[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair7"))
+ )
+ (instance (rename bScratch_30__i_1 "bScratch[30]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair13"))
+ )
+ (instance (rename bScratch_31__i_1 "bScratch[31]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
+ (property INIT (string "64'hFFFFFFFF00800000"))
+ )
+ (instance (rename bScratch_31__i_2 "bScratch[31]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair11"))
+ )
+ (instance (rename bScratch_31__i_3 "bScratch[31]_i_3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h40"))
+ (property SOFT_HLUTNM (string "soft_lutpair27"))
+ )
+ (instance (rename bScratch_31__i_4 "bScratch[31]_i_4") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair26"))
+ )
+ (instance (rename bScratch_31__i_5 "bScratch[31]_i_5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'hE"))
+ (property SOFT_HLUTNM (string "soft_lutpair1"))
+ )
+ (instance (rename bScratch_3__i_1 "bScratch[3]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair9"))
+ )
+ (instance (rename bScratch_4__i_1 "bScratch[4]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair15"))
+ )
+ (instance (rename bScratch_5__i_1 "bScratch[5]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair10"))
+ )
+ (instance (rename bScratch_6__i_1 "bScratch[6]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair11"))
+ )
+ (instance (rename bScratch_7__i_1 "bScratch[7]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair12"))
+ )
+ (instance (rename bScratch_8__i_1 "bScratch[8]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair18"))
+ )
+ (instance (rename bScratch_9__i_1 "bScratch[9]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
+ (property INIT (string "8'h02"))
+ (property SOFT_HLUTNM (string "soft_lutpair13"))
+ )
+ (instance (rename bScratch_reg_0_ "bScratch_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_10_ "bScratch_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_11_ "bScratch_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_12_ "bScratch_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_13_ "bScratch_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_14_ "bScratch_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_15_ "bScratch_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_16_ "bScratch_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_17_ "bScratch_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_18_ "bScratch_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_19_ "bScratch_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_1_ "bScratch_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_20_ "bScratch_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_21_ "bScratch_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_22_ "bScratch_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_23_ "bScratch_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_24_ "bScratch_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_25_ "bScratch_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_26_ "bScratch_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_27_ "bScratch_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_28_ "bScratch_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_29_ "bScratch_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_2_ "bScratch_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_30_ "bScratch_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_31_ "bScratch_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_3_ "bScratch_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_4_ "bScratch_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_5_ "bScratch_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_6_ "bScratch_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_7_ "bScratch_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_8_ "bScratch_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bScratch_reg_9_ "bScratch_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_0__i_1 "bSpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ )
+ (instance (rename bSpOffsetStored_10__i_1 "bSpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair60"))
+ )
+ (instance (rename bSpOffsetStored_11__i_1 "bSpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair59"))
+ )
+ (instance (rename bSpOffsetStored_12__i_1 "bSpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair59"))
+ )
+ (instance (rename bSpOffsetStored_13__i_1 "bSpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair58"))
+ )
+ (instance (rename bSpOffsetStored_14__i_1 "bSpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair58"))
+ )
+ (instance (rename bSpOffsetStored_15__i_1 "bSpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair57"))
+ )
+ (instance (rename bSpOffsetStored_16__i_1 "bSpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair57"))
+ )
+ (instance (rename bSpOffsetStored_17__i_1 "bSpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair56"))
+ )
+ (instance (rename bSpOffsetStored_18__i_1 "bSpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair56"))
+ )
+ (instance (rename bSpOffsetStored_19__i_1 "bSpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair55"))
+ )
+ (instance (rename bSpOffsetStored_1__i_1 "bSpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair64"))
+ )
+ (instance (rename bSpOffsetStored_20__i_1 "bSpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair55"))
+ )
+ (instance (rename bSpOffsetStored_21__i_1 "bSpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair54"))
+ )
+ (instance (rename bSpOffsetStored_22__i_1 "bSpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair54"))
+ )
+ (instance (rename bSpOffsetStored_23__i_1 "bSpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair53"))
+ )
+ (instance (rename bSpOffsetStored_24__i_1 "bSpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair53"))
+ )
+ (instance (rename bSpOffsetStored_25__i_1 "bSpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair52"))
+ )
+ (instance (rename bSpOffsetStored_26__i_1 "bSpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair52"))
+ )
+ (instance (rename bSpOffsetStored_27__i_1 "bSpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair51"))
+ )
+ (instance (rename bSpOffsetStored_28__i_1 "bSpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair51"))
+ )
+ (instance (rename bSpOffsetStored_29__i_1 "bSpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair50"))
+ )
+ (instance (rename bSpOffsetStored_2__i_1 "bSpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair64"))
+ )
+ (instance (rename bSpOffsetStored_30__i_1 "bSpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair50"))
+ )
+ (instance (rename bSpOffsetStored_31__i_1 "bSpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair49"))
+ )
+ (instance (rename bSpOffsetStored_3__i_1 "bSpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair63"))
+ )
+ (instance (rename bSpOffsetStored_4__i_1 "bSpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair63"))
+ )
+ (instance (rename bSpOffsetStored_5__i_1 "bSpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair62"))
+ )
+ (instance (rename bSpOffsetStored_6__i_1 "bSpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair62"))
+ )
+ (instance (rename bSpOffsetStored_7__i_1 "bSpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair61"))
+ )
+ (instance (rename bSpOffsetStored_8__i_1 "bSpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair61"))
+ )
+ (instance (rename bSpOffsetStored_9__i_1 "bSpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
+ (property INIT (string "4'h2"))
+ (property SOFT_HLUTNM (string "soft_lutpair60"))
+ )
+ (instance (rename bSpOffsetStored_reg_0_ "bSpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_10_ "bSpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_11_ "bSpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_12_ "bSpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_13_ "bSpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_14_ "bSpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_15_ "bSpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_16_ "bSpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_17_ "bSpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_18_ "bSpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_19_ "bSpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_1_ "bSpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_20_ "bSpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_21_ "bSpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_22_ "bSpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_23_ "bSpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_24_ "bSpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_25_ "bSpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_26_ "bSpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_27_ "bSpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_28_ "bSpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_29_ "bSpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_2_ "bSpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_30_ "bSpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_31_ "bSpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_3_ "bSpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_4_ "bSpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_5_ "bSpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_6_ "bSpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_7_ "bSpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_8_ "bSpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename bSpOffsetStored_reg_9_ "bSpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename rPulserEnableDelayVal_reg_0_ "rPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
+ (property INIT (string "1'b1"))
+ )
+ (instance (rename rPulserEnableDelayVal_reg_1_ "rPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename rPulserEnableDelayVal_reg_2_ "rPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename rPulserEnableDelayVal_reg_3_ "rPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename sPpsClkCrossDelayVal_reg_0_ "sPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename sPpsClkCrossDelayVal_reg_1_ "sPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename sPpsClkCrossDelayVal_reg_2_ "sPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (instance (rename sPpsClkCrossDelayVal_reg_3_ "sPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
+ (property INIT (string "1'b0"))
+ )
+ (net (rename &_const0_ "<const0>") (joined
+ (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref G (instanceref GND))
+ (portref (member bRegPortOutFlat 32))
+ (portref (member rRePulseHighTimeInRClks 0))
+ (portref (member rRpHighTimeInRClks 0))
+ (portref (member rRptHighTimeInRClks 0))
+ (portref (member sSpHighTimeInSClks 0))
+ (portref (member sSptHighTimeInSClks 0))
+ )
+ )
+ (net (rename &_const1_ "<const1>") (joined
+ (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref RpCntHs_BlkOut_oDataValid_reg))
+ (portref CE (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref RptCntHs_BlkOut_oDataValid_reg))
+ (portref CE (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref SpCntHs_BlkOut_oDataValid_reg))
+ (portref CE (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkIn_iDlyPush_reg))
+ (portref CE (instanceref SptCntHs_BlkOut_oDataValid_reg))
+ (portref CE (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
+ (portref CE (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CE (instanceref aTdcResetLcl_reg))
+ (portref CE (instanceref aTdcResetLcl_reg_rep))
+ (portref CE (instanceref aTdcResetLcl_reg_rep__0))
+ (portref CE (instanceref aTdcResetLcl_reg_rep__1))
+ (portref CE (instanceref aTdcResetLcl_reg_rep__2))
+ (portref CE (instanceref aTdcResetLcl_reg_rep__3))
+ (portref CE (instanceref bClearTdcRegs_reg))
+ (portref CE (instanceref bEnableTdc_reg))
+ (portref CE (instanceref bOffsetUpdated_reg))
+ (portref CE (instanceref bPpsClkCrossEn_reg))
+ (portref CE (instanceref bPushPpsDelayVal_reg))
+ (portref CE (instanceref bPushPulserEnableDelayVal_reg))
+ (portref CE (instanceref bReRunEnable_reg))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__0_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__10_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__11_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__12_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__13_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__14_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__15_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__16_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__17_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__18_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__19_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__1_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__20_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__21_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__22_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__23_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__24_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__25_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__26_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__27_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__28_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__29_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__2_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__30_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__31_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__3_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__4_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__5_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__6_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__7_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__8_))
+ (portref CE (instanceref bRegPortOutLcl_reg_Data__9_))
+ (portref CE (instanceref bResetTdc_reg))
+ (portref P (instanceref VCC))
+ (portref (member bRegPortOutFlat 33))
+ )
+ )
+ (net BusClk (joined
+ (portref C (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkIn_iDlyPush_reg))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref aTdcResetLcl_reg))
+ (portref C (instanceref aTdcResetLcl_reg_rep))
+ (portref C (instanceref aTdcResetLcl_reg_rep__0))
+ (portref C (instanceref aTdcResetLcl_reg_rep__1))
+ (portref C (instanceref aTdcResetLcl_reg_rep__2))
+ (portref C (instanceref aTdcResetLcl_reg_rep__3))
+ (portref C (instanceref bClearTdcRegs_reg))
+ (portref C (instanceref bEnableTdc_reg))
+ (portref C (instanceref bOffsetUpdated_reg))
+ (portref C (instanceref bPpsClkCrossDelayVal_reg_0_))
+ (portref C (instanceref bPpsClkCrossDelayVal_reg_1_))
+ (portref C (instanceref bPpsClkCrossDelayVal_reg_2_))
+ (portref C (instanceref bPpsClkCrossDelayVal_reg_3_))
+ (portref C (instanceref bPpsClkCrossEn_reg))
+ (portref C (instanceref bPulserEnableDelayVal_reg_0_))
+ (portref C (instanceref bPulserEnableDelayVal_reg_1_))
+ (portref C (instanceref bPulserEnableDelayVal_reg_2_))
+ (portref C (instanceref bPulserEnableDelayVal_reg_3_))
+ (portref C (instanceref bPushPpsDelayVal_reg))
+ (portref C (instanceref bPushPulserEnableDelayVal_reg))
+ (portref C (instanceref bReRunEnable_reg))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__0_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__10_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__11_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__12_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__13_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__14_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__15_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__16_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__17_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__18_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__19_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__1_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__20_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__21_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__22_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__23_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__24_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__25_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__26_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__27_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__28_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__29_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__2_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__30_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__31_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__3_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__4_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__5_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__6_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__7_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__8_))
+ (portref C (instanceref bRegPortOutLcl_reg_Data__9_))
+ (portref C (instanceref bResetTdc_reg))
+ (portref C (instanceref bRpOffsetStored_reg_0_))
+ (portref C (instanceref bRpOffsetStored_reg_10_))
+ (portref C (instanceref bRpOffsetStored_reg_11_))
+ (portref C (instanceref bRpOffsetStored_reg_12_))
+ (portref C (instanceref bRpOffsetStored_reg_13_))
+ (portref C (instanceref bRpOffsetStored_reg_14_))
+ (portref C (instanceref bRpOffsetStored_reg_15_))
+ (portref C (instanceref bRpOffsetStored_reg_16_))
+ (portref C (instanceref bRpOffsetStored_reg_17_))
+ (portref C (instanceref bRpOffsetStored_reg_18_))
+ (portref C (instanceref bRpOffsetStored_reg_19_))
+ (portref C (instanceref bRpOffsetStored_reg_1_))
+ (portref C (instanceref bRpOffsetStored_reg_20_))
+ (portref C (instanceref bRpOffsetStored_reg_21_))
+ (portref C (instanceref bRpOffsetStored_reg_22_))
+ (portref C (instanceref bRpOffsetStored_reg_23_))
+ (portref C (instanceref bRpOffsetStored_reg_24_))
+ (portref C (instanceref bRpOffsetStored_reg_25_))
+ (portref C (instanceref bRpOffsetStored_reg_26_))
+ (portref C (instanceref bRpOffsetStored_reg_27_))
+ (portref C (instanceref bRpOffsetStored_reg_28_))
+ (portref C (instanceref bRpOffsetStored_reg_29_))
+ (portref C (instanceref bRpOffsetStored_reg_2_))
+ (portref C (instanceref bRpOffsetStored_reg_30_))
+ (portref C (instanceref bRpOffsetStored_reg_31_))
+ (portref C (instanceref bRpOffsetStored_reg_32_))
+ (portref C (instanceref bRpOffsetStored_reg_33_))
+ (portref C (instanceref bRpOffsetStored_reg_34_))
+ (portref C (instanceref bRpOffsetStored_reg_35_))
+ (portref C (instanceref bRpOffsetStored_reg_36_))
+ (portref C (instanceref bRpOffsetStored_reg_37_))
+ (portref C (instanceref bRpOffsetStored_reg_38_))
+ (portref C (instanceref bRpOffsetStored_reg_39_))
+ (portref C (instanceref bRpOffsetStored_reg_3_))
+ (portref C (instanceref bRpOffsetStored_reg_4_))
+ (portref C (instanceref bRpOffsetStored_reg_5_))
+ (portref C (instanceref bRpOffsetStored_reg_6_))
+ (portref C (instanceref bRpOffsetStored_reg_7_))
+ (portref C (instanceref bRpOffsetStored_reg_8_))
+ (portref C (instanceref bRpOffsetStored_reg_9_))
+ (portref C (instanceref bScratch_reg_0_))
+ (portref C (instanceref bScratch_reg_10_))
+ (portref C (instanceref bScratch_reg_11_))
+ (portref C (instanceref bScratch_reg_12_))
+ (portref C (instanceref bScratch_reg_13_))
+ (portref C (instanceref bScratch_reg_14_))
+ (portref C (instanceref bScratch_reg_15_))
+ (portref C (instanceref bScratch_reg_16_))
+ (portref C (instanceref bScratch_reg_17_))
+ (portref C (instanceref bScratch_reg_18_))
+ (portref C (instanceref bScratch_reg_19_))
+ (portref C (instanceref bScratch_reg_1_))
+ (portref C (instanceref bScratch_reg_20_))
+ (portref C (instanceref bScratch_reg_21_))
+ (portref C (instanceref bScratch_reg_22_))
+ (portref C (instanceref bScratch_reg_23_))
+ (portref C (instanceref bScratch_reg_24_))
+ (portref C (instanceref bScratch_reg_25_))
+ (portref C (instanceref bScratch_reg_26_))
+ (portref C (instanceref bScratch_reg_27_))
+ (portref C (instanceref bScratch_reg_28_))
+ (portref C (instanceref bScratch_reg_29_))
+ (portref C (instanceref bScratch_reg_2_))
+ (portref C (instanceref bScratch_reg_30_))
+ (portref C (instanceref bScratch_reg_31_))
+ (portref C (instanceref bScratch_reg_3_))
+ (portref C (instanceref bScratch_reg_4_))
+ (portref C (instanceref bScratch_reg_5_))
+ (portref C (instanceref bScratch_reg_6_))
+ (portref C (instanceref bScratch_reg_7_))
+ (portref C (instanceref bScratch_reg_8_))
+ (portref C (instanceref bScratch_reg_9_))
+ (portref C (instanceref bSpOffsetStored_reg_0_))
+ (portref C (instanceref bSpOffsetStored_reg_10_))
+ (portref C (instanceref bSpOffsetStored_reg_11_))
+ (portref C (instanceref bSpOffsetStored_reg_12_))
+ (portref C (instanceref bSpOffsetStored_reg_13_))
+ (portref C (instanceref bSpOffsetStored_reg_14_))
+ (portref C (instanceref bSpOffsetStored_reg_15_))
+ (portref C (instanceref bSpOffsetStored_reg_16_))
+ (portref C (instanceref bSpOffsetStored_reg_17_))
+ (portref C (instanceref bSpOffsetStored_reg_18_))
+ (portref C (instanceref bSpOffsetStored_reg_19_))
+ (portref C (instanceref bSpOffsetStored_reg_1_))
+ (portref C (instanceref bSpOffsetStored_reg_20_))
+ (portref C (instanceref bSpOffsetStored_reg_21_))
+ (portref C (instanceref bSpOffsetStored_reg_22_))
+ (portref C (instanceref bSpOffsetStored_reg_23_))
+ (portref C (instanceref bSpOffsetStored_reg_24_))
+ (portref C (instanceref bSpOffsetStored_reg_25_))
+ (portref C (instanceref bSpOffsetStored_reg_26_))
+ (portref C (instanceref bSpOffsetStored_reg_27_))
+ (portref C (instanceref bSpOffsetStored_reg_28_))
+ (portref C (instanceref bSpOffsetStored_reg_29_))
+ (portref C (instanceref bSpOffsetStored_reg_2_))
+ (portref C (instanceref bSpOffsetStored_reg_30_))
+ (portref C (instanceref bSpOffsetStored_reg_31_))
+ (portref C (instanceref bSpOffsetStored_reg_3_))
+ (portref C (instanceref bSpOffsetStored_reg_4_))
+ (portref C (instanceref bSpOffsetStored_reg_5_))
+ (portref C (instanceref bSpOffsetStored_reg_6_))
+ (portref C (instanceref bSpOffsetStored_reg_7_))
+ (portref C (instanceref bSpOffsetStored_reg_8_))
+ (portref C (instanceref bSpOffsetStored_reg_9_))
+ (portref BusClk)
+ )
+ )
+ (net (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename EnableTdcDs_DoubleSyncBasex_iDlySig "EnableTdcDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net (rename Gen0_FDCEx_i_1__10_n_0 "Gen0.FDCEx_i_1__10_n_0") (joined
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__10))
+ )
+ )
+ (net (rename Gen0_FDCEx_i_1__11_n_0 "Gen0.FDCEx_i_1__11_n_0") (joined
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__11))
+ )
+ )
+ (net (rename Gen0_FDCEx_i_1__9_n_0 "Gen0.FDCEx_i_1__9_n_0") (joined
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__9))
+ )
+ )
+ (net (rename Gen0_FDCEx_i_3__0_n_0 "Gen0.FDCEx_i_3__0_n_0") (joined
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1__1))
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1__2))
+ (portref I3 (instanceref Gen0_FDCEx_i_1__1))
+ (portref I3 (instanceref Gen0_FDCEx_i_1__2))
+ (portref O (instanceref Gen0_FDCEx_i_3__0))
+ )
+ )
+ (net (rename Gen0_FDCEx_i_3__1_n_0 "Gen0.FDCEx_i_3__1_n_0") (joined
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1__3))
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1__4))
+ (portref I3 (instanceref Gen0_FDCEx_i_1__3))
+ (portref I3 (instanceref Gen0_FDCEx_i_1__4))
+ (portref O (instanceref Gen0_FDCEx_i_3__1))
+ )
+ )
+ (net (rename Gen0_FDCEx_i_3_n_0 "Gen0.FDCEx_i_3_n_0") (joined
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1))
+ (portref I0 (instanceref BlkIn_iDlyPush_i_1__0))
+ (portref I3 (instanceref Gen0_FDCEx_i_1))
+ (portref I3 (instanceref Gen0_FDCEx_i_1__0))
+ (portref O (instanceref Gen0_FDCEx_i_3))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[10]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[11]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[12]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[13]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[14]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[15]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[16]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[17]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[18]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[19]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[20]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[21]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[22]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[23]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[24]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[25]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[26]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[27]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[28]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[29]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[30]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[31]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[32]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[33]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[34]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[35]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[36]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[37]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[38]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[39]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[40]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[41]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[42]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[43]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[44]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[45]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[46]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[47]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[48]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[49]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[4]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[50]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[51]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[52]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[53]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[54]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[55]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[56]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[57]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[58]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[59]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[5]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[60]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[61]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[62]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[63]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[64]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[65]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[66]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[67]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[68]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[69]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[6]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[70]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[71]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[72]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[73]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[74]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[75]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[76]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[77]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[78]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[79]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[7]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[8]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[9]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg_n_0 "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__7))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_iDlyPush "IncomingOffsetHs/HBx/iDlyPush") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__11))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_iPushToggle "IncomingOffsetHs/HBx/iPushToggle") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__1))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_iPushToggleNx "IncomingOffsetHs/HBx/iPushToggleNx") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__1))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_oPushToggle0_ms "IncomingOffsetHs/HBx/oPushToggle0_ms") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_oPushToggle1 "IncomingOffsetHs/HBx/oPushToggle1") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__7))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename IncomingOffsetHs_HBx_oPushToggleChanged "IncomingOffsetHs/HBx/oPushToggleChanged") (joined
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
+ (portref O (instanceref Gen0_FDCEx_i_1__7))
+ )
+ )
+ (net MeasClk (joined
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
+ (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref MeasClk)
+ )
+ )
+ (net (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_ms "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsCapturedDs_DoubleSyncBasex_iDlySig "PpsCapturedDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsCrossEnDs_DoubleSyncBasex_iDlySig "PpsCrossEnDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_iDlyPush "PpsDelayValCrossingHs/HBx/iDlyPush") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__9))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_iPushToggle "PpsDelayValCrossingHs/HBx/iPushToggle") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_iPushToggleNx "PpsDelayValCrossingHs/HBx/iPushToggleNx") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_oPushToggle0_ms "PpsDelayValCrossingHs/HBx/oPushToggle0_ms") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_oPushToggle1 "PpsDelayValCrossingHs/HBx/oPushToggle1") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__5))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename PpsDelayValCrossingHs_HBx_oPushToggleChanged "PpsDelayValCrossingHs/HBx/oPushToggleChanged") (joined
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref O (instanceref Gen0_FDCEx_i_1__5))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg_n_0") (joined
+ (portref CE (instanceref rPulserEnableDelayVal_reg_0_))
+ (portref CE (instanceref rPulserEnableDelayVal_reg_1_))
+ (portref CE (instanceref rPulserEnableDelayVal_reg_2_))
+ (portref CE (instanceref rPulserEnableDelayVal_reg_3_))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__6))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_iDlyPush "PulserEnableDelayValCrossingHs/HBx/iDlyPush") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__10))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggle "PulserEnableDelayValCrossingHs/HBx/iPushToggle") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__0))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggleNx "PulserEnableDelayValCrossingHs/HBx/iPushToggleNx") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__0))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle0_ms "PulserEnableDelayValCrossingHs/HBx/oPushToggle0_ms") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle1 "PulserEnableDelayValCrossingHs/HBx/oPushToggle1") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__6))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggleChanged "PulserEnableDelayValCrossingHs/HBx/oPushToggleChanged") (joined
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref O (instanceref Gen0_FDCEx_i_1__6))
+ )
+ )
+ (net (rename RePulse1CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse1CntHs/BlkIn.iDlyPush_reg_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1__2))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename RePulse1CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse1CntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__8))
+ (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename RePulse1CntHs_iPushPulse "RePulse1CntHs/iPushPulse") (joined
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__2))
+ )
+ )
+ (net (rename RePulse1CntHs_iPushToggle "RePulse1CntHs/iPushToggle") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__4))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse1CntHs_iPushToggleNx "RePulse1CntHs/iPushToggleNx") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__4))
+ )
+ )
+ (net (rename RePulse1CntHs_oPushToggle0_ms "RePulse1CntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse1CntHs_oPushToggle1 "RePulse1CntHs/oPushToggle1") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__8))
+ (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse1CntHs_oPushToggleChanged "RePulse1CntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__8))
+ )
+ )
+ (net (rename RePulse2CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse2CntHs/BlkIn.iDlyPush_reg_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1__1))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename RePulse2CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse2CntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref BlkOut_oDataValid_i_1__1))
+ (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename RePulse2CntHs_iPushPulse "RePulse2CntHs/iPushPulse") (joined
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__1))
+ )
+ )
+ (net (rename RePulse2CntHs_iPushToggle "RePulse2CntHs/iPushToggle") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__5))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse2CntHs_iPushToggleNx "RePulse2CntHs/iPushToggleNx") (joined
+ (portref D (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__5))
+ )
+ )
+ (net (rename RePulse2CntHs_oPushToggle0_ms "RePulse2CntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse2CntHs_oPushToggle1 "RePulse2CntHs/oPushToggle1") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref BlkOut_oDataValid_i_1__1))
+ (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulse2CntHs_oPushToggleChanged "RePulse2CntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
+ (portref O (instanceref BlkOut_oDataValid_i_1__1))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename ReRunEnableDs_DoubleSyncBasex_iDlySig "ReRunEnableDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net RefClk (joined
+ (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
+ (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_oDataValid_reg))
+ (portref C (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_oDataValid_reg))
+ (portref C (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref rPulserEnableDelayVal_reg_0_))
+ (portref C (instanceref rPulserEnableDelayVal_reg_1_))
+ (portref C (instanceref rPulserEnableDelayVal_reg_2_))
+ (portref C (instanceref rPulserEnableDelayVal_reg_3_))
+ (portref RefClk)
+ )
+ )
+ (net RegWrite53_out (joined
+ (portref D (instanceref SptCntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1))
+ )
+ )
+ (net RegWrite54_out (joined
+ (portref D (instanceref RptCntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1__0))
+ )
+ )
+ (net RegWrite55_out (joined
+ (portref D (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1__1))
+ )
+ )
+ (net RegWrite56_out (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1__2))
+ )
+ )
+ (net RegWrite57_out (joined
+ (portref D (instanceref SpCntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1__3))
+ )
+ )
+ (net RegWrite58_out (joined
+ (portref D (instanceref RpCntHs_BlkIn_iDlyPush_reg))
+ (portref O (instanceref BlkIn_iDlyPush_i_1__4))
+ )
+ )
+ (net (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename ResetDoneDs_DoubleSyncBasex_iDlySig "ResetDoneDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename ResetTdcDs_DoubleSyncBasex_iDlySig "ResetTdcDs/DoubleSyncBasex/iDlySig") (joined
+ (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ )
+
+ (property RTL_KEEP (string "true"))
+ )
+ (net (rename RpCntHs_BlkOut_oPushToggle2_reg_n_0 "RpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref BlkOut_oDataValid_i_1))
+ (portref Q (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename RpCntHs_iPushPulse "RpCntHs/iPushPulse") (joined
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__4))
+ )
+ )
+ (net (rename RpCntHs_iPushToggle "RpCntHs/iPushToggle") (joined
+ (portref D (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__2))
+ (portref Q (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntHs_iPushToggleNx "RpCntHs/iPushToggleNx") (joined
+ (portref D (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__2))
+ )
+ )
+ (net (rename RpCntHs_oPushToggle0_ms "RpCntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntHs_oPushToggle1 "RpCntHs/oPushToggle1") (joined
+ (portref D (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref BlkOut_oDataValid_i_1))
+ (portref Q (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntHs_oPushToggleChanged "RpCntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntHs_BlkOut_oDataValid_reg))
+ (portref O (instanceref BlkOut_oDataValid_i_1))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntHs_BlkIn_iDlyPush_reg_n_0 "RptCntHs/BlkIn.iDlyPush_reg_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1__0))
+ (portref Q (instanceref RptCntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename RptCntHs_BlkOut_oPushToggle2_reg_n_0 "RptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref BlkOut_oDataValid_i_1__2))
+ (portref Q (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename RptCntHs_iPushPulse "RptCntHs/iPushPulse") (joined
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__0))
+ )
+ )
+ (net (rename RptCntHs_iPushToggle "RptCntHs/iPushToggle") (joined
+ (portref D (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__6))
+ (portref Q (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntHs_iPushToggleNx "RptCntHs/iPushToggleNx") (joined
+ (portref D (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__6))
+ )
+ )
+ (net (rename RptCntHs_oPushToggle0_ms "RptCntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntHs_oPushToggle1 "RptCntHs/oPushToggle1") (joined
+ (portref D (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref BlkOut_oDataValid_i_1__2))
+ (portref Q (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntHs_oPushToggleChanged "RptCntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntHs_BlkOut_oDataValid_reg))
+ (portref O (instanceref BlkOut_oDataValid_i_1__2))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net SampleClk (joined
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_oDataValid_reg))
+ (portref C (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_oDataValid_reg))
+ (portref C (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref C (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
+ (portref C (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref C (instanceref sPpsClkCrossDelayVal_reg_0_))
+ (portref C (instanceref sPpsClkCrossDelayVal_reg_1_))
+ (portref C (instanceref sPpsClkCrossDelayVal_reg_2_))
+ (portref C (instanceref sPpsClkCrossDelayVal_reg_3_))
+ (portref SampleClk)
+ )
+ )
+ (net (rename SpCntHs_BlkIn_iDlyPush_reg_n_0 "SpCntHs/BlkIn.iDlyPush_reg_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1__3))
+ (portref Q (instanceref SpCntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename SpCntHs_BlkOut_oPushToggle2_reg_n_0 "SpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref BlkOut_oDataValid_i_1__0))
+ (portref Q (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename SpCntHs_iPushPulse "SpCntHs/iPushPulse") (joined
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1__3))
+ )
+ )
+ (net (rename SpCntHs_iPushToggle "SpCntHs/iPushToggle") (joined
+ (portref D (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__3))
+ (portref Q (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntHs_iPushToggleNx "SpCntHs/iPushToggleNx") (joined
+ (portref D (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__3))
+ )
+ )
+ (net (rename SpCntHs_oPushToggle0_ms "SpCntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntHs_oPushToggle1 "SpCntHs/oPushToggle1") (joined
+ (portref D (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref BlkOut_oDataValid_i_1__0))
+ (portref Q (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntHs_oPushToggleChanged "SpCntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntHs_BlkOut_oDataValid_reg))
+ (portref O (instanceref BlkOut_oDataValid_i_1__0))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntHs_BlkIn_iDlyPush_reg_n_0 "SptCntHs/BlkIn.iDlyPush_reg_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1))
+ (portref Q (instanceref SptCntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net (rename SptCntHs_BlkOut_oPushToggle2_reg_n_0 "SptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
+ (portref I1 (instanceref BlkOut_oDataValid_i_1__3))
+ (portref Q (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net (rename SptCntHs_iPushPulse "SptCntHs/iPushPulse") (joined
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CE (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_1))
+ )
+ )
+ (net (rename SptCntHs_iPushToggle "SptCntHs/iPushToggle") (joined
+ (portref D (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I0 (instanceref Gen0_FDCEx_i_2__7))
+ (portref Q (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntHs_iPushToggleNx "SptCntHs/iPushToggleNx") (joined
+ (portref D (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref O (instanceref Gen0_FDCEx_i_2__7))
+ )
+ )
+ (net (rename SptCntHs_oPushToggle0_ms "SptCntHs/oPushToggle0_ms") (joined
+ (portref D (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntHs_oPushToggle1 "SptCntHs/oPushToggle1") (joined
+ (portref D (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
+ (portref I0 (instanceref BlkOut_oDataValid_i_1__3))
+ (portref Q (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntHs_oPushToggleChanged "SptCntHs/oPushToggleChanged") (joined
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntHs_BlkOut_oDataValid_reg))
+ (portref O (instanceref BlkOut_oDataValid_i_1__3))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
+ (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ )
+ )
+ (net aBusReset (joined
+ (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref CLR (instanceref aTdcResetLcl_reg))
+ (portref CLR (instanceref aTdcResetLcl_reg_rep))
+ (portref CLR (instanceref aTdcResetLcl_reg_rep__0))
+ (portref CLR (instanceref aTdcResetLcl_reg_rep__1))
+ (portref CLR (instanceref aTdcResetLcl_reg_rep__2))
+ (portref CLR (instanceref aTdcResetLcl_reg_rep__3))
+ (portref CLR (instanceref bClearTdcRegs_reg))
+ (portref CLR (instanceref bEnableTdc_reg))
+ (portref CLR (instanceref bOffsetUpdated_reg))
+ (portref CLR (instanceref bPpsClkCrossDelayVal_reg_0_))
+ (portref CLR (instanceref bPpsClkCrossDelayVal_reg_1_))
+ (portref CLR (instanceref bPpsClkCrossDelayVal_reg_2_))
+ (portref CLR (instanceref bPpsClkCrossDelayVal_reg_3_))
+ (portref CLR (instanceref bPpsClkCrossEn_reg))
+ (portref CLR (instanceref bPulserEnableDelayVal_reg_1_))
+ (portref CLR (instanceref bPulserEnableDelayVal_reg_2_))
+ (portref CLR (instanceref bPulserEnableDelayVal_reg_3_))
+ (portref CLR (instanceref bPushPpsDelayVal_reg))
+ (portref CLR (instanceref bPushPulserEnableDelayVal_reg))
+ (portref CLR (instanceref bReRunEnable_reg))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__0_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__10_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__11_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__12_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__13_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__14_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__15_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__16_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__17_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__18_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__19_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__1_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__20_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__21_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__22_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__23_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__24_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__25_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__26_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__27_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__28_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__29_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__2_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__30_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__31_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__3_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__4_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__5_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__6_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__7_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__8_))
+ (portref CLR (instanceref bRegPortOutLcl_reg_Data__9_))
+ (portref CLR (instanceref bRpOffsetStored_reg_0_))
+ (portref CLR (instanceref bRpOffsetStored_reg_10_))
+ (portref CLR (instanceref bRpOffsetStored_reg_11_))
+ (portref CLR (instanceref bRpOffsetStored_reg_12_))
+ (portref CLR (instanceref bRpOffsetStored_reg_13_))
+ (portref CLR (instanceref bRpOffsetStored_reg_14_))
+ (portref CLR (instanceref bRpOffsetStored_reg_15_))
+ (portref CLR (instanceref bRpOffsetStored_reg_16_))
+ (portref CLR (instanceref bRpOffsetStored_reg_17_))
+ (portref CLR (instanceref bRpOffsetStored_reg_18_))
+ (portref CLR (instanceref bRpOffsetStored_reg_19_))
+ (portref CLR (instanceref bRpOffsetStored_reg_1_))
+ (portref CLR (instanceref bRpOffsetStored_reg_20_))
+ (portref CLR (instanceref bRpOffsetStored_reg_21_))
+ (portref CLR (instanceref bRpOffsetStored_reg_22_))
+ (portref CLR (instanceref bRpOffsetStored_reg_23_))
+ (portref CLR (instanceref bRpOffsetStored_reg_24_))
+ (portref CLR (instanceref bRpOffsetStored_reg_25_))
+ (portref CLR (instanceref bRpOffsetStored_reg_26_))
+ (portref CLR (instanceref bRpOffsetStored_reg_27_))
+ (portref CLR (instanceref bRpOffsetStored_reg_28_))
+ (portref CLR (instanceref bRpOffsetStored_reg_29_))
+ (portref CLR (instanceref bRpOffsetStored_reg_2_))
+ (portref CLR (instanceref bRpOffsetStored_reg_30_))
+ (portref CLR (instanceref bRpOffsetStored_reg_31_))
+ (portref CLR (instanceref bRpOffsetStored_reg_32_))
+ (portref CLR (instanceref bRpOffsetStored_reg_33_))
+ (portref CLR (instanceref bRpOffsetStored_reg_34_))
+ (portref CLR (instanceref bRpOffsetStored_reg_35_))
+ (portref CLR (instanceref bRpOffsetStored_reg_36_))
+ (portref CLR (instanceref bRpOffsetStored_reg_37_))
+ (portref CLR (instanceref bRpOffsetStored_reg_38_))
+ (portref CLR (instanceref bRpOffsetStored_reg_39_))
+ (portref CLR (instanceref bRpOffsetStored_reg_3_))
+ (portref CLR (instanceref bRpOffsetStored_reg_4_))
+ (portref CLR (instanceref bRpOffsetStored_reg_5_))
+ (portref CLR (instanceref bRpOffsetStored_reg_6_))
+ (portref CLR (instanceref bRpOffsetStored_reg_7_))
+ (portref CLR (instanceref bRpOffsetStored_reg_8_))
+ (portref CLR (instanceref bRpOffsetStored_reg_9_))
+ (portref CLR (instanceref bScratch_reg_0_))
+ (portref CLR (instanceref bScratch_reg_10_))
+ (portref CLR (instanceref bScratch_reg_11_))
+ (portref CLR (instanceref bScratch_reg_12_))
+ (portref CLR (instanceref bScratch_reg_13_))
+ (portref CLR (instanceref bScratch_reg_14_))
+ (portref CLR (instanceref bScratch_reg_15_))
+ (portref CLR (instanceref bScratch_reg_16_))
+ (portref CLR (instanceref bScratch_reg_17_))
+ (portref CLR (instanceref bScratch_reg_18_))
+ (portref CLR (instanceref bScratch_reg_19_))
+ (portref CLR (instanceref bScratch_reg_1_))
+ (portref CLR (instanceref bScratch_reg_20_))
+ (portref CLR (instanceref bScratch_reg_21_))
+ (portref CLR (instanceref bScratch_reg_22_))
+ (portref CLR (instanceref bScratch_reg_23_))
+ (portref CLR (instanceref bScratch_reg_24_))
+ (portref CLR (instanceref bScratch_reg_25_))
+ (portref CLR (instanceref bScratch_reg_26_))
+ (portref CLR (instanceref bScratch_reg_27_))
+ (portref CLR (instanceref bScratch_reg_28_))
+ (portref CLR (instanceref bScratch_reg_29_))
+ (portref CLR (instanceref bScratch_reg_2_))
+ (portref CLR (instanceref bScratch_reg_30_))
+ (portref CLR (instanceref bScratch_reg_31_))
+ (portref CLR (instanceref bScratch_reg_3_))
+ (portref CLR (instanceref bScratch_reg_4_))
+ (portref CLR (instanceref bScratch_reg_5_))
+ (portref CLR (instanceref bScratch_reg_6_))
+ (portref CLR (instanceref bScratch_reg_7_))
+ (portref CLR (instanceref bScratch_reg_8_))
+ (portref CLR (instanceref bScratch_reg_9_))
+ (portref CLR (instanceref bSpOffsetStored_reg_0_))
+ (portref CLR (instanceref bSpOffsetStored_reg_10_))
+ (portref CLR (instanceref bSpOffsetStored_reg_11_))
+ (portref CLR (instanceref bSpOffsetStored_reg_12_))
+ (portref CLR (instanceref bSpOffsetStored_reg_13_))
+ (portref CLR (instanceref bSpOffsetStored_reg_14_))
+ (portref CLR (instanceref bSpOffsetStored_reg_15_))
+ (portref CLR (instanceref bSpOffsetStored_reg_16_))
+ (portref CLR (instanceref bSpOffsetStored_reg_17_))
+ (portref CLR (instanceref bSpOffsetStored_reg_18_))
+ (portref CLR (instanceref bSpOffsetStored_reg_19_))
+ (portref CLR (instanceref bSpOffsetStored_reg_1_))
+ (portref CLR (instanceref bSpOffsetStored_reg_20_))
+ (portref CLR (instanceref bSpOffsetStored_reg_21_))
+ (portref CLR (instanceref bSpOffsetStored_reg_22_))
+ (portref CLR (instanceref bSpOffsetStored_reg_23_))
+ (portref CLR (instanceref bSpOffsetStored_reg_24_))
+ (portref CLR (instanceref bSpOffsetStored_reg_25_))
+ (portref CLR (instanceref bSpOffsetStored_reg_26_))
+ (portref CLR (instanceref bSpOffsetStored_reg_27_))
+ (portref CLR (instanceref bSpOffsetStored_reg_28_))
+ (portref CLR (instanceref bSpOffsetStored_reg_29_))
+ (portref CLR (instanceref bSpOffsetStored_reg_2_))
+ (portref CLR (instanceref bSpOffsetStored_reg_30_))
+ (portref CLR (instanceref bSpOffsetStored_reg_31_))
+ (portref CLR (instanceref bSpOffsetStored_reg_3_))
+ (portref CLR (instanceref bSpOffsetStored_reg_4_))
+ (portref CLR (instanceref bSpOffsetStored_reg_5_))
+ (portref CLR (instanceref bSpOffsetStored_reg_6_))
+ (portref CLR (instanceref bSpOffsetStored_reg_7_))
+ (portref CLR (instanceref bSpOffsetStored_reg_8_))
+ (portref CLR (instanceref bSpOffsetStored_reg_9_))
+ (portref CLR (instanceref rPulserEnableDelayVal_reg_1_))
+ (portref CLR (instanceref rPulserEnableDelayVal_reg_2_))
+ (portref CLR (instanceref rPulserEnableDelayVal_reg_3_))
+ (portref CLR (instanceref sPpsClkCrossDelayVal_reg_0_))
+ (portref CLR (instanceref sPpsClkCrossDelayVal_reg_1_))
+ (portref CLR (instanceref sPpsClkCrossDelayVal_reg_2_))
+ (portref CLR (instanceref sPpsClkCrossDelayVal_reg_3_))
+ (portref PRE (instanceref bPulserEnableDelayVal_reg_0_))
+ (portref PRE (instanceref bResetTdc_reg))
+ (portref PRE (instanceref rPulserEnableDelayVal_reg_0_))
+ (portref aBusReset)
+ )
+ )
+ (net aTdcReset (joined
+ (portref CLR (instanceref RptCntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref I3 (instanceref aTdcResetLcl_i_1))
+ (portref I3 (instanceref aTdcResetLcl_rep_i_1))
+ (portref I3 (instanceref aTdcResetLcl_rep_i_1__0))
+ (portref I3 (instanceref aTdcResetLcl_rep_i_1__1))
+ (portref I3 (instanceref aTdcResetLcl_rep_i_1__2))
+ (portref I3 (instanceref aTdcResetLcl_rep_i_1__3))
+ (portref Q (instanceref aTdcResetLcl_reg))
+ (portref aTdcReset)
+ )
+ )
+ (net aTdcResetLcl_i_1_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg))
+ (portref O (instanceref aTdcResetLcl_i_1))
+ )
+ )
+ (net aTdcResetLcl_i_2_n_0 (joined
+ (portref I1 (instanceref aTdcResetLcl_i_1))
+ (portref I1 (instanceref aTdcResetLcl_rep_i_1))
+ (portref I1 (instanceref aTdcResetLcl_rep_i_1__0))
+ (portref I1 (instanceref aTdcResetLcl_rep_i_1__1))
+ (portref I1 (instanceref aTdcResetLcl_rep_i_1__2))
+ (portref I1 (instanceref aTdcResetLcl_rep_i_1__3))
+ (portref O (instanceref aTdcResetLcl_i_2))
+ )
+ )
+ (net aTdcResetLcl_i_3_n_0 (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_7))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref I2 (instanceref aTdcResetLcl_i_1))
+ (portref I2 (instanceref aTdcResetLcl_rep_i_1))
+ (portref I2 (instanceref aTdcResetLcl_rep_i_1__0))
+ (portref I2 (instanceref aTdcResetLcl_rep_i_1__1))
+ (portref I2 (instanceref aTdcResetLcl_rep_i_1__2))
+ (portref I2 (instanceref aTdcResetLcl_rep_i_1__3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I2 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_6))
+ (portref I5 (instanceref bPushPpsDelayVal_i_1))
+ (portref I5 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref O (instanceref aTdcResetLcl_i_3))
+ )
+ )
+ (net aTdcResetLcl_i_4_n_0 (joined
+ (portref I1 (instanceref bRpOffsetStored_39__i_3))
+ (portref I2 (instanceref aTdcResetLcl_i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref O (instanceref aTdcResetLcl_i_4))
+ )
+ )
+ (net aTdcResetLcl_i_5_n_0 (joined
+ (portref I0 (instanceref bRpOffsetStored_39__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref I3 (instanceref aTdcResetLcl_i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref O (instanceref aTdcResetLcl_i_5))
+ )
+ )
+ (net aTdcResetLcl_reg_rep__0_n_0 (joined
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref Q (instanceref aTdcResetLcl_reg_rep__0))
+ )
+ )
+ (net aTdcResetLcl_reg_rep__1_n_0 (joined
+ (portref CLR (instanceref RpCntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref Q (instanceref aTdcResetLcl_reg_rep__1))
+ )
+ )
+ (net aTdcResetLcl_reg_rep__2_n_0 (joined
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref Q (instanceref aTdcResetLcl_reg_rep__2))
+ )
+ )
+ (net aTdcResetLcl_reg_rep__3_n_0 (joined
+ (portref CLR (instanceref SptCntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref Q (instanceref aTdcResetLcl_reg_rep__3))
+ )
+ )
+ (net aTdcResetLcl_reg_rep_n_0 (joined
+ (portref CLR (instanceref SpCntHs_BlkIn_iDlyPush_reg))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref CLR (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_oDataValid_reg))
+ (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
+ (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
+ (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
+ (portref Q (instanceref aTdcResetLcl_reg_rep))
+ )
+ )
+ (net aTdcResetLcl_rep_i_1__0_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg_rep__0))
+ (portref O (instanceref aTdcResetLcl_rep_i_1__0))
+ )
+ )
+ (net aTdcResetLcl_rep_i_1__1_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg_rep__1))
+ (portref O (instanceref aTdcResetLcl_rep_i_1__1))
+ )
+ )
+ (net aTdcResetLcl_rep_i_1__2_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg_rep__2))
+ (portref O (instanceref aTdcResetLcl_rep_i_1__2))
+ )
+ )
+ (net aTdcResetLcl_rep_i_1__3_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg_rep__3))
+ (portref O (instanceref aTdcResetLcl_rep_i_1__3))
+ )
+ )
+ (net aTdcResetLcl_rep_i_1_n_0 (joined
+ (portref D (instanceref aTdcResetLcl_reg_rep))
+ (portref O (instanceref aTdcResetLcl_rep_i_1))
+ )
+ )
+ (net bBusReset (joined
+ (portref I0 (instanceref bOffsetUpdated_i_1))
+ (portref I0 (instanceref bPulserEnableDelayVal_0__i_1))
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref I1 (instanceref bEnableTdc_i_1))
+ (portref I1 (instanceref bPpsClkCrossDelayVal_0__i_1))
+ (portref I1 (instanceref bPpsClkCrossDelayVal_1__i_1))
+ (portref I1 (instanceref bPpsClkCrossDelayVal_2__i_1))
+ (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_2))
+ (portref I1 (instanceref bPpsClkCrossEn_i_1))
+ (portref I1 (instanceref bPulserEnableDelayVal_1__i_1))
+ (portref I1 (instanceref bPulserEnableDelayVal_2__i_1))
+ (portref I1 (instanceref bPulserEnableDelayVal_3__i_2))
+ (portref I1 (instanceref bReRunEnable_i_1))
+ (portref I1 (instanceref bResetTdc_i_1))
+ (portref I1 (instanceref bRpOffsetStored_0__i_1))
+ (portref I1 (instanceref bRpOffsetStored_10__i_1))
+ (portref I1 (instanceref bRpOffsetStored_11__i_1))
+ (portref I1 (instanceref bRpOffsetStored_12__i_1))
+ (portref I1 (instanceref bRpOffsetStored_13__i_1))
+ (portref I1 (instanceref bRpOffsetStored_14__i_1))
+ (portref I1 (instanceref bRpOffsetStored_15__i_1))
+ (portref I1 (instanceref bRpOffsetStored_16__i_1))
+ (portref I1 (instanceref bRpOffsetStored_17__i_1))
+ (portref I1 (instanceref bRpOffsetStored_18__i_1))
+ (portref I1 (instanceref bRpOffsetStored_19__i_1))
+ (portref I1 (instanceref bRpOffsetStored_1__i_1))
+ (portref I1 (instanceref bRpOffsetStored_20__i_1))
+ (portref I1 (instanceref bRpOffsetStored_21__i_1))
+ (portref I1 (instanceref bRpOffsetStored_22__i_1))
+ (portref I1 (instanceref bRpOffsetStored_23__i_1))
+ (portref I1 (instanceref bRpOffsetStored_24__i_1))
+ (portref I1 (instanceref bRpOffsetStored_25__i_1))
+ (portref I1 (instanceref bRpOffsetStored_26__i_1))
+ (portref I1 (instanceref bRpOffsetStored_27__i_1))
+ (portref I1 (instanceref bRpOffsetStored_28__i_1))
+ (portref I1 (instanceref bRpOffsetStored_29__i_1))
+ (portref I1 (instanceref bRpOffsetStored_2__i_1))
+ (portref I1 (instanceref bRpOffsetStored_30__i_1))
+ (portref I1 (instanceref bRpOffsetStored_31__i_1))
+ (portref I1 (instanceref bRpOffsetStored_32__i_1))
+ (portref I1 (instanceref bRpOffsetStored_33__i_1))
+ (portref I1 (instanceref bRpOffsetStored_34__i_1))
+ (portref I1 (instanceref bRpOffsetStored_35__i_1))
+ (portref I1 (instanceref bRpOffsetStored_36__i_1))
+ (portref I1 (instanceref bRpOffsetStored_37__i_1))
+ (portref I1 (instanceref bRpOffsetStored_38__i_1))
+ (portref I1 (instanceref bRpOffsetStored_39__i_2))
+ (portref I1 (instanceref bRpOffsetStored_3__i_1))
+ (portref I1 (instanceref bRpOffsetStored_4__i_1))
+ (portref I1 (instanceref bRpOffsetStored_5__i_1))
+ (portref I1 (instanceref bRpOffsetStored_6__i_1))
+ (portref I1 (instanceref bRpOffsetStored_7__i_1))
+ (portref I1 (instanceref bRpOffsetStored_8__i_1))
+ (portref I1 (instanceref bRpOffsetStored_9__i_1))
+ (portref I1 (instanceref bScratch_0__i_1))
+ (portref I1 (instanceref bScratch_10__i_1))
+ (portref I1 (instanceref bScratch_11__i_1))
+ (portref I1 (instanceref bScratch_12__i_1))
+ (portref I1 (instanceref bScratch_13__i_1))
+ (portref I1 (instanceref bScratch_14__i_1))
+ (portref I1 (instanceref bScratch_15__i_1))
+ (portref I1 (instanceref bScratch_1__i_1))
+ (portref I1 (instanceref bScratch_20__i_1))
+ (portref I1 (instanceref bScratch_21__i_1))
+ (portref I1 (instanceref bScratch_22__i_1))
+ (portref I1 (instanceref bScratch_23__i_1))
+ (portref I1 (instanceref bScratch_24__i_1))
+ (portref I1 (instanceref bScratch_28__i_1))
+ (portref I1 (instanceref bScratch_29__i_1))
+ (portref I1 (instanceref bScratch_2__i_1))
+ (portref I1 (instanceref bScratch_30__i_1))
+ (portref I1 (instanceref bScratch_31__i_2))
+ (portref I1 (instanceref bScratch_31__i_5))
+ (portref I1 (instanceref bScratch_3__i_1))
+ (portref I1 (instanceref bScratch_4__i_1))
+ (portref I1 (instanceref bScratch_5__i_1))
+ (portref I1 (instanceref bScratch_6__i_1))
+ (portref I1 (instanceref bScratch_7__i_1))
+ (portref I1 (instanceref bScratch_8__i_1))
+ (portref I1 (instanceref bScratch_9__i_1))
+ (portref I1 (instanceref bSpOffsetStored_0__i_1))
+ (portref I1 (instanceref bSpOffsetStored_10__i_1))
+ (portref I1 (instanceref bSpOffsetStored_11__i_1))
+ (portref I1 (instanceref bSpOffsetStored_12__i_1))
+ (portref I1 (instanceref bSpOffsetStored_13__i_1))
+ (portref I1 (instanceref bSpOffsetStored_14__i_1))
+ (portref I1 (instanceref bSpOffsetStored_15__i_1))
+ (portref I1 (instanceref bSpOffsetStored_16__i_1))
+ (portref I1 (instanceref bSpOffsetStored_17__i_1))
+ (portref I1 (instanceref bSpOffsetStored_18__i_1))
+ (portref I1 (instanceref bSpOffsetStored_19__i_1))
+ (portref I1 (instanceref bSpOffsetStored_1__i_1))
+ (portref I1 (instanceref bSpOffsetStored_20__i_1))
+ (portref I1 (instanceref bSpOffsetStored_21__i_1))
+ (portref I1 (instanceref bSpOffsetStored_22__i_1))
+ (portref I1 (instanceref bSpOffsetStored_23__i_1))
+ (portref I1 (instanceref bSpOffsetStored_24__i_1))
+ (portref I1 (instanceref bSpOffsetStored_25__i_1))
+ (portref I1 (instanceref bSpOffsetStored_26__i_1))
+ (portref I1 (instanceref bSpOffsetStored_27__i_1))
+ (portref I1 (instanceref bSpOffsetStored_28__i_1))
+ (portref I1 (instanceref bSpOffsetStored_29__i_1))
+ (portref I1 (instanceref bSpOffsetStored_2__i_1))
+ (portref I1 (instanceref bSpOffsetStored_30__i_1))
+ (portref I1 (instanceref bSpOffsetStored_31__i_1))
+ (portref I1 (instanceref bSpOffsetStored_3__i_1))
+ (portref I1 (instanceref bSpOffsetStored_4__i_1))
+ (portref I1 (instanceref bSpOffsetStored_5__i_1))
+ (portref I1 (instanceref bSpOffsetStored_6__i_1))
+ (portref I1 (instanceref bSpOffsetStored_7__i_1))
+ (portref I1 (instanceref bSpOffsetStored_8__i_1))
+ (portref I1 (instanceref bSpOffsetStored_9__i_1))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_1))
+ (portref I2 (instanceref bPulserEnableDelayVal_3__i_1))
+ (portref I3 (instanceref bClearTdcRegs_i_1))
+ (portref I4 (instanceref aTdcResetLcl_i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref I4 (instanceref bRpOffsetStored_39__i_1))
+ (portref bBusReset)
+ )
+ )
+ (net bClearTdcRegs (joined
+ (portref D (instanceref bScratch_reg_0_))
+ (portref O (instanceref bScratch_0__i_1))
+ )
+ )
+ (net bClearTdcRegs_i_1_n_0 (joined
+ (portref D (instanceref bClearTdcRegs_reg))
+ (portref O (instanceref bClearTdcRegs_i_1))
+ )
+ )
+ (net bClearTdcRegs_i_2_n_0 (joined
+ (portref I2 (instanceref bClearTdcRegs_i_1))
+ (portref O (instanceref bClearTdcRegs_i_2))
+ )
+ )
+ (net bClearTdcRegs_i_3_n_0 (joined
+ (portref I0 (instanceref bClearTdcRegs_i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref O (instanceref bClearTdcRegs_i_3))
+ )
+ )
+ (net bClearTdcRegs_reg_n_0 (joined
+ (portref I0 (instanceref bEnableTdc_i_1))
+ (portref I0 (instanceref bPpsClkCrossEn_i_1))
+ (portref I0 (instanceref bReRunEnable_i_1))
+ (portref I0 (instanceref bResetTdc_i_1))
+ (portref I0 (instanceref bScratch_31__i_5))
+ (portref I1 (instanceref bPulserEnableDelayVal_0__i_1))
+ (portref I2 (instanceref aTdcResetLcl_i_2))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_0__i_1))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_1__i_1))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_2__i_1))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_2))
+ (portref I2 (instanceref bPulserEnableDelayVal_1__i_1))
+ (portref I2 (instanceref bPulserEnableDelayVal_2__i_1))
+ (portref I2 (instanceref bPulserEnableDelayVal_3__i_2))
+ (portref I2 (instanceref bScratch_0__i_1))
+ (portref I2 (instanceref bScratch_10__i_1))
+ (portref I2 (instanceref bScratch_11__i_1))
+ (portref I2 (instanceref bScratch_12__i_1))
+ (portref I2 (instanceref bScratch_13__i_1))
+ (portref I2 (instanceref bScratch_14__i_1))
+ (portref I2 (instanceref bScratch_15__i_1))
+ (portref I2 (instanceref bScratch_1__i_1))
+ (portref I2 (instanceref bScratch_20__i_1))
+ (portref I2 (instanceref bScratch_21__i_1))
+ (portref I2 (instanceref bScratch_22__i_1))
+ (portref I2 (instanceref bScratch_23__i_1))
+ (portref I2 (instanceref bScratch_24__i_1))
+ (portref I2 (instanceref bScratch_28__i_1))
+ (portref I2 (instanceref bScratch_29__i_1))
+ (portref I2 (instanceref bScratch_2__i_1))
+ (portref I2 (instanceref bScratch_30__i_1))
+ (portref I2 (instanceref bScratch_31__i_2))
+ (portref I2 (instanceref bScratch_3__i_1))
+ (portref I2 (instanceref bScratch_4__i_1))
+ (portref I2 (instanceref bScratch_5__i_1))
+ (portref I2 (instanceref bScratch_6__i_1))
+ (portref I2 (instanceref bScratch_7__i_1))
+ (portref I2 (instanceref bScratch_8__i_1))
+ (portref I2 (instanceref bScratch_9__i_1))
+ (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_1))
+ (portref I3 (instanceref bPulserEnableDelayVal_3__i_1))
+ (portref I3 (instanceref bPushPpsDelayVal_i_1))
+ (portref I3 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref I4 (instanceref bClearTdcRegs_i_1))
+ (portref Q (instanceref bClearTdcRegs_reg))
+ )
+ )
+ (net bEnableTdc (joined
+ (portref D (instanceref bScratch_reg_4_))
+ (portref O (instanceref bScratch_4__i_1))
+ )
+ )
+ (net bEnableTdc_i_1_n_0 (joined
+ (portref D (instanceref bEnableTdc_reg))
+ (portref O (instanceref bEnableTdc_i_1))
+ )
+ )
+ (net bEnableTdc_reg_n_0 (joined
+ (portref D (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref I3 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref I5 (instanceref bEnableTdc_i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref Q (instanceref bEnableTdc_reg))
+ )
+ )
+ (net bOffsetUpdated_i_1_n_0 (joined
+ (portref D (instanceref bOffsetUpdated_reg))
+ (portref O (instanceref bOffsetUpdated_i_1))
+ )
+ )
+ (net bOffsetUpdated_reg_n_0 (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref I4 (instanceref bOffsetUpdated_i_1))
+ (portref Q (instanceref bOffsetUpdated_reg))
+ )
+ )
+ (net bOffsetsValid (joined
+ (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
+ )
+ )
+ (net bOffsetsValidSyncReset (joined
+ (portref I3 (instanceref bOffsetUpdated_i_1))
+ (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_0_ "bOffsets[0]") (joined
+ (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_10_ "bOffsets[10]") (joined
+ (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_11_ "bOffsets[11]") (joined
+ (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_12_ "bOffsets[12]") (joined
+ (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_13_ "bOffsets[13]") (joined
+ (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_14_ "bOffsets[14]") (joined
+ (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_15_ "bOffsets[15]") (joined
+ (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_16_ "bOffsets[16]") (joined
+ (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_17_ "bOffsets[17]") (joined
+ (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_18_ "bOffsets[18]") (joined
+ (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_19_ "bOffsets[19]") (joined
+ (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_1_ "bOffsets[1]") (joined
+ (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_20_ "bOffsets[20]") (joined
+ (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_21_ "bOffsets[21]") (joined
+ (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_22_ "bOffsets[22]") (joined
+ (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_23_ "bOffsets[23]") (joined
+ (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_24_ "bOffsets[24]") (joined
+ (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_25_ "bOffsets[25]") (joined
+ (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_26_ "bOffsets[26]") (joined
+ (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_27_ "bOffsets[27]") (joined
+ (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_28_ "bOffsets[28]") (joined
+ (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_29_ "bOffsets[29]") (joined
+ (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_2_ "bOffsets[2]") (joined
+ (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_30_ "bOffsets[30]") (joined
+ (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_31_ "bOffsets[31]") (joined
+ (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_32_ "bOffsets[32]") (joined
+ (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_33_ "bOffsets[33]") (joined
+ (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_34_ "bOffsets[34]") (joined
+ (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_35_ "bOffsets[35]") (joined
+ (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_36_ "bOffsets[36]") (joined
+ (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_37_ "bOffsets[37]") (joined
+ (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_38_ "bOffsets[38]") (joined
+ (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_39_ "bOffsets[39]") (joined
+ (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_3_ "bOffsets[3]") (joined
+ (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_40_ "bOffsets[40]") (joined
+ (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_41_ "bOffsets[41]") (joined
+ (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_42_ "bOffsets[42]") (joined
+ (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_43_ "bOffsets[43]") (joined
+ (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_44_ "bOffsets[44]") (joined
+ (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_45_ "bOffsets[45]") (joined
+ (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_46_ "bOffsets[46]") (joined
+ (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_47_ "bOffsets[47]") (joined
+ (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_48_ "bOffsets[48]") (joined
+ (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_49_ "bOffsets[49]") (joined
+ (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_4_ "bOffsets[4]") (joined
+ (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_50_ "bOffsets[50]") (joined
+ (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_51_ "bOffsets[51]") (joined
+ (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_52_ "bOffsets[52]") (joined
+ (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_53_ "bOffsets[53]") (joined
+ (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_54_ "bOffsets[54]") (joined
+ (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_55_ "bOffsets[55]") (joined
+ (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_56_ "bOffsets[56]") (joined
+ (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_57_ "bOffsets[57]") (joined
+ (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_58_ "bOffsets[58]") (joined
+ (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_59_ "bOffsets[59]") (joined
+ (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_5_ "bOffsets[5]") (joined
+ (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_60_ "bOffsets[60]") (joined
+ (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_61_ "bOffsets[61]") (joined
+ (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_62_ "bOffsets[62]") (joined
+ (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_63_ "bOffsets[63]") (joined
+ (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_64_ "bOffsets[64]") (joined
+ (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_65_ "bOffsets[65]") (joined
+ (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_66_ "bOffsets[66]") (joined
+ (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_67_ "bOffsets[67]") (joined
+ (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_68_ "bOffsets[68]") (joined
+ (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_69_ "bOffsets[69]") (joined
+ (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_6_ "bOffsets[6]") (joined
+ (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_70_ "bOffsets[70]") (joined
+ (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_71_ "bOffsets[71]") (joined
+ (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_72_ "bOffsets[72]") (joined
+ (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_73_ "bOffsets[73]") (joined
+ (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_74_ "bOffsets[74]") (joined
+ (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_75_ "bOffsets[75]") (joined
+ (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_76_ "bOffsets[76]") (joined
+ (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_77_ "bOffsets[77]") (joined
+ (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_78_ "bOffsets[78]") (joined
+ (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_79_ "bOffsets[79]") (joined
+ (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_7_ "bOffsets[7]") (joined
+ (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_8_ "bOffsets[8]") (joined
+ (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bOffsets_9_ "bOffsets[9]") (joined
+ (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_1__i_1_n_0 "bPpsClkCrossDelayVal[1]_i_1_n_0") (joined
+ (portref D (instanceref bPpsClkCrossDelayVal_reg_1_))
+ (portref D (instanceref bScratch_reg_17_))
+ (portref O (instanceref bPpsClkCrossDelayVal_1__i_1))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_2__i_1_n_0 "bPpsClkCrossDelayVal[2]_i_1_n_0") (joined
+ (portref D (instanceref bPpsClkCrossDelayVal_reg_2_))
+ (portref D (instanceref bScratch_reg_18_))
+ (portref O (instanceref bPpsClkCrossDelayVal_2__i_1))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_3__i_1_n_0 "bPpsClkCrossDelayVal[3]_i_1_n_0") (joined
+ (portref CE (instanceref bPpsClkCrossDelayVal_reg_0_))
+ (portref CE (instanceref bPpsClkCrossDelayVal_reg_1_))
+ (portref CE (instanceref bPpsClkCrossDelayVal_reg_2_))
+ (portref CE (instanceref bPpsClkCrossDelayVal_reg_3_))
+ (portref O (instanceref bPpsClkCrossDelayVal_3__i_1))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_3__i_3_n_0 "bPpsClkCrossDelayVal[3]_i_3_n_0") (joined
+ (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_1))
+ (portref I1 (instanceref bPulserEnableDelayVal_3__i_1))
+ (portref I4 (instanceref bEnableTdc_i_1))
+ (portref I4 (instanceref bPpsClkCrossEn_i_1))
+ (portref I4 (instanceref bReRunEnable_i_1))
+ (portref I4 (instanceref bResetTdc_i_1))
+ (portref O (instanceref bPpsClkCrossDelayVal_3__i_3))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_reg_n_0__0_ "bPpsClkCrossDelayVal_reg_n_0_[0]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref I2 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref Q (instanceref bPpsClkCrossDelayVal_reg_0_))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_reg_n_0__1_ "bPpsClkCrossDelayVal_reg_n_0_[1]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref Q (instanceref bPpsClkCrossDelayVal_reg_1_))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_reg_n_0__2_ "bPpsClkCrossDelayVal_reg_n_0_[2]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref Q (instanceref bPpsClkCrossDelayVal_reg_2_))
+ )
+ )
+ (net (rename bPpsClkCrossDelayVal_reg_n_0__3_ "bPpsClkCrossDelayVal_reg_n_0_[3]") (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref Q (instanceref bPpsClkCrossDelayVal_reg_3_))
+ )
+ )
+ (net bPpsClkCrossEn (joined
+ (portref D (instanceref bScratch_reg_12_))
+ (portref O (instanceref bScratch_12__i_1))
+ )
+ )
+ (net bPpsClkCrossEn_i_1_n_0 (joined
+ (portref D (instanceref bPpsClkCrossEn_reg))
+ (portref O (instanceref bPpsClkCrossEn_i_1))
+ )
+ )
+ (net bPpsClkCrossEn_reg_n_0 (joined
+ (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref I1 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref I5 (instanceref bPpsClkCrossEn_i_1))
+ (portref Q (instanceref bPpsClkCrossEn_reg))
+ )
+ )
+ (net bPpsPulseCaptured (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_0__i_1_n_0 "bPulserEnableDelayVal[0]_i_1_n_0") (joined
+ (portref D (instanceref bPulserEnableDelayVal_reg_0_))
+ (portref O (instanceref bPulserEnableDelayVal_0__i_1))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_2__i_1_n_0 "bPulserEnableDelayVal[2]_i_1_n_0") (joined
+ (portref D (instanceref bPulserEnableDelayVal_reg_2_))
+ (portref D (instanceref bScratch_reg_26_))
+ (portref O (instanceref bPulserEnableDelayVal_2__i_1))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_3__i_1_n_0 "bPulserEnableDelayVal[3]_i_1_n_0") (joined
+ (portref CE (instanceref bPulserEnableDelayVal_reg_0_))
+ (portref CE (instanceref bPulserEnableDelayVal_reg_1_))
+ (portref CE (instanceref bPulserEnableDelayVal_reg_2_))
+ (portref CE (instanceref bPulserEnableDelayVal_reg_3_))
+ (portref O (instanceref bPulserEnableDelayVal_3__i_1))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_3__i_2_n_0 "bPulserEnableDelayVal[3]_i_2_n_0") (joined
+ (portref D (instanceref bPulserEnableDelayVal_reg_3_))
+ (portref D (instanceref bScratch_reg_27_))
+ (portref O (instanceref bPulserEnableDelayVal_3__i_2))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_reg_n_0__0_ "bPulserEnableDelayVal_reg_n_0_[0]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref Q (instanceref bPulserEnableDelayVal_reg_0_))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_reg_n_0__1_ "bPulserEnableDelayVal_reg_n_0_[1]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref Q (instanceref bPulserEnableDelayVal_reg_1_))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_reg_n_0__2_ "bPulserEnableDelayVal_reg_n_0_[2]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref Q (instanceref bPulserEnableDelayVal_reg_2_))
+ )
+ )
+ (net (rename bPulserEnableDelayVal_reg_n_0__3_ "bPulserEnableDelayVal_reg_n_0_[3]") (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref Q (instanceref bPulserEnableDelayVal_reg_3_))
+ )
+ )
+ (net bPushPpsDelayVal (joined
+ (portref D (instanceref bPushPpsDelayVal_reg))
+ (portref O (instanceref bPushPpsDelayVal_i_1))
+ )
+ )
+ (net bPushPpsDelayVal_i_2_n_0 (joined
+ (portref I0 (instanceref bPushPpsDelayVal_i_1))
+ (portref I0 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref I5 (instanceref aTdcResetLcl_i_2))
+ (portref O (instanceref bPushPpsDelayVal_i_2))
+ )
+ )
+ (net bPushPpsDelayVal_i_3_n_0 (joined
+ (portref I1 (instanceref bPushPpsDelayVal_i_1))
+ (portref I1 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref O (instanceref bPushPpsDelayVal_i_3))
+ )
+ )
+ (net bPushPpsDelayVal_i_4_n_0 (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref I4 (instanceref bPushPpsDelayVal_i_1))
+ (portref I4 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref O (instanceref bPushPpsDelayVal_i_4))
+ )
+ )
+ (net bPushPpsDelayVal_reg_n_0 (joined
+ (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__9))
+ (portref Q (instanceref bPushPpsDelayVal_reg))
+ )
+ )
+ (net bPushPulserEnableDelayVal (joined
+ (portref D (instanceref bPushPulserEnableDelayVal_reg))
+ (portref O (instanceref bPushPulserEnableDelayVal_i_1))
+ )
+ )
+ (net bPushPulserEnableDelayVal_reg_n_0 (joined
+ (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__10))
+ (portref Q (instanceref bPushPulserEnableDelayVal_reg))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_0_ "bRePulsePeriod1CtrlReadbackSyncReset[0]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_10_ "bRePulsePeriod1CtrlReadbackSyncReset[10]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_11_ "bRePulsePeriod1CtrlReadbackSyncReset[11]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_12_ "bRePulsePeriod1CtrlReadbackSyncReset[12]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_13_ "bRePulsePeriod1CtrlReadbackSyncReset[13]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_14_ "bRePulsePeriod1CtrlReadbackSyncReset[14]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_15_ "bRePulsePeriod1CtrlReadbackSyncReset[15]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_16_ "bRePulsePeriod1CtrlReadbackSyncReset[16]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_17_ "bRePulsePeriod1CtrlReadbackSyncReset[17]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_18_ "bRePulsePeriod1CtrlReadbackSyncReset[18]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_19_ "bRePulsePeriod1CtrlReadbackSyncReset[19]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_1_ "bRePulsePeriod1CtrlReadbackSyncReset[1]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_20_ "bRePulsePeriod1CtrlReadbackSyncReset[20]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_21_ "bRePulsePeriod1CtrlReadbackSyncReset[21]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_22_ "bRePulsePeriod1CtrlReadbackSyncReset[22]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_23_ "bRePulsePeriod1CtrlReadbackSyncReset[23]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_24_ "bRePulsePeriod1CtrlReadbackSyncReset[24]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_25_ "bRePulsePeriod1CtrlReadbackSyncReset[25]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_26_ "bRePulsePeriod1CtrlReadbackSyncReset[26]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_27_ "bRePulsePeriod1CtrlReadbackSyncReset[27]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_28_ "bRePulsePeriod1CtrlReadbackSyncReset[28]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_29_ "bRePulsePeriod1CtrlReadbackSyncReset[29]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_2_ "bRePulsePeriod1CtrlReadbackSyncReset[2]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_30_ "bRePulsePeriod1CtrlReadbackSyncReset[30]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_31_ "bRePulsePeriod1CtrlReadbackSyncReset[31]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_3_ "bRePulsePeriod1CtrlReadbackSyncReset[3]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_4_ "bRePulsePeriod1CtrlReadbackSyncReset[4]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_5_ "bRePulsePeriod1CtrlReadbackSyncReset[5]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_6_ "bRePulsePeriod1CtrlReadbackSyncReset[6]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_7_ "bRePulsePeriod1CtrlReadbackSyncReset[7]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_8_ "bRePulsePeriod1CtrlReadbackSyncReset[8]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadbackSyncReset_9_ "bRePulsePeriod1CtrlReadbackSyncReset[9]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_0_ "bRePulsePeriod1CtrlReadback[0]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_10_ "bRePulsePeriod1CtrlReadback[10]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_11_ "bRePulsePeriod1CtrlReadback[11]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_12_ "bRePulsePeriod1CtrlReadback[12]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_13_ "bRePulsePeriod1CtrlReadback[13]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_14_ "bRePulsePeriod1CtrlReadback[14]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_15_ "bRePulsePeriod1CtrlReadback[15]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_16_ "bRePulsePeriod1CtrlReadback[16]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_17_ "bRePulsePeriod1CtrlReadback[17]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_18_ "bRePulsePeriod1CtrlReadback[18]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_19_ "bRePulsePeriod1CtrlReadback[19]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_1_ "bRePulsePeriod1CtrlReadback[1]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_20_ "bRePulsePeriod1CtrlReadback[20]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_21_ "bRePulsePeriod1CtrlReadback[21]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_22_ "bRePulsePeriod1CtrlReadback[22]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_23_ "bRePulsePeriod1CtrlReadback[23]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_24_ "bRePulsePeriod1CtrlReadback[24]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_25_ "bRePulsePeriod1CtrlReadback[25]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_26_ "bRePulsePeriod1CtrlReadback[26]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_27_ "bRePulsePeriod1CtrlReadback[27]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_28_ "bRePulsePeriod1CtrlReadback[28]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_29_ "bRePulsePeriod1CtrlReadback[29]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_2_ "bRePulsePeriod1CtrlReadback[2]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_30_ "bRePulsePeriod1CtrlReadback[30]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_31_ "bRePulsePeriod1CtrlReadback[31]") (joined
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_3_ "bRePulsePeriod1CtrlReadback[3]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_4_ "bRePulsePeriod1CtrlReadback[4]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_5_ "bRePulsePeriod1CtrlReadback[5]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_6_ "bRePulsePeriod1CtrlReadback[6]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_7_ "bRePulsePeriod1CtrlReadback[7]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_8_ "bRePulsePeriod1CtrlReadback[8]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bRePulsePeriod1CtrlReadback_9_ "bRePulsePeriod1CtrlReadback[9]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_0_ "bRePulsePeriod2CtrlReadbackSyncReset[0]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_10_ "bRePulsePeriod2CtrlReadbackSyncReset[10]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_11_ "bRePulsePeriod2CtrlReadbackSyncReset[11]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_12_ "bRePulsePeriod2CtrlReadbackSyncReset[12]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_13_ "bRePulsePeriod2CtrlReadbackSyncReset[13]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_14_ "bRePulsePeriod2CtrlReadbackSyncReset[14]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_15_ "bRePulsePeriod2CtrlReadbackSyncReset[15]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_16_ "bRePulsePeriod2CtrlReadbackSyncReset[16]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_17_ "bRePulsePeriod2CtrlReadbackSyncReset[17]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_18_ "bRePulsePeriod2CtrlReadbackSyncReset[18]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_19_ "bRePulsePeriod2CtrlReadbackSyncReset[19]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_1_ "bRePulsePeriod2CtrlReadbackSyncReset[1]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_20_ "bRePulsePeriod2CtrlReadbackSyncReset[20]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_21_ "bRePulsePeriod2CtrlReadbackSyncReset[21]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_22_ "bRePulsePeriod2CtrlReadbackSyncReset[22]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_23_ "bRePulsePeriod2CtrlReadbackSyncReset[23]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_24_ "bRePulsePeriod2CtrlReadbackSyncReset[24]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_25_ "bRePulsePeriod2CtrlReadbackSyncReset[25]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_26_ "bRePulsePeriod2CtrlReadbackSyncReset[26]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_27_ "bRePulsePeriod2CtrlReadbackSyncReset[27]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_28_ "bRePulsePeriod2CtrlReadbackSyncReset[28]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_29_ "bRePulsePeriod2CtrlReadbackSyncReset[29]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_2_ "bRePulsePeriod2CtrlReadbackSyncReset[2]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__2__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_30_ "bRePulsePeriod2CtrlReadbackSyncReset[30]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_31_ "bRePulsePeriod2CtrlReadbackSyncReset[31]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_3_ "bRePulsePeriod2CtrlReadbackSyncReset[3]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__3__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_4_ "bRePulsePeriod2CtrlReadbackSyncReset[4]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_7))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_5_ "bRePulsePeriod2CtrlReadbackSyncReset[5]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_6_ "bRePulsePeriod2CtrlReadbackSyncReset[6]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__6__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_7_ "bRePulsePeriod2CtrlReadbackSyncReset[7]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_8_ "bRePulsePeriod2CtrlReadbackSyncReset[8]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_4))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadbackSyncReset_9_ "bRePulsePeriod2CtrlReadbackSyncReset[9]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__9__i_3))
+ (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_0_ "bRePulsePeriod2CtrlReadback[0]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_10_ "bRePulsePeriod2CtrlReadback[10]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_11_ "bRePulsePeriod2CtrlReadback[11]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_12_ "bRePulsePeriod2CtrlReadback[12]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_13_ "bRePulsePeriod2CtrlReadback[13]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_14_ "bRePulsePeriod2CtrlReadback[14]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_15_ "bRePulsePeriod2CtrlReadback[15]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_16_ "bRePulsePeriod2CtrlReadback[16]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_17_ "bRePulsePeriod2CtrlReadback[17]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_18_ "bRePulsePeriod2CtrlReadback[18]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_19_ "bRePulsePeriod2CtrlReadback[19]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_1_ "bRePulsePeriod2CtrlReadback[1]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_20_ "bRePulsePeriod2CtrlReadback[20]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_21_ "bRePulsePeriod2CtrlReadback[21]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_22_ "bRePulsePeriod2CtrlReadback[22]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_23_ "bRePulsePeriod2CtrlReadback[23]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_24_ "bRePulsePeriod2CtrlReadback[24]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_25_ "bRePulsePeriod2CtrlReadback[25]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_26_ "bRePulsePeriod2CtrlReadback[26]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_27_ "bRePulsePeriod2CtrlReadback[27]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_28_ "bRePulsePeriod2CtrlReadback[28]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_29_ "bRePulsePeriod2CtrlReadback[29]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_2_ "bRePulsePeriod2CtrlReadback[2]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_30_ "bRePulsePeriod2CtrlReadback[30]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_31_ "bRePulsePeriod2CtrlReadback[31]") (joined
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_3_ "bRePulsePeriod2CtrlReadback[3]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_4_ "bRePulsePeriod2CtrlReadback[4]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_5_ "bRePulsePeriod2CtrlReadback[5]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_6_ "bRePulsePeriod2CtrlReadback[6]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_7_ "bRePulsePeriod2CtrlReadback[7]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_8_ "bRePulsePeriod2CtrlReadback[8]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bRePulsePeriod2CtrlReadback_9_ "bRePulsePeriod2CtrlReadback[9]") (joined
+ (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net bReRunEnable (joined
+ (portref D (instanceref bScratch_reg_8_))
+ (portref O (instanceref bScratch_8__i_1))
+ )
+ )
+ (net bReRunEnable_i_1_n_0 (joined
+ (portref D (instanceref bReRunEnable_reg))
+ (portref O (instanceref bReRunEnable_i_1))
+ )
+ )
+ (net bReRunEnable_reg_n_0 (joined
+ (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__9__i_3))
+ (portref I5 (instanceref bReRunEnable_i_1))
+ (portref Q (instanceref bReRunEnable_reg))
+ )
+ )
+ (net (rename bRegPortInFlat_0_ "bRegPortInFlat[0]") (joined
+ (portref I0 (instanceref bPushPpsDelayVal_i_3))
+ (portref I1 (instanceref bClearTdcRegs_i_2))
+ (portref I1 (instanceref bScratch_31__i_3))
+ (portref I4 (instanceref aTdcResetLcl_i_2))
+ (portref (member bRegPortInFlat 49))
+ )
+ )
+ (net (rename bRegPortInFlat_10_ "bRegPortInFlat[10]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
+ (portref I0 (instanceref bScratch_8__i_1))
+ (portref I3 (instanceref bReRunEnable_i_1))
+ (portref (member bRegPortInFlat 39))
+ )
+ )
+ (net (rename bRegPortInFlat_11_ "bRegPortInFlat[11]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
+ (portref I0 (instanceref bScratch_9__i_1))
+ (portref I2 (instanceref bReRunEnable_i_1))
+ (portref (member bRegPortInFlat 38))
+ )
+ )
+ (net (rename bRegPortInFlat_12_ "bRegPortInFlat[12]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
+ (portref I0 (instanceref bScratch_10__i_1))
+ (portref (member bRegPortInFlat 37))
+ )
+ )
+ (net (rename bRegPortInFlat_13_ "bRegPortInFlat[13]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
+ (portref I0 (instanceref bScratch_11__i_1))
+ (portref (member bRegPortInFlat 36))
+ )
+ )
+ (net (rename bRegPortInFlat_14_ "bRegPortInFlat[14]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
+ (portref I0 (instanceref bScratch_12__i_1))
+ (portref I3 (instanceref bPpsClkCrossEn_i_1))
+ (portref (member bRegPortInFlat 35))
+ )
+ )
+ (net (rename bRegPortInFlat_15_ "bRegPortInFlat[15]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
+ (portref I0 (instanceref bScratch_13__i_1))
+ (portref I2 (instanceref bPpsClkCrossEn_i_1))
+ (portref (member bRegPortInFlat 34))
+ )
+ )
+ (net (rename bRegPortInFlat_16_ "bRegPortInFlat[16]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
+ (portref I0 (instanceref bScratch_14__i_1))
+ (portref (member bRegPortInFlat 33))
+ )
+ )
+ (net (rename bRegPortInFlat_17_ "bRegPortInFlat[17]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
+ (portref I0 (instanceref bScratch_15__i_1))
+ (portref (member bRegPortInFlat 32))
+ )
+ )
+ (net (rename bRegPortInFlat_18_ "bRegPortInFlat[18]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_0__i_1))
+ (portref (member bRegPortInFlat 31))
+ )
+ )
+ (net (rename bRegPortInFlat_19_ "bRegPortInFlat[19]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_1__i_1))
+ (portref (member bRegPortInFlat 30))
+ )
+ )
+ (net (rename bRegPortInFlat_1_ "bRegPortInFlat[1]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_7))
+ (portref I2 (instanceref bRpOffsetStored_39__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I3 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref (member bRegPortInFlat 48))
+ )
+ )
+ (net (rename bRegPortInFlat_20_ "bRegPortInFlat[20]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_2__i_1))
+ (portref (member bRegPortInFlat 29))
+ )
+ )
+ (net (rename bRegPortInFlat_21_ "bRegPortInFlat[21]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_2))
+ (portref (member bRegPortInFlat 28))
+ )
+ )
+ (net (rename bRegPortInFlat_22_ "bRegPortInFlat[22]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_1))
+ (portref I0 (instanceref bScratch_20__i_1))
+ (portref I2 (instanceref bPushPpsDelayVal_i_1))
+ (portref (member bRegPortInFlat 27))
+ )
+ )
+ (net (rename bRegPortInFlat_23_ "bRegPortInFlat[23]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
+ (portref I0 (instanceref bScratch_21__i_1))
+ (portref (member bRegPortInFlat 26))
+ )
+ )
+ (net (rename bRegPortInFlat_24_ "bRegPortInFlat[24]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
+ (portref I0 (instanceref bScratch_22__i_1))
+ (portref (member bRegPortInFlat 25))
+ )
+ )
+ (net (rename bRegPortInFlat_25_ "bRegPortInFlat[25]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
+ (portref I0 (instanceref bScratch_23__i_1))
+ (portref (member bRegPortInFlat 24))
+ )
+ )
+ (net (rename bRegPortInFlat_26_ "bRegPortInFlat[26]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
+ (portref I0 (instanceref bScratch_24__i_1))
+ (portref I2 (instanceref bPulserEnableDelayVal_0__i_1))
+ (portref (member bRegPortInFlat 23))
+ )
+ )
+ (net (rename bRegPortInFlat_27_ "bRegPortInFlat[27]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
+ (portref I0 (instanceref bPulserEnableDelayVal_1__i_1))
+ (portref (member bRegPortInFlat 22))
+ )
+ )
+ (net (rename bRegPortInFlat_28_ "bRegPortInFlat[28]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
+ (portref I0 (instanceref bPulserEnableDelayVal_2__i_1))
+ (portref (member bRegPortInFlat 21))
+ )
+ )
+ (net (rename bRegPortInFlat_29_ "bRegPortInFlat[29]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
+ (portref I0 (instanceref bPulserEnableDelayVal_3__i_2))
+ (portref (member bRegPortInFlat 20))
+ )
+ )
+ (net (rename bRegPortInFlat_2_ "bRegPortInFlat[2]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
+ (portref I0 (instanceref bClearTdcRegs_i_1))
+ (portref I0 (instanceref bScratch_0__i_1))
+ (portref I3 (instanceref bResetTdc_i_1))
+ (portref (member bRegPortInFlat 47))
+ )
+ )
+ (net (rename bRegPortInFlat_30_ "bRegPortInFlat[30]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
+ (portref I0 (instanceref bPulserEnableDelayVal_3__i_1))
+ (portref I0 (instanceref bScratch_28__i_1))
+ (portref I2 (instanceref bPushPulserEnableDelayVal_i_1))
+ (portref (member bRegPortInFlat 19))
+ )
+ )
+ (net (rename bRegPortInFlat_31_ "bRegPortInFlat[31]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
+ (portref I0 (instanceref bScratch_29__i_1))
+ (portref (member bRegPortInFlat 18))
+ )
+ )
+ (net (rename bRegPortInFlat_32_ "bRegPortInFlat[32]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
+ (portref I0 (instanceref bScratch_30__i_1))
+ (portref (member bRegPortInFlat 17))
+ )
+ )
+ (net (rename bRegPortInFlat_33_ "bRegPortInFlat[33]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
+ (portref I0 (instanceref bScratch_31__i_2))
+ (portref (member bRegPortInFlat 16))
+ )
+ )
+ (net (rename bRegPortInFlat_34_ "bRegPortInFlat[34]") (joined
+ (portref I0 (instanceref aTdcResetLcl_i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_10))
+ (portref I3 (instanceref bRpOffsetStored_39__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref (member bRegPortInFlat 15))
+ )
+ )
+ (net (rename bRegPortInFlat_35_ "bRegPortInFlat[35]") (joined
+ (portref I1 (instanceref aTdcResetLcl_i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_10))
+ (portref I2 (instanceref bRpOffsetStored_39__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_6))
+ (portref (member bRegPortInFlat 14))
+ )
+ )
+ (net (rename bRegPortInFlat_36_ "bRegPortInFlat[36]") (joined
+ (portref I0 (instanceref bPushPpsDelayVal_i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_12))
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref I0 (instanceref bScratch_31__i_4))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1__0))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1__4))
+ (portref I1 (instanceref Gen0_FDCEx_i_1))
+ (portref I1 (instanceref Gen0_FDCEx_i_1__1))
+ (portref I1 (instanceref Gen0_FDCEx_i_1__2))
+ (portref I1 (instanceref Gen0_FDCEx_i_1__3))
+ (portref I1 (instanceref aTdcResetLcl_i_2))
+ (portref I1 (instanceref bClearTdcRegs_i_3))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1__1))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1__2))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1__3))
+ (portref I2 (instanceref Gen0_FDCEx_i_1__0))
+ (portref I2 (instanceref Gen0_FDCEx_i_1__4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I4 (instanceref bRpOffsetStored_39__i_4))
+ (portref I5 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref (member bRegPortInFlat 13))
+ )
+ )
+ (net (rename bRegPortInFlat_37_ "bRegPortInFlat[37]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I0 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_9))
+ (portref I1 (instanceref Gen0_FDCEx_i_3))
+ (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref I1 (instanceref bPushPpsDelayVal_i_2))
+ (portref I1 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref I1 (instanceref bRpOffsetStored_39__i_4))
+ (portref I2 (instanceref Gen0_FDCEx_i_3__0))
+ (portref I2 (instanceref Gen0_FDCEx_i_3__1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref I4 (instanceref bClearTdcRegs_i_2))
+ (portref I4 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref I4 (instanceref bScratch_31__i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref (member bRegPortInFlat 12))
+ )
+ )
+ (net (rename bRegPortInFlat_38_ "bRegPortInFlat[38]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_7))
+ (portref I0 (instanceref bScratch_31__i_3))
+ (portref I1 (instanceref bPushPpsDelayVal_i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I1 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_6))
+ (portref I1 (instanceref bRpOffsetStored_39__i_1))
+ (portref I2 (instanceref bClearTdcRegs_i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref I3 (instanceref aTdcResetLcl_i_2))
+ (portref I3 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref (member bRegPortInFlat 11))
+ )
+ )
+ (net (rename bRegPortInFlat_39_ "bRegPortInFlat[39]") (joined
+ (portref I0 (instanceref aTdcResetLcl_i_2))
+ (portref I0 (instanceref bClearTdcRegs_i_3))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1__1))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1__2))
+ (portref I1 (instanceref BlkIn_iDlyPush_i_1__3))
+ (portref I1 (instanceref Gen0_FDCEx_i_1__0))
+ (portref I1 (instanceref Gen0_FDCEx_i_1__4))
+ (portref I1 (instanceref bPushPpsDelayVal_i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_12))
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref I1 (instanceref bScratch_31__i_4))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1__0))
+ (portref I2 (instanceref BlkIn_iDlyPush_i_1__4))
+ (portref I2 (instanceref Gen0_FDCEx_i_1))
+ (portref I2 (instanceref Gen0_FDCEx_i_1__1))
+ (portref I2 (instanceref Gen0_FDCEx_i_1__2))
+ (portref I2 (instanceref Gen0_FDCEx_i_1__3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref I3 (instanceref bRpOffsetStored_39__i_4))
+ (portref I4 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_6))
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref I4 (instanceref bRegPortOutLcl_Data__7__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref (member bRegPortInFlat 10))
+ )
+ )
+ (net (rename bRegPortInFlat_3_ "bRegPortInFlat[3]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
+ (portref I0 (instanceref bScratch_1__i_1))
+ (portref I2 (instanceref bResetTdc_i_1))
+ (portref (member bRegPortInFlat 46))
+ )
+ )
+ (net (rename bRegPortInFlat_40_ "bRegPortInFlat[40]") (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_3__0))
+ (portref I1 (instanceref Gen0_FDCEx_i_3__1))
+ (portref I1 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref I2 (instanceref Gen0_FDCEx_i_3))
+ (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref I2 (instanceref bPushPpsDelayVal_i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref I2 (instanceref bRpOffsetStored_39__i_4))
+ (portref I3 (instanceref bClearTdcRegs_i_2))
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_7))
+ (portref I3 (instanceref bScratch_31__i_1))
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I4 (instanceref bRegPortOutLcl_Data__25__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref (member bRegPortInFlat 9))
+ )
+ )
+ (net (rename bRegPortInFlat_41_ "bRegPortInFlat[41]") (joined
+ (portref I3 (instanceref aTdcResetLcl_i_4))
+ (portref (member bRegPortInFlat 8))
+ )
+ )
+ (net (rename bRegPortInFlat_42_ "bRegPortInFlat[42]") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_3))
+ (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref I0 (instanceref bPushPpsDelayVal_i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref I0 (instanceref bRpOffsetStored_39__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_9))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref I1 (instanceref bScratch_31__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref I3 (instanceref Gen0_FDCEx_i_3__0))
+ (portref I3 (instanceref Gen0_FDCEx_i_3__1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref I4 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref I5 (instanceref bClearTdcRegs_i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__0__i_7))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref (member bRegPortInFlat 7))
+ )
+ )
+ (net (rename bRegPortInFlat_43_ "bRegPortInFlat[43]") (joined
+ (portref I2 (instanceref aTdcResetLcl_i_4))
+ (portref (member bRegPortInFlat 6))
+ )
+ )
+ (net (rename bRegPortInFlat_44_ "bRegPortInFlat[44]") (joined
+ (portref I1 (instanceref aTdcResetLcl_i_4))
+ (portref (member bRegPortInFlat 5))
+ )
+ )
+ (net (rename bRegPortInFlat_45_ "bRegPortInFlat[45]") (joined
+ (portref I0 (instanceref aTdcResetLcl_i_4))
+ (portref (member bRegPortInFlat 4))
+ )
+ )
+ (net (rename bRegPortInFlat_46_ "bRegPortInFlat[46]") (joined
+ (portref I3 (instanceref aTdcResetLcl_i_5))
+ (portref (member bRegPortInFlat 3))
+ )
+ )
+ (net (rename bRegPortInFlat_47_ "bRegPortInFlat[47]") (joined
+ (portref I2 (instanceref aTdcResetLcl_i_5))
+ (portref (member bRegPortInFlat 2))
+ )
+ )
+ (net (rename bRegPortInFlat_48_ "bRegPortInFlat[48]") (joined
+ (portref I1 (instanceref aTdcResetLcl_i_5))
+ (portref (member bRegPortInFlat 1))
+ )
+ )
+ (net (rename bRegPortInFlat_49_ "bRegPortInFlat[49]") (joined
+ (portref I0 (instanceref aTdcResetLcl_i_5))
+ (portref (member bRegPortInFlat 0))
+ )
+ )
+ (net (rename bRegPortInFlat_4_ "bRegPortInFlat[4]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
+ (portref I0 (instanceref bScratch_2__i_1))
+ (portref (member bRegPortInFlat 45))
+ )
+ )
+ (net (rename bRegPortInFlat_5_ "bRegPortInFlat[5]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
+ (portref I0 (instanceref bScratch_3__i_1))
+ (portref (member bRegPortInFlat 44))
+ )
+ )
+ (net (rename bRegPortInFlat_6_ "bRegPortInFlat[6]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
+ (portref I0 (instanceref aTdcResetLcl_i_1))
+ (portref I0 (instanceref aTdcResetLcl_rep_i_1))
+ (portref I0 (instanceref aTdcResetLcl_rep_i_1__0))
+ (portref I0 (instanceref aTdcResetLcl_rep_i_1__1))
+ (portref I0 (instanceref aTdcResetLcl_rep_i_1__2))
+ (portref I0 (instanceref aTdcResetLcl_rep_i_1__3))
+ (portref I0 (instanceref bScratch_4__i_1))
+ (portref I3 (instanceref bEnableTdc_i_1))
+ (portref (member bRegPortInFlat 43))
+ )
+ )
+ (net (rename bRegPortInFlat_7_ "bRegPortInFlat[7]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
+ (portref I0 (instanceref bScratch_5__i_1))
+ (portref I2 (instanceref bEnableTdc_i_1))
+ (portref (member bRegPortInFlat 42))
+ )
+ )
+ (net (rename bRegPortInFlat_8_ "bRegPortInFlat[8]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
+ (portref I0 (instanceref bScratch_6__i_1))
+ (portref (member bRegPortInFlat 41))
+ )
+ )
+ (net (rename bRegPortInFlat_9_ "bRegPortInFlat[9]") (joined
+ (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
+ (portref I0 (instanceref bScratch_7__i_1))
+ (portref (member bRegPortInFlat 40))
+ )
+ )
+ (net (rename bRegPortOutFlat_10_ "bRegPortOutFlat[10]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__8_))
+ (portref (member bRegPortOutFlat 23))
+ )
+ )
+ (net (rename bRegPortOutFlat_11_ "bRegPortOutFlat[11]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__9_))
+ (portref (member bRegPortOutFlat 22))
+ )
+ )
+ (net (rename bRegPortOutFlat_12_ "bRegPortOutFlat[12]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__10_))
+ (portref (member bRegPortOutFlat 21))
+ )
+ )
+ (net (rename bRegPortOutFlat_13_ "bRegPortOutFlat[13]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__11_))
+ (portref (member bRegPortOutFlat 20))
+ )
+ )
+ (net (rename bRegPortOutFlat_14_ "bRegPortOutFlat[14]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__12_))
+ (portref (member bRegPortOutFlat 19))
+ )
+ )
+ (net (rename bRegPortOutFlat_15_ "bRegPortOutFlat[15]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__13_))
+ (portref (member bRegPortOutFlat 18))
+ )
+ )
+ (net (rename bRegPortOutFlat_16_ "bRegPortOutFlat[16]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__14_))
+ (portref (member bRegPortOutFlat 17))
+ )
+ )
+ (net (rename bRegPortOutFlat_17_ "bRegPortOutFlat[17]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__15_))
+ (portref (member bRegPortOutFlat 16))
+ )
+ )
+ (net (rename bRegPortOutFlat_18_ "bRegPortOutFlat[18]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__16_))
+ (portref (member bRegPortOutFlat 15))
+ )
+ )
+ (net (rename bRegPortOutFlat_19_ "bRegPortOutFlat[19]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__17_))
+ (portref (member bRegPortOutFlat 14))
+ )
+ )
+ (net (rename bRegPortOutFlat_20_ "bRegPortOutFlat[20]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__18_))
+ (portref (member bRegPortOutFlat 13))
+ )
+ )
+ (net (rename bRegPortOutFlat_21_ "bRegPortOutFlat[21]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__19_))
+ (portref (member bRegPortOutFlat 12))
+ )
+ )
+ (net (rename bRegPortOutFlat_22_ "bRegPortOutFlat[22]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__20_))
+ (portref (member bRegPortOutFlat 11))
+ )
+ )
+ (net (rename bRegPortOutFlat_23_ "bRegPortOutFlat[23]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__21_))
+ (portref (member bRegPortOutFlat 10))
+ )
+ )
+ (net (rename bRegPortOutFlat_24_ "bRegPortOutFlat[24]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__22_))
+ (portref (member bRegPortOutFlat 9))
+ )
+ )
+ (net (rename bRegPortOutFlat_25_ "bRegPortOutFlat[25]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__23_))
+ (portref (member bRegPortOutFlat 8))
+ )
+ )
+ (net (rename bRegPortOutFlat_26_ "bRegPortOutFlat[26]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__24_))
+ (portref (member bRegPortOutFlat 7))
+ )
+ )
+ (net (rename bRegPortOutFlat_27_ "bRegPortOutFlat[27]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__25_))
+ (portref (member bRegPortOutFlat 6))
+ )
+ )
+ (net (rename bRegPortOutFlat_28_ "bRegPortOutFlat[28]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__26_))
+ (portref (member bRegPortOutFlat 5))
+ )
+ )
+ (net (rename bRegPortOutFlat_29_ "bRegPortOutFlat[29]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__27_))
+ (portref (member bRegPortOutFlat 4))
+ )
+ )
+ (net (rename bRegPortOutFlat_2_ "bRegPortOutFlat[2]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__0_))
+ (portref (member bRegPortOutFlat 31))
+ )
+ )
+ (net (rename bRegPortOutFlat_30_ "bRegPortOutFlat[30]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__28_))
+ (portref (member bRegPortOutFlat 3))
+ )
+ )
+ (net (rename bRegPortOutFlat_31_ "bRegPortOutFlat[31]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__29_))
+ (portref (member bRegPortOutFlat 2))
+ )
+ )
+ (net (rename bRegPortOutFlat_32_ "bRegPortOutFlat[32]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__30_))
+ (portref (member bRegPortOutFlat 1))
+ )
+ )
+ (net (rename bRegPortOutFlat_33_ "bRegPortOutFlat[33]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__31_))
+ (portref (member bRegPortOutFlat 0))
+ )
+ )
+ (net (rename bRegPortOutFlat_3_ "bRegPortOutFlat[3]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__1_))
+ (portref (member bRegPortOutFlat 30))
+ )
+ )
+ (net (rename bRegPortOutFlat_4_ "bRegPortOutFlat[4]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__2_))
+ (portref (member bRegPortOutFlat 29))
+ )
+ )
+ (net (rename bRegPortOutFlat_5_ "bRegPortOutFlat[5]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__3_))
+ (portref (member bRegPortOutFlat 28))
+ )
+ )
+ (net (rename bRegPortOutFlat_6_ "bRegPortOutFlat[6]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__4_))
+ (portref (member bRegPortOutFlat 27))
+ )
+ )
+ (net (rename bRegPortOutFlat_7_ "bRegPortOutFlat[7]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__5_))
+ (portref (member bRegPortOutFlat 26))
+ )
+ )
+ (net (rename bRegPortOutFlat_8_ "bRegPortOutFlat[8]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__6_))
+ (portref (member bRegPortOutFlat 25))
+ )
+ )
+ (net (rename bRegPortOutFlat_9_ "bRegPortOutFlat[9]") (joined
+ (portref Q (instanceref bRegPortOutLcl_reg_Data__7_))
+ (portref (member bRegPortOutFlat 24))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_1_n_0 "bRegPortOutLcl[Data][0]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__0_))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_2_n_0 "bRegPortOutLcl[Data][0]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_3_n_0 "bRegPortOutLcl[Data][0]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_4_n_0 "bRegPortOutLcl[Data][0]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_5_n_0 "bRegPortOutLcl[Data][0]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_6_n_0 "bRegPortOutLcl[Data][0]_i_6_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__0__i_7_n_0 "bRegPortOutLcl[Data][0]_i_7_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref O (instanceref bRegPortOutLcl_Data__0__i_7))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__10__i_1_n_0 "bRegPortOutLcl[Data][10]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__10_))
+ (portref O (instanceref bRegPortOutLcl_Data__10__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__10__i_2_n_0 "bRegPortOutLcl[Data][10]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__10__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__10__i_3_n_0 "bRegPortOutLcl[Data][10]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__10__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__10__i_4_n_0 "bRegPortOutLcl[Data][10]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__10__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__11__i_1_n_0 "bRegPortOutLcl[Data][11]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__11_))
+ (portref O (instanceref bRegPortOutLcl_Data__11__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__11__i_2_n_0 "bRegPortOutLcl[Data][11]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__11__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__11__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__11__i_3_n_0 "bRegPortOutLcl[Data][11]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__11__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__11__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__11__i_4_n_0 "bRegPortOutLcl[Data][11]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__11__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__11__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__12__i_1_n_0 "bRegPortOutLcl[Data][12]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__12_))
+ (portref O (instanceref bRegPortOutLcl_Data__12__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__12__i_2_n_0 "bRegPortOutLcl[Data][12]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__12__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__12__i_3_n_0 "bRegPortOutLcl[Data][12]_i_3_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__12__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__12__i_4_n_0 "bRegPortOutLcl[Data][12]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__12__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__13__i_1_n_0 "bRegPortOutLcl[Data][13]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__13_))
+ (portref O (instanceref bRegPortOutLcl_Data__13__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__13__i_2_n_0 "bRegPortOutLcl[Data][13]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__13__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__13__i_3_n_0 "bRegPortOutLcl[Data][13]_i_3_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__13__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__13__i_4_n_0 "bRegPortOutLcl[Data][13]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__13__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__14__i_1_n_0 "bRegPortOutLcl[Data][14]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__14_))
+ (portref O (instanceref bRegPortOutLcl_Data__14__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__14__i_2_n_0 "bRegPortOutLcl[Data][14]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__14__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__14__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__14__i_3_n_0 "bRegPortOutLcl[Data][14]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__14__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__14__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__14__i_4_n_0 "bRegPortOutLcl[Data][14]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__14__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__14__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__15__i_1_n_0 "bRegPortOutLcl[Data][15]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__15_))
+ (portref O (instanceref bRegPortOutLcl_Data__15__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__15__i_2_n_0 "bRegPortOutLcl[Data][15]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__15__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__15__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__15__i_3_n_0 "bRegPortOutLcl[Data][15]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__15__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__15__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__15__i_4_n_0 "bRegPortOutLcl[Data][15]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__15__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__15__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__16__i_1_n_0 "bRegPortOutLcl[Data][16]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__16_))
+ (portref O (instanceref bRegPortOutLcl_Data__16__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__16__i_2_n_0 "bRegPortOutLcl[Data][16]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__16__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__16__i_3_n_0 "bRegPortOutLcl[Data][16]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__16__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__16__i_4_n_0 "bRegPortOutLcl[Data][16]_i_4_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__16__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__16__i_5_n_0 "bRegPortOutLcl[Data][16]_i_5_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__16__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__17__i_1_n_0 "bRegPortOutLcl[Data][17]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__17_))
+ (portref O (instanceref bRegPortOutLcl_Data__17__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__17__i_2_n_0 "bRegPortOutLcl[Data][17]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__17__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__17__i_3_n_0 "bRegPortOutLcl[Data][17]_i_3_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__17__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__17__i_4_n_0 "bRegPortOutLcl[Data][17]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__17__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__18__i_1_n_0 "bRegPortOutLcl[Data][18]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__18_))
+ (portref O (instanceref bRegPortOutLcl_Data__18__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__18__i_2_n_0 "bRegPortOutLcl[Data][18]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__18__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__18__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__18__i_3_n_0 "bRegPortOutLcl[Data][18]_i_3_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__18__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__18__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__18__i_4_n_0 "bRegPortOutLcl[Data][18]_i_4_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__18__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__18__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__19__i_1_n_0 "bRegPortOutLcl[Data][19]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__19_))
+ (portref O (instanceref bRegPortOutLcl_Data__19__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__19__i_2_n_0 "bRegPortOutLcl[Data][19]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__19__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__19__i_3_n_0 "bRegPortOutLcl[Data][19]_i_3_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__19__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__19__i_4_n_0 "bRegPortOutLcl[Data][19]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__19__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__1__i_1_n_0 "bRegPortOutLcl[Data][1]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__1_))
+ (portref O (instanceref bRegPortOutLcl_Data__1__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__1__i_2_n_0 "bRegPortOutLcl[Data][1]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__1__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__1__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__1__i_3_n_0 "bRegPortOutLcl[Data][1]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__1__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__1__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__1__i_4_n_0 "bRegPortOutLcl[Data][1]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__1__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__1__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__1__i_5_n_0 "bRegPortOutLcl[Data][1]_i_5_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__1__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__1__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__20__i_1_n_0 "bRegPortOutLcl[Data][20]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__20_))
+ (portref O (instanceref bRegPortOutLcl_Data__20__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__20__i_2_n_0 "bRegPortOutLcl[Data][20]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__20__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__20__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__20__i_3_n_0 "bRegPortOutLcl[Data][20]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__20__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__20__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__20__i_4_n_0 "bRegPortOutLcl[Data][20]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__20__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__20__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__21__i_1_n_0 "bRegPortOutLcl[Data][21]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__21_))
+ (portref O (instanceref bRegPortOutLcl_Data__21__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__21__i_2_n_0 "bRegPortOutLcl[Data][21]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__21__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__21__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__21__i_3_n_0 "bRegPortOutLcl[Data][21]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__21__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__21__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__21__i_4_n_0 "bRegPortOutLcl[Data][21]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__21__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__21__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__22__i_1_n_0 "bRegPortOutLcl[Data][22]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__22_))
+ (portref O (instanceref bRegPortOutLcl_Data__22__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__22__i_2_n_0 "bRegPortOutLcl[Data][22]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__22__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__22__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__22__i_3_n_0 "bRegPortOutLcl[Data][22]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__22__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__22__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__22__i_4_n_0 "bRegPortOutLcl[Data][22]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__22__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__22__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__23__i_1_n_0 "bRegPortOutLcl[Data][23]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__23_))
+ (portref O (instanceref bRegPortOutLcl_Data__23__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__23__i_2_n_0 "bRegPortOutLcl[Data][23]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__23__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__23__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__23__i_3_n_0 "bRegPortOutLcl[Data][23]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__23__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__23__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__23__i_4_n_0 "bRegPortOutLcl[Data][23]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__23__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__23__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__24__i_1_n_0 "bRegPortOutLcl[Data][24]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__24_))
+ (portref O (instanceref bRegPortOutLcl_Data__24__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__24__i_2_n_0 "bRegPortOutLcl[Data][24]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__24__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__24__i_3_n_0 "bRegPortOutLcl[Data][24]_i_3_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__24__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__24__i_4_n_0 "bRegPortOutLcl[Data][24]_i_4_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__24__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__25__i_1_n_0 "bRegPortOutLcl[Data][25]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__25_))
+ (portref O (instanceref bRegPortOutLcl_Data__25__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__25__i_2_n_0 "bRegPortOutLcl[Data][25]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__25__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__25__i_3_n_0 "bRegPortOutLcl[Data][25]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__9__i_3))
+ (portref I3 (instanceref bRegPortOutLcl_Data__13__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__16__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__19__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__24__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__25__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__25__i_4_n_0 "bRegPortOutLcl[Data][25]_i_4_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__25__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__25__i_5_n_0 "bRegPortOutLcl[Data][25]_i_5_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__25__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__25__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__26__i_1_n_0 "bRegPortOutLcl[Data][26]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__26_))
+ (portref O (instanceref bRegPortOutLcl_Data__26__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__26__i_2_n_0 "bRegPortOutLcl[Data][26]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__26__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__26__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__26__i_3_n_0 "bRegPortOutLcl[Data][26]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__18__i_1))
+ (portref I1 (instanceref bRegPortOutLcl_Data__26__i_1))
+ (portref I1 (instanceref bRegPortOutLcl_Data__3__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_6))
+ (portref I4 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref O (instanceref bRegPortOutLcl_Data__26__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__26__i_4_n_0 "bRegPortOutLcl[Data][26]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__26__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__26__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__26__i_5_n_0 "bRegPortOutLcl[Data][26]_i_5_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__26__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__26__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_1_n_0 "bRegPortOutLcl[Data][27]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__27_))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_2_n_0 "bRegPortOutLcl[Data][27]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_3_n_0 "bRegPortOutLcl[Data][27]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_7))
+ (portref I2 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref I4 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_4_n_0 "bRegPortOutLcl[Data][27]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__2__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_5_n_0 "bRegPortOutLcl[Data][27]_i_5_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_6_n_0 "bRegPortOutLcl[Data][27]_i_6_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__27__i_7_n_0 "bRegPortOutLcl[Data][27]_i_7_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__27__i_7))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_10_n_0 "bRegPortOutLcl[Data][28]_i_10_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_8))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_10))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_1_n_0 "bRegPortOutLcl[Data][28]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__28_))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_2_n_0 "bRegPortOutLcl[Data][28]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_3_n_0 "bRegPortOutLcl[Data][28]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_4_n_0 "bRegPortOutLcl[Data][28]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref I4 (instanceref bRegPortOutLcl_Data__9__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_5_n_0 "bRegPortOutLcl[Data][28]_i_5_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__9__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__2__i_4))
+ (portref I1 (instanceref bRegPortOutLcl_Data__6__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__3__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_7))
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__10__i_1))
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_6_n_0 "bRegPortOutLcl[Data][28]_i_6_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_7_n_0 "bRegPortOutLcl[Data][28]_i_7_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__16__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_5))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_7))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_8_n_0 "bRegPortOutLcl[Data][28]_i_8_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_4))
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_4))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_8))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__28__i_9_n_0 "bRegPortOutLcl[Data][28]_i_9_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_11))
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_7))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_7))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref O (instanceref bRegPortOutLcl_Data__28__i_9))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__29__i_1_n_0 "bRegPortOutLcl[Data][29]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__29_))
+ (portref O (instanceref bRegPortOutLcl_Data__29__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__29__i_2_n_0 "bRegPortOutLcl[Data][29]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__29__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__29__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__29__i_3_n_0 "bRegPortOutLcl[Data][29]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__29__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__29__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__29__i_4_n_0 "bRegPortOutLcl[Data][29]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__29__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__29__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__2__i_1_n_0 "bRegPortOutLcl[Data][2]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__2_))
+ (portref O (instanceref bRegPortOutLcl_Data__2__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__2__i_2_n_0 "bRegPortOutLcl[Data][2]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__2__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__2__i_3_n_0 "bRegPortOutLcl[Data][2]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__2__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__2__i_4_n_0 "bRegPortOutLcl[Data][2]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__2__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__2__i_5_n_0 "bRegPortOutLcl[Data][2]_i_5_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__2__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_1_n_0 "bRegPortOutLcl[Data][30]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__30_))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_2_n_0 "bRegPortOutLcl[Data][30]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_3_n_0 "bRegPortOutLcl[Data][30]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_4_n_0 "bRegPortOutLcl[Data][30]_i_4_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__11__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__14__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__1__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__20__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__21__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__22__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__29__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__5__i_1))
+ (portref I2 (instanceref bRegPortOutLcl_Data__6__i_4))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_5_n_0 "bRegPortOutLcl[Data][30]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__30__i_6_n_0 "bRegPortOutLcl[Data][30]_i_6_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_9))
+ (portref O (instanceref bRegPortOutLcl_Data__30__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_10_n_0 "bRegPortOutLcl[Data][31]_i_10_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_10))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_11_n_0 "bRegPortOutLcl[Data][31]_i_11_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_11))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_12_n_0 "bRegPortOutLcl[Data][31]_i_12_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_10))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_8))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_12))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_1_n_0 "bRegPortOutLcl[Data][31]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__31_))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_2_n_0 "bRegPortOutLcl[Data][31]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_3_n_0 "bRegPortOutLcl[Data][31]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_4_n_0 "bRegPortOutLcl[Data][31]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_5_n_0 "bRegPortOutLcl[Data][31]_i_5_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref I1 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_6_n_0 "bRegPortOutLcl[Data][31]_i_6_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_7_n_0 "bRegPortOutLcl[Data][31]_i_7_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref I2 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_7))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_8_n_0 "bRegPortOutLcl[Data][31]_i_8_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref I5 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_8))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__31__i_9_n_0 "bRegPortOutLcl[Data][31]_i_9_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref I0 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref I0 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref I0 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref I2 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref O (instanceref bRegPortOutLcl_Data__31__i_9))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__3__i_1_n_0 "bRegPortOutLcl[Data][3]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__3_))
+ (portref O (instanceref bRegPortOutLcl_Data__3__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__3__i_2_n_0 "bRegPortOutLcl[Data][3]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__3__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__3__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__3__i_3_n_0 "bRegPortOutLcl[Data][3]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__3__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__3__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__3__i_4_n_0 "bRegPortOutLcl[Data][3]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__3__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__3__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__3__i_5_n_0 "bRegPortOutLcl[Data][3]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__3__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__3__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_1_n_0 "bRegPortOutLcl[Data][4]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__4_))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_2_n_0 "bRegPortOutLcl[Data][4]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_3_n_0 "bRegPortOutLcl[Data][4]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_4_n_0 "bRegPortOutLcl[Data][4]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_5_n_0 "bRegPortOutLcl[Data][4]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_6_n_0 "bRegPortOutLcl[Data][4]_i_6_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__4__i_7_n_0 "bRegPortOutLcl[Data][4]_i_7_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__4__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__4__i_7))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__5__i_1_n_0 "bRegPortOutLcl[Data][5]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__5_))
+ (portref O (instanceref bRegPortOutLcl_Data__5__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__5__i_2_n_0 "bRegPortOutLcl[Data][5]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__5__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__5__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__5__i_3_n_0 "bRegPortOutLcl[Data][5]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__5__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__5__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__5__i_4_n_0 "bRegPortOutLcl[Data][5]_i_4_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__5__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__5__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__5__i_5_n_0 "bRegPortOutLcl[Data][5]_i_5_n_0") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__5__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__5__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__6__i_1_n_0 "bRegPortOutLcl[Data][6]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__6_))
+ (portref O (instanceref bRegPortOutLcl_Data__6__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__6__i_2_n_0 "bRegPortOutLcl[Data][6]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__6__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__6__i_3_n_0 "bRegPortOutLcl[Data][6]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__6__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__6__i_4_n_0 "bRegPortOutLcl[Data][6]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__6__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__6__i_5_n_0 "bRegPortOutLcl[Data][6]_i_5_n_0") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__6__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_1_n_0 "bRegPortOutLcl[Data][7]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__7_))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_2_n_0 "bRegPortOutLcl[Data][7]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_3_n_0 "bRegPortOutLcl[Data][7]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_4_n_0 "bRegPortOutLcl[Data][7]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_5_n_0 "bRegPortOutLcl[Data][7]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__7__i_6_n_0 "bRegPortOutLcl[Data][7]_i_6_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref I2 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref I2 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref I2 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref O (instanceref bRegPortOutLcl_Data__7__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_1_n_0 "bRegPortOutLcl[Data][8]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__8_))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_2_n_0 "bRegPortOutLcl[Data][8]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_3_n_0 "bRegPortOutLcl[Data][8]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_4_n_0 "bRegPortOutLcl[Data][8]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__8__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_5_n_0 "bRegPortOutLcl[Data][8]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_5))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__8__i_6_n_0 "bRegPortOutLcl[Data][8]_i_6_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref I0 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref I5 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref O (instanceref bRegPortOutLcl_Data__8__i_6))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__9__i_1_n_0 "bRegPortOutLcl[Data][9]_i_1_n_0") (joined
+ (portref D (instanceref bRegPortOutLcl_reg_Data__9_))
+ (portref O (instanceref bRegPortOutLcl_Data__9__i_1))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__9__i_2_n_0 "bRegPortOutLcl[Data][9]_i_2_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__9__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__9__i_2))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__9__i_3_n_0 "bRegPortOutLcl[Data][9]_i_3_n_0") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__9__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__9__i_3))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__9__i_4_n_0 "bRegPortOutLcl[Data][9]_i_4_n_0") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__9__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__9__i_4))
+ )
+ )
+ (net (rename bRegPortOutLcl_Data__9__i_5_n_0 "bRegPortOutLcl[Data][9]_i_5_n_0") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__9__i_1))
+ (portref O (instanceref bRegPortOutLcl_Data__9__i_5))
+ )
+ )
+ (net bResetTdcDone (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net bResetTdc_i_1_n_0 (joined
+ (portref D (instanceref bResetTdc_reg))
+ (portref O (instanceref bResetTdc_i_1))
+ )
+ )
+ (net bResetTdc_reg_n_0 (joined
+ (portref D (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref I0 (instanceref bRegPortOutLcl_Data__0__i_6))
+ (portref I2 (instanceref bOffsetUpdated_i_1))
+ (portref I3 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref I5 (instanceref bResetTdc_i_1))
+ (portref Q (instanceref bResetTdc_reg))
+ )
+ )
+ (net (rename bRpOffsetStored_0_ "bRpOffsetStored[0]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_0_))
+ )
+ )
+ (net (rename bRpOffsetStored_0__i_1_n_0 "bRpOffsetStored[0]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_0_))
+ (portref O (instanceref bRpOffsetStored_0__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_10_ "bRpOffsetStored[10]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_10_))
+ )
+ )
+ (net (rename bRpOffsetStored_10__i_1_n_0 "bRpOffsetStored[10]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_10_))
+ (portref O (instanceref bRpOffsetStored_10__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_11_ "bRpOffsetStored[11]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_11_))
+ )
+ )
+ (net (rename bRpOffsetStored_11__i_1_n_0 "bRpOffsetStored[11]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_11_))
+ (portref O (instanceref bRpOffsetStored_11__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_12_ "bRpOffsetStored[12]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_12_))
+ )
+ )
+ (net (rename bRpOffsetStored_12__i_1_n_0 "bRpOffsetStored[12]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_12_))
+ (portref O (instanceref bRpOffsetStored_12__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_13_ "bRpOffsetStored[13]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_13_))
+ )
+ )
+ (net (rename bRpOffsetStored_13__i_1_n_0 "bRpOffsetStored[13]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_13_))
+ (portref O (instanceref bRpOffsetStored_13__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_14_ "bRpOffsetStored[14]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_14_))
+ )
+ )
+ (net (rename bRpOffsetStored_14__i_1_n_0 "bRpOffsetStored[14]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_14_))
+ (portref O (instanceref bRpOffsetStored_14__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_15_ "bRpOffsetStored[15]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_15_))
+ )
+ )
+ (net (rename bRpOffsetStored_15__i_1_n_0 "bRpOffsetStored[15]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_15_))
+ (portref O (instanceref bRpOffsetStored_15__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_16_ "bRpOffsetStored[16]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_16_))
+ )
+ )
+ (net (rename bRpOffsetStored_16__i_1_n_0 "bRpOffsetStored[16]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_16_))
+ (portref O (instanceref bRpOffsetStored_16__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_17_ "bRpOffsetStored[17]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_17_))
+ )
+ )
+ (net (rename bRpOffsetStored_17__i_1_n_0 "bRpOffsetStored[17]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_17_))
+ (portref O (instanceref bRpOffsetStored_17__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_18_ "bRpOffsetStored[18]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_18_))
+ )
+ )
+ (net (rename bRpOffsetStored_18__i_1_n_0 "bRpOffsetStored[18]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_18_))
+ (portref O (instanceref bRpOffsetStored_18__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_19_ "bRpOffsetStored[19]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_19_))
+ )
+ )
+ (net (rename bRpOffsetStored_19__i_1_n_0 "bRpOffsetStored[19]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_19_))
+ (portref O (instanceref bRpOffsetStored_19__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_1_ "bRpOffsetStored[1]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_1_))
+ )
+ )
+ (net (rename bRpOffsetStored_1__i_1_n_0 "bRpOffsetStored[1]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_1_))
+ (portref O (instanceref bRpOffsetStored_1__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_20_ "bRpOffsetStored[20]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_20_))
+ )
+ )
+ (net (rename bRpOffsetStored_20__i_1_n_0 "bRpOffsetStored[20]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_20_))
+ (portref O (instanceref bRpOffsetStored_20__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_21_ "bRpOffsetStored[21]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_21_))
+ )
+ )
+ (net (rename bRpOffsetStored_21__i_1_n_0 "bRpOffsetStored[21]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_21_))
+ (portref O (instanceref bRpOffsetStored_21__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_22_ "bRpOffsetStored[22]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_22_))
+ )
+ )
+ (net (rename bRpOffsetStored_22__i_1_n_0 "bRpOffsetStored[22]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_22_))
+ (portref O (instanceref bRpOffsetStored_22__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_23_ "bRpOffsetStored[23]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_23_))
+ )
+ )
+ (net (rename bRpOffsetStored_23__i_1_n_0 "bRpOffsetStored[23]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_23_))
+ (portref O (instanceref bRpOffsetStored_23__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_24_ "bRpOffsetStored[24]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_24_))
+ )
+ )
+ (net (rename bRpOffsetStored_24__i_1_n_0 "bRpOffsetStored[24]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_24_))
+ (portref O (instanceref bRpOffsetStored_24__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_25_ "bRpOffsetStored[25]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_25_))
+ )
+ )
+ (net (rename bRpOffsetStored_25__i_1_n_0 "bRpOffsetStored[25]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_25_))
+ (portref O (instanceref bRpOffsetStored_25__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_26_ "bRpOffsetStored[26]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_26_))
+ )
+ )
+ (net (rename bRpOffsetStored_26__i_1_n_0 "bRpOffsetStored[26]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_26_))
+ (portref O (instanceref bRpOffsetStored_26__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_27_ "bRpOffsetStored[27]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_27_))
+ )
+ )
+ (net (rename bRpOffsetStored_27__i_1_n_0 "bRpOffsetStored[27]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_27_))
+ (portref O (instanceref bRpOffsetStored_27__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_28_ "bRpOffsetStored[28]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_28_))
+ )
+ )
+ (net (rename bRpOffsetStored_28__i_1_n_0 "bRpOffsetStored[28]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_28_))
+ (portref O (instanceref bRpOffsetStored_28__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_29_ "bRpOffsetStored[29]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_29_))
+ )
+ )
+ (net (rename bRpOffsetStored_29__i_1_n_0 "bRpOffsetStored[29]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_29_))
+ (portref O (instanceref bRpOffsetStored_29__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_2_ "bRpOffsetStored[2]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_2_))
+ )
+ )
+ (net (rename bRpOffsetStored_2__i_1_n_0 "bRpOffsetStored[2]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_2_))
+ (portref O (instanceref bRpOffsetStored_2__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_30_ "bRpOffsetStored[30]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_30_))
+ )
+ )
+ (net (rename bRpOffsetStored_30__i_1_n_0 "bRpOffsetStored[30]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_30_))
+ (portref O (instanceref bRpOffsetStored_30__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_31_ "bRpOffsetStored[31]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref Q (instanceref bRpOffsetStored_reg_31_))
+ )
+ )
+ (net (rename bRpOffsetStored_31__i_1_n_0 "bRpOffsetStored[31]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_31_))
+ (portref O (instanceref bRpOffsetStored_31__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_32_ "bRpOffsetStored[32]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_32_))
+ )
+ )
+ (net (rename bRpOffsetStored_32__i_1_n_0 "bRpOffsetStored[32]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_32_))
+ (portref O (instanceref bRpOffsetStored_32__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_33_ "bRpOffsetStored[33]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_33_))
+ )
+ )
+ (net (rename bRpOffsetStored_33__i_1_n_0 "bRpOffsetStored[33]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_33_))
+ (portref O (instanceref bRpOffsetStored_33__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_34_ "bRpOffsetStored[34]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_34_))
+ )
+ )
+ (net (rename bRpOffsetStored_34__i_1_n_0 "bRpOffsetStored[34]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_34_))
+ (portref O (instanceref bRpOffsetStored_34__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_35_ "bRpOffsetStored[35]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_35_))
+ )
+ )
+ (net (rename bRpOffsetStored_35__i_1_n_0 "bRpOffsetStored[35]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_35_))
+ (portref O (instanceref bRpOffsetStored_35__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_36_ "bRpOffsetStored[36]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_36_))
+ )
+ )
+ (net (rename bRpOffsetStored_36__i_1_n_0 "bRpOffsetStored[36]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_36_))
+ (portref O (instanceref bRpOffsetStored_36__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_37_ "bRpOffsetStored[37]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_37_))
+ )
+ )
+ (net (rename bRpOffsetStored_37__i_1_n_0 "bRpOffsetStored[37]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_37_))
+ (portref O (instanceref bRpOffsetStored_37__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_38_ "bRpOffsetStored[38]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_38_))
+ )
+ )
+ (net (rename bRpOffsetStored_38__i_1_n_0 "bRpOffsetStored[38]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_38_))
+ (portref O (instanceref bRpOffsetStored_38__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_39_ "bRpOffsetStored[39]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_39_))
+ )
+ )
+ (net (rename bRpOffsetStored_39__i_2_n_0 "bRpOffsetStored[39]_i_2_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_39_))
+ (portref O (instanceref bRpOffsetStored_39__i_2))
+ )
+ )
+ (net (rename bRpOffsetStored_39__i_3_n_0 "bRpOffsetStored[39]_i_3_n_0") (joined
+ (portref I0 (instanceref bRpOffsetStored_39__i_1))
+ (portref I1 (instanceref bClearTdcRegs_i_1))
+ (portref I2 (instanceref bScratch_31__i_3))
+ (portref O (instanceref bRpOffsetStored_39__i_3))
+ )
+ )
+ (net (rename bRpOffsetStored_39__i_4_n_0 "bRpOffsetStored[39]_i_4_n_0") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_6))
+ (portref I3 (instanceref bRpOffsetStored_39__i_1))
+ (portref O (instanceref bRpOffsetStored_39__i_4))
+ )
+ )
+ (net (rename bRpOffsetStored_3_ "bRpOffsetStored[3]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_3_))
+ )
+ )
+ (net (rename bRpOffsetStored_3__i_1_n_0 "bRpOffsetStored[3]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_3_))
+ (portref O (instanceref bRpOffsetStored_3__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_4_ "bRpOffsetStored[4]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_4_))
+ )
+ )
+ (net (rename bRpOffsetStored_4__i_1_n_0 "bRpOffsetStored[4]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_4_))
+ (portref O (instanceref bRpOffsetStored_4__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_5_ "bRpOffsetStored[5]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_5_))
+ )
+ )
+ (net (rename bRpOffsetStored_5__i_1_n_0 "bRpOffsetStored[5]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_5_))
+ (portref O (instanceref bRpOffsetStored_5__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_6_ "bRpOffsetStored[6]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref Q (instanceref bRpOffsetStored_reg_6_))
+ )
+ )
+ (net (rename bRpOffsetStored_6__i_1_n_0 "bRpOffsetStored[6]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_6_))
+ (portref O (instanceref bRpOffsetStored_6__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_7_ "bRpOffsetStored[7]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_7_))
+ )
+ )
+ (net (rename bRpOffsetStored_7__i_1_n_0 "bRpOffsetStored[7]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_7_))
+ (portref O (instanceref bRpOffsetStored_7__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_8_ "bRpOffsetStored[8]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref Q (instanceref bRpOffsetStored_reg_8_))
+ )
+ )
+ (net (rename bRpOffsetStored_8__i_1_n_0 "bRpOffsetStored[8]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_8_))
+ (portref O (instanceref bRpOffsetStored_8__i_1))
+ )
+ )
+ (net (rename bRpOffsetStored_9_ "bRpOffsetStored[9]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref Q (instanceref bRpOffsetStored_reg_9_))
+ )
+ )
+ (net (rename bRpOffsetStored_9__i_1_n_0 "bRpOffsetStored[9]_i_1_n_0") (joined
+ (portref D (instanceref bRpOffsetStored_reg_9_))
+ (portref O (instanceref bRpOffsetStored_9__i_1))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_0_ "bRpPeriodCtrlReadbackSyncReset[0]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_10_ "bRpPeriodCtrlReadbackSyncReset[10]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_11_ "bRpPeriodCtrlReadbackSyncReset[11]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_12_ "bRpPeriodCtrlReadbackSyncReset[12]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_13_ "bRpPeriodCtrlReadbackSyncReset[13]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_14_ "bRpPeriodCtrlReadbackSyncReset[14]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_15_ "bRpPeriodCtrlReadbackSyncReset[15]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_16_ "bRpPeriodCtrlReadbackSyncReset[16]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_17_ "bRpPeriodCtrlReadbackSyncReset[17]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_18_ "bRpPeriodCtrlReadbackSyncReset[18]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_19_ "bRpPeriodCtrlReadbackSyncReset[19]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_1_ "bRpPeriodCtrlReadbackSyncReset[1]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_20_ "bRpPeriodCtrlReadbackSyncReset[20]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_21_ "bRpPeriodCtrlReadbackSyncReset[21]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_22_ "bRpPeriodCtrlReadbackSyncReset[22]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_23_ "bRpPeriodCtrlReadbackSyncReset[23]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_24_ "bRpPeriodCtrlReadbackSyncReset[24]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_25_ "bRpPeriodCtrlReadbackSyncReset[25]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_26_ "bRpPeriodCtrlReadbackSyncReset[26]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_27_ "bRpPeriodCtrlReadbackSyncReset[27]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_28_ "bRpPeriodCtrlReadbackSyncReset[28]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_29_ "bRpPeriodCtrlReadbackSyncReset[29]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_2_ "bRpPeriodCtrlReadbackSyncReset[2]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_30_ "bRpPeriodCtrlReadbackSyncReset[30]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_31_ "bRpPeriodCtrlReadbackSyncReset[31]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_3_ "bRpPeriodCtrlReadbackSyncReset[3]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_4_ "bRpPeriodCtrlReadbackSyncReset[4]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_5_ "bRpPeriodCtrlReadbackSyncReset[5]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_6_ "bRpPeriodCtrlReadbackSyncReset[6]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_7_ "bRpPeriodCtrlReadbackSyncReset[7]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_8_ "bRpPeriodCtrlReadbackSyncReset[8]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadbackSyncReset_9_ "bRpPeriodCtrlReadbackSyncReset[9]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_0_ "bRpPeriodCtrlReadback[0]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_10_ "bRpPeriodCtrlReadback[10]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_11_ "bRpPeriodCtrlReadback[11]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_12_ "bRpPeriodCtrlReadback[12]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_13_ "bRpPeriodCtrlReadback[13]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_14_ "bRpPeriodCtrlReadback[14]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_15_ "bRpPeriodCtrlReadback[15]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_16_ "bRpPeriodCtrlReadback[16]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_17_ "bRpPeriodCtrlReadback[17]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_18_ "bRpPeriodCtrlReadback[18]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_19_ "bRpPeriodCtrlReadback[19]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_1_ "bRpPeriodCtrlReadback[1]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_20_ "bRpPeriodCtrlReadback[20]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_21_ "bRpPeriodCtrlReadback[21]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_22_ "bRpPeriodCtrlReadback[22]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_23_ "bRpPeriodCtrlReadback[23]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_24_ "bRpPeriodCtrlReadback[24]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_25_ "bRpPeriodCtrlReadback[25]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_26_ "bRpPeriodCtrlReadback[26]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_27_ "bRpPeriodCtrlReadback[27]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_28_ "bRpPeriodCtrlReadback[28]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_29_ "bRpPeriodCtrlReadback[29]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_2_ "bRpPeriodCtrlReadback[2]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_30_ "bRpPeriodCtrlReadback[30]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_31_ "bRpPeriodCtrlReadback[31]") (joined
+ (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_3_ "bRpPeriodCtrlReadback[3]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_4_ "bRpPeriodCtrlReadback[4]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_5_ "bRpPeriodCtrlReadback[5]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_6_ "bRpPeriodCtrlReadback[6]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_7_ "bRpPeriodCtrlReadback[7]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_8_ "bRpPeriodCtrlReadback[8]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bRpPeriodCtrlReadback_9_ "bRpPeriodCtrlReadback[9]") (joined
+ (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_0_ "bRptPeriodCtrlReadbackSyncReset[0]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_10_ "bRptPeriodCtrlReadbackSyncReset[10]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_11_ "bRptPeriodCtrlReadbackSyncReset[11]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_12_ "bRptPeriodCtrlReadbackSyncReset[12]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_13_ "bRptPeriodCtrlReadbackSyncReset[13]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_14_ "bRptPeriodCtrlReadbackSyncReset[14]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_15_ "bRptPeriodCtrlReadbackSyncReset[15]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_16_ "bRptPeriodCtrlReadbackSyncReset[16]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_17_ "bRptPeriodCtrlReadbackSyncReset[17]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_18_ "bRptPeriodCtrlReadbackSyncReset[18]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_19_ "bRptPeriodCtrlReadbackSyncReset[19]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_1_ "bRptPeriodCtrlReadbackSyncReset[1]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_20_ "bRptPeriodCtrlReadbackSyncReset[20]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_21_ "bRptPeriodCtrlReadbackSyncReset[21]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_22_ "bRptPeriodCtrlReadbackSyncReset[22]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_23_ "bRptPeriodCtrlReadbackSyncReset[23]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_24_ "bRptPeriodCtrlReadbackSyncReset[24]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_25_ "bRptPeriodCtrlReadbackSyncReset[25]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_26_ "bRptPeriodCtrlReadbackSyncReset[26]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_27_ "bRptPeriodCtrlReadbackSyncReset[27]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_28_ "bRptPeriodCtrlReadbackSyncReset[28]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_29_ "bRptPeriodCtrlReadbackSyncReset[29]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_2_ "bRptPeriodCtrlReadbackSyncReset[2]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_30_ "bRptPeriodCtrlReadbackSyncReset[30]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_31_ "bRptPeriodCtrlReadbackSyncReset[31]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_3_ "bRptPeriodCtrlReadbackSyncReset[3]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_4_ "bRptPeriodCtrlReadbackSyncReset[4]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_5_ "bRptPeriodCtrlReadbackSyncReset[5]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_6_ "bRptPeriodCtrlReadbackSyncReset[6]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_7_ "bRptPeriodCtrlReadbackSyncReset[7]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_8_ "bRptPeriodCtrlReadbackSyncReset[8]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadbackSyncReset_9_ "bRptPeriodCtrlReadbackSyncReset[9]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_0_ "bRptPeriodCtrlReadback[0]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_10_ "bRptPeriodCtrlReadback[10]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_11_ "bRptPeriodCtrlReadback[11]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_12_ "bRptPeriodCtrlReadback[12]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_13_ "bRptPeriodCtrlReadback[13]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_14_ "bRptPeriodCtrlReadback[14]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_15_ "bRptPeriodCtrlReadback[15]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_16_ "bRptPeriodCtrlReadback[16]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_17_ "bRptPeriodCtrlReadback[17]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_18_ "bRptPeriodCtrlReadback[18]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_19_ "bRptPeriodCtrlReadback[19]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_1_ "bRptPeriodCtrlReadback[1]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_20_ "bRptPeriodCtrlReadback[20]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_21_ "bRptPeriodCtrlReadback[21]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_22_ "bRptPeriodCtrlReadback[22]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_23_ "bRptPeriodCtrlReadback[23]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_24_ "bRptPeriodCtrlReadback[24]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_25_ "bRptPeriodCtrlReadback[25]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_26_ "bRptPeriodCtrlReadback[26]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_27_ "bRptPeriodCtrlReadback[27]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_28_ "bRptPeriodCtrlReadback[28]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_29_ "bRptPeriodCtrlReadback[29]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_2_ "bRptPeriodCtrlReadback[2]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_30_ "bRptPeriodCtrlReadback[30]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_31_ "bRptPeriodCtrlReadback[31]") (joined
+ (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_3_ "bRptPeriodCtrlReadback[3]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_4_ "bRptPeriodCtrlReadback[4]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_5_ "bRptPeriodCtrlReadback[5]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_6_ "bRptPeriodCtrlReadback[6]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_7_ "bRptPeriodCtrlReadback[7]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_8_ "bRptPeriodCtrlReadback[8]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bRptPeriodCtrlReadback_9_ "bRptPeriodCtrlReadback[9]") (joined
+ (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net (rename bScratch_0_ "bScratch[0]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__0__i_6))
+ (portref Q (instanceref bScratch_reg_0_))
+ )
+ )
+ (net (rename bScratch_10_ "bScratch[10]") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__10__i_3))
+ (portref Q (instanceref bScratch_reg_10_))
+ )
+ )
+ (net (rename bScratch_11_ "bScratch[11]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__11__i_4))
+ (portref Q (instanceref bScratch_reg_11_))
+ )
+ )
+ (net (rename bScratch_12_ "bScratch[12]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__12__i_1))
+ (portref Q (instanceref bScratch_reg_12_))
+ )
+ )
+ (net (rename bScratch_13_ "bScratch[13]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__13__i_2))
+ (portref Q (instanceref bScratch_reg_13_))
+ )
+ )
+ (net (rename bScratch_14_ "bScratch[14]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__14__i_4))
+ (portref Q (instanceref bScratch_reg_14_))
+ )
+ )
+ (net (rename bScratch_15_ "bScratch[15]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__15__i_4))
+ (portref Q (instanceref bScratch_reg_15_))
+ )
+ )
+ (net (rename bScratch_16_ "bScratch[16]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref Q (instanceref bScratch_reg_16_))
+ )
+ )
+ (net (rename bScratch_17_ "bScratch[17]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__17__i_1))
+ (portref Q (instanceref bScratch_reg_17_))
+ )
+ )
+ (net (rename bScratch_18_ "bScratch[18]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__18__i_1))
+ (portref Q (instanceref bScratch_reg_18_))
+ )
+ )
+ (net (rename bScratch_19_ "bScratch[19]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__19__i_2))
+ (portref Q (instanceref bScratch_reg_19_))
+ )
+ )
+ (net (rename bScratch_1_ "bScratch[1]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__1__i_2))
+ (portref Q (instanceref bScratch_reg_1_))
+ )
+ )
+ (net (rename bScratch_20_ "bScratch[20]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__20__i_4))
+ (portref Q (instanceref bScratch_reg_20_))
+ )
+ )
+ (net (rename bScratch_21_ "bScratch[21]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__21__i_4))
+ (portref Q (instanceref bScratch_reg_21_))
+ )
+ )
+ (net (rename bScratch_22_ "bScratch[22]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__22__i_4))
+ (portref Q (instanceref bScratch_reg_22_))
+ )
+ )
+ (net (rename bScratch_23_ "bScratch[23]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__23__i_4))
+ (portref Q (instanceref bScratch_reg_23_))
+ )
+ )
+ (net (rename bScratch_24_ "bScratch[24]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__24__i_2))
+ (portref Q (instanceref bScratch_reg_24_))
+ )
+ )
+ (net (rename bScratch_25_ "bScratch[25]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__25__i_2))
+ (portref Q (instanceref bScratch_reg_25_))
+ )
+ )
+ (net (rename bScratch_26_ "bScratch[26]") (joined
+ (portref I2 (instanceref bRegPortOutLcl_Data__26__i_1))
+ (portref Q (instanceref bScratch_reg_26_))
+ )
+ )
+ (net (rename bScratch_27_ "bScratch[27]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_1))
+ (portref Q (instanceref bScratch_reg_27_))
+ )
+ )
+ (net (rename bScratch_28_ "bScratch[28]") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_3))
+ (portref Q (instanceref bScratch_reg_28_))
+ )
+ )
+ (net (rename bScratch_29_ "bScratch[29]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__29__i_4))
+ (portref Q (instanceref bScratch_reg_29_))
+ )
+ )
+ (net (rename bScratch_2_ "bScratch[2]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__2__i_1))
+ (portref Q (instanceref bScratch_reg_2_))
+ )
+ )
+ (net (rename bScratch_30_ "bScratch[30]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__30__i_5))
+ (portref Q (instanceref bScratch_reg_30_))
+ )
+ )
+ (net (rename bScratch_31_ "bScratch[31]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_4))
+ (portref Q (instanceref bScratch_reg_31_))
+ )
+ )
+ (net (rename bScratch_31__i_1_n_0 "bScratch[31]_i_1_n_0") (joined
+ (portref CE (instanceref bScratch_reg_0_))
+ (portref CE (instanceref bScratch_reg_10_))
+ (portref CE (instanceref bScratch_reg_11_))
+ (portref CE (instanceref bScratch_reg_12_))
+ (portref CE (instanceref bScratch_reg_13_))
+ (portref CE (instanceref bScratch_reg_14_))
+ (portref CE (instanceref bScratch_reg_15_))
+ (portref CE (instanceref bScratch_reg_16_))
+ (portref CE (instanceref bScratch_reg_17_))
+ (portref CE (instanceref bScratch_reg_18_))
+ (portref CE (instanceref bScratch_reg_19_))
+ (portref CE (instanceref bScratch_reg_1_))
+ (portref CE (instanceref bScratch_reg_20_))
+ (portref CE (instanceref bScratch_reg_21_))
+ (portref CE (instanceref bScratch_reg_22_))
+ (portref CE (instanceref bScratch_reg_23_))
+ (portref CE (instanceref bScratch_reg_24_))
+ (portref CE (instanceref bScratch_reg_25_))
+ (portref CE (instanceref bScratch_reg_26_))
+ (portref CE (instanceref bScratch_reg_27_))
+ (portref CE (instanceref bScratch_reg_28_))
+ (portref CE (instanceref bScratch_reg_29_))
+ (portref CE (instanceref bScratch_reg_2_))
+ (portref CE (instanceref bScratch_reg_30_))
+ (portref CE (instanceref bScratch_reg_31_))
+ (portref CE (instanceref bScratch_reg_3_))
+ (portref CE (instanceref bScratch_reg_4_))
+ (portref CE (instanceref bScratch_reg_5_))
+ (portref CE (instanceref bScratch_reg_6_))
+ (portref CE (instanceref bScratch_reg_7_))
+ (portref CE (instanceref bScratch_reg_8_))
+ (portref CE (instanceref bScratch_reg_9_))
+ (portref O (instanceref bScratch_31__i_1))
+ )
+ )
+ (net (rename bScratch_31__i_3_n_0 "bScratch[31]_i_3_n_0") (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_3__0))
+ (portref I0 (instanceref Gen0_FDCEx_i_3__1))
+ (portref I0 (instanceref bScratch_31__i_1))
+ (portref I3 (instanceref Gen0_FDCEx_i_3))
+ (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_3))
+ (portref O (instanceref bScratch_31__i_3))
+ )
+ )
+ (net (rename bScratch_31__i_4_n_0 "bScratch[31]_i_4_n_0") (joined
+ (portref I2 (instanceref bScratch_31__i_1))
+ (portref I5 (instanceref bRegPortOutLcl_Data__16__i_4))
+ (portref I5 (instanceref bRegPortOutLcl_Data__26__i_3))
+ (portref I5 (instanceref bRegPortOutLcl_Data__28__i_5))
+ (portref I5 (instanceref bRegPortOutLcl_Data__31__i_5))
+ (portref O (instanceref bScratch_31__i_4))
+ )
+ )
+ (net (rename bScratch_31__i_5_n_0 "bScratch[31]_i_5_n_0") (joined
+ (portref I5 (instanceref bScratch_31__i_1))
+ (portref O (instanceref bScratch_31__i_5))
+ )
+ )
+ (net (rename bScratch_3_ "bScratch[3]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__3__i_4))
+ (portref Q (instanceref bScratch_reg_3_))
+ )
+ )
+ (net (rename bScratch_4_ "bScratch[4]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__4__i_7))
+ (portref Q (instanceref bScratch_reg_4_))
+ )
+ )
+ (net (rename bScratch_5_ "bScratch[5]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__5__i_2))
+ (portref Q (instanceref bScratch_reg_5_))
+ )
+ )
+ (net (rename bScratch_6_ "bScratch[6]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__6__i_1))
+ (portref Q (instanceref bScratch_reg_6_))
+ )
+ )
+ (net (rename bScratch_7_ "bScratch[7]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__7__i_4))
+ (portref Q (instanceref bScratch_reg_7_))
+ )
+ )
+ (net (rename bScratch_8_ "bScratch[8]") (joined
+ (portref I0 (instanceref bRegPortOutLcl_Data__8__i_4))
+ (portref Q (instanceref bScratch_reg_8_))
+ )
+ )
+ (net (rename bScratch_9_ "bScratch[9]") (joined
+ (portref I5 (instanceref bRegPortOutLcl_Data__9__i_4))
+ (portref Q (instanceref bScratch_reg_9_))
+ )
+ )
+ (net bSpOffsetStored (joined
+ (portref CE (instanceref bRpOffsetStored_reg_0_))
+ (portref CE (instanceref bRpOffsetStored_reg_10_))
+ (portref CE (instanceref bRpOffsetStored_reg_11_))
+ (portref CE (instanceref bRpOffsetStored_reg_12_))
+ (portref CE (instanceref bRpOffsetStored_reg_13_))
+ (portref CE (instanceref bRpOffsetStored_reg_14_))
+ (portref CE (instanceref bRpOffsetStored_reg_15_))
+ (portref CE (instanceref bRpOffsetStored_reg_16_))
+ (portref CE (instanceref bRpOffsetStored_reg_17_))
+ (portref CE (instanceref bRpOffsetStored_reg_18_))
+ (portref CE (instanceref bRpOffsetStored_reg_19_))
+ (portref CE (instanceref bRpOffsetStored_reg_1_))
+ (portref CE (instanceref bRpOffsetStored_reg_20_))
+ (portref CE (instanceref bRpOffsetStored_reg_21_))
+ (portref CE (instanceref bRpOffsetStored_reg_22_))
+ (portref CE (instanceref bRpOffsetStored_reg_23_))
+ (portref CE (instanceref bRpOffsetStored_reg_24_))
+ (portref CE (instanceref bRpOffsetStored_reg_25_))
+ (portref CE (instanceref bRpOffsetStored_reg_26_))
+ (portref CE (instanceref bRpOffsetStored_reg_27_))
+ (portref CE (instanceref bRpOffsetStored_reg_28_))
+ (portref CE (instanceref bRpOffsetStored_reg_29_))
+ (portref CE (instanceref bRpOffsetStored_reg_2_))
+ (portref CE (instanceref bRpOffsetStored_reg_30_))
+ (portref CE (instanceref bRpOffsetStored_reg_31_))
+ (portref CE (instanceref bRpOffsetStored_reg_32_))
+ (portref CE (instanceref bRpOffsetStored_reg_33_))
+ (portref CE (instanceref bRpOffsetStored_reg_34_))
+ (portref CE (instanceref bRpOffsetStored_reg_35_))
+ (portref CE (instanceref bRpOffsetStored_reg_36_))
+ (portref CE (instanceref bRpOffsetStored_reg_37_))
+ (portref CE (instanceref bRpOffsetStored_reg_38_))
+ (portref CE (instanceref bRpOffsetStored_reg_39_))
+ (portref CE (instanceref bRpOffsetStored_reg_3_))
+ (portref CE (instanceref bRpOffsetStored_reg_4_))
+ (portref CE (instanceref bRpOffsetStored_reg_5_))
+ (portref CE (instanceref bRpOffsetStored_reg_6_))
+ (portref CE (instanceref bRpOffsetStored_reg_7_))
+ (portref CE (instanceref bRpOffsetStored_reg_8_))
+ (portref CE (instanceref bRpOffsetStored_reg_9_))
+ (portref CE (instanceref bSpOffsetStored_reg_0_))
+ (portref CE (instanceref bSpOffsetStored_reg_10_))
+ (portref CE (instanceref bSpOffsetStored_reg_11_))
+ (portref CE (instanceref bSpOffsetStored_reg_12_))
+ (portref CE (instanceref bSpOffsetStored_reg_13_))
+ (portref CE (instanceref bSpOffsetStored_reg_14_))
+ (portref CE (instanceref bSpOffsetStored_reg_15_))
+ (portref CE (instanceref bSpOffsetStored_reg_16_))
+ (portref CE (instanceref bSpOffsetStored_reg_17_))
+ (portref CE (instanceref bSpOffsetStored_reg_18_))
+ (portref CE (instanceref bSpOffsetStored_reg_19_))
+ (portref CE (instanceref bSpOffsetStored_reg_1_))
+ (portref CE (instanceref bSpOffsetStored_reg_20_))
+ (portref CE (instanceref bSpOffsetStored_reg_21_))
+ (portref CE (instanceref bSpOffsetStored_reg_22_))
+ (portref CE (instanceref bSpOffsetStored_reg_23_))
+ (portref CE (instanceref bSpOffsetStored_reg_24_))
+ (portref CE (instanceref bSpOffsetStored_reg_25_))
+ (portref CE (instanceref bSpOffsetStored_reg_26_))
+ (portref CE (instanceref bSpOffsetStored_reg_27_))
+ (portref CE (instanceref bSpOffsetStored_reg_28_))
+ (portref CE (instanceref bSpOffsetStored_reg_29_))
+ (portref CE (instanceref bSpOffsetStored_reg_2_))
+ (portref CE (instanceref bSpOffsetStored_reg_30_))
+ (portref CE (instanceref bSpOffsetStored_reg_31_))
+ (portref CE (instanceref bSpOffsetStored_reg_3_))
+ (portref CE (instanceref bSpOffsetStored_reg_4_))
+ (portref CE (instanceref bSpOffsetStored_reg_5_))
+ (portref CE (instanceref bSpOffsetStored_reg_6_))
+ (portref CE (instanceref bSpOffsetStored_reg_7_))
+ (portref CE (instanceref bSpOffsetStored_reg_8_))
+ (portref CE (instanceref bSpOffsetStored_reg_9_))
+ (portref I1 (instanceref bOffsetUpdated_i_1))
+ (portref O (instanceref bRpOffsetStored_39__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_0__i_1_n_0 "bSpOffsetStored[0]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_0_))
+ (portref O (instanceref bSpOffsetStored_0__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_10__i_1_n_0 "bSpOffsetStored[10]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_10_))
+ (portref O (instanceref bSpOffsetStored_10__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_11__i_1_n_0 "bSpOffsetStored[11]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_11_))
+ (portref O (instanceref bSpOffsetStored_11__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_12__i_1_n_0 "bSpOffsetStored[12]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_12_))
+ (portref O (instanceref bSpOffsetStored_12__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_13__i_1_n_0 "bSpOffsetStored[13]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_13_))
+ (portref O (instanceref bSpOffsetStored_13__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_14__i_1_n_0 "bSpOffsetStored[14]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_14_))
+ (portref O (instanceref bSpOffsetStored_14__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_15__i_1_n_0 "bSpOffsetStored[15]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_15_))
+ (portref O (instanceref bSpOffsetStored_15__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_16__i_1_n_0 "bSpOffsetStored[16]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_16_))
+ (portref O (instanceref bSpOffsetStored_16__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_17__i_1_n_0 "bSpOffsetStored[17]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_17_))
+ (portref O (instanceref bSpOffsetStored_17__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_18__i_1_n_0 "bSpOffsetStored[18]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_18_))
+ (portref O (instanceref bSpOffsetStored_18__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_19__i_1_n_0 "bSpOffsetStored[19]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_19_))
+ (portref O (instanceref bSpOffsetStored_19__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_1__i_1_n_0 "bSpOffsetStored[1]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_1_))
+ (portref O (instanceref bSpOffsetStored_1__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_20__i_1_n_0 "bSpOffsetStored[20]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_20_))
+ (portref O (instanceref bSpOffsetStored_20__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_21__i_1_n_0 "bSpOffsetStored[21]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_21_))
+ (portref O (instanceref bSpOffsetStored_21__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_22__i_1_n_0 "bSpOffsetStored[22]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_22_))
+ (portref O (instanceref bSpOffsetStored_22__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_23__i_1_n_0 "bSpOffsetStored[23]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_23_))
+ (portref O (instanceref bSpOffsetStored_23__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_24__i_1_n_0 "bSpOffsetStored[24]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_24_))
+ (portref O (instanceref bSpOffsetStored_24__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_25__i_1_n_0 "bSpOffsetStored[25]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_25_))
+ (portref O (instanceref bSpOffsetStored_25__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_26__i_1_n_0 "bSpOffsetStored[26]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_26_))
+ (portref O (instanceref bSpOffsetStored_26__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_27__i_1_n_0 "bSpOffsetStored[27]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_27_))
+ (portref O (instanceref bSpOffsetStored_27__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_28__i_1_n_0 "bSpOffsetStored[28]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_28_))
+ (portref O (instanceref bSpOffsetStored_28__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_29__i_1_n_0 "bSpOffsetStored[29]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_29_))
+ (portref O (instanceref bSpOffsetStored_29__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_2__i_1_n_0 "bSpOffsetStored[2]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_2_))
+ (portref O (instanceref bSpOffsetStored_2__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_30__i_1_n_0 "bSpOffsetStored[30]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_30_))
+ (portref O (instanceref bSpOffsetStored_30__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_31__i_1_n_0 "bSpOffsetStored[31]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_31_))
+ (portref O (instanceref bSpOffsetStored_31__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_3__i_1_n_0 "bSpOffsetStored[3]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_3_))
+ (portref O (instanceref bSpOffsetStored_3__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_4__i_1_n_0 "bSpOffsetStored[4]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_4_))
+ (portref O (instanceref bSpOffsetStored_4__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_5__i_1_n_0 "bSpOffsetStored[5]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_5_))
+ (portref O (instanceref bSpOffsetStored_5__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_6__i_1_n_0 "bSpOffsetStored[6]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_6_))
+ (portref O (instanceref bSpOffsetStored_6__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_7__i_1_n_0 "bSpOffsetStored[7]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_7_))
+ (portref O (instanceref bSpOffsetStored_7__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_8__i_1_n_0 "bSpOffsetStored[8]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_8_))
+ (portref O (instanceref bSpOffsetStored_8__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_9__i_1_n_0 "bSpOffsetStored[9]_i_1_n_0") (joined
+ (portref D (instanceref bSpOffsetStored_reg_9_))
+ (portref O (instanceref bSpOffsetStored_9__i_1))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__0_ "bSpOffsetStored_reg_n_0_[0]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_0_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__10_ "bSpOffsetStored_reg_n_0_[10]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_10_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__11_ "bSpOffsetStored_reg_n_0_[11]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__11__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_11_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__12_ "bSpOffsetStored_reg_n_0_[12]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__12__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_12_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__13_ "bSpOffsetStored_reg_n_0_[13]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__13__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_13_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__14_ "bSpOffsetStored_reg_n_0_[14]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__14__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_14_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__15_ "bSpOffsetStored_reg_n_0_[15]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__15__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_15_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__16_ "bSpOffsetStored_reg_n_0_[16]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref Q (instanceref bSpOffsetStored_reg_16_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__17_ "bSpOffsetStored_reg_n_0_[17]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__17__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_17_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__18_ "bSpOffsetStored_reg_n_0_[18]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__18__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_18_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__19_ "bSpOffsetStored_reg_n_0_[19]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__19__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_19_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__1_ "bSpOffsetStored_reg_n_0_[1]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__1__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_1_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__20_ "bSpOffsetStored_reg_n_0_[20]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__20__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_20_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__21_ "bSpOffsetStored_reg_n_0_[21]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__21__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_21_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__22_ "bSpOffsetStored_reg_n_0_[22]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__22__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_22_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__23_ "bSpOffsetStored_reg_n_0_[23]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__23__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_23_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__24_ "bSpOffsetStored_reg_n_0_[24]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__24__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_24_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__25_ "bSpOffsetStored_reg_n_0_[25]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__25__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_25_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__26_ "bSpOffsetStored_reg_n_0_[26]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__26__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_26_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__27_ "bSpOffsetStored_reg_n_0_[27]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_5))
+ (portref Q (instanceref bSpOffsetStored_reg_27_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__28_ "bSpOffsetStored_reg_n_0_[28]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_28_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__29_ "bSpOffsetStored_reg_n_0_[29]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__29__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_29_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__2_ "bSpOffsetStored_reg_n_0_[2]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__2__i_5))
+ (portref Q (instanceref bSpOffsetStored_reg_2_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__30_ "bSpOffsetStored_reg_n_0_[30]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__30__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_30_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__31_ "bSpOffsetStored_reg_n_0_[31]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__31__i_2))
+ (portref Q (instanceref bSpOffsetStored_reg_31_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__3_ "bSpOffsetStored_reg_n_0_[3]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__3__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_3_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__4_ "bSpOffsetStored_reg_n_0_[4]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_4_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__5_ "bSpOffsetStored_reg_n_0_[5]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__5__i_4))
+ (portref Q (instanceref bSpOffsetStored_reg_5_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__6_ "bSpOffsetStored_reg_n_0_[6]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__6__i_5))
+ (portref Q (instanceref bSpOffsetStored_reg_6_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__7_ "bSpOffsetStored_reg_n_0_[7]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__7__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_7_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__8_ "bSpOffsetStored_reg_n_0_[8]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_3))
+ (portref Q (instanceref bSpOffsetStored_reg_8_))
+ )
+ )
+ (net (rename bSpOffsetStored_reg_n_0__9_ "bSpOffsetStored_reg_n_0_[9]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref Q (instanceref bSpOffsetStored_reg_9_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_0_ "bSpPeriodCtrlReadbackSyncReset[0]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__0__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_10_ "bSpPeriodCtrlReadbackSyncReset[10]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__10__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_11_ "bSpPeriodCtrlReadbackSyncReset[11]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_12_ "bSpPeriodCtrlReadbackSyncReset[12]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__12__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_13_ "bSpPeriodCtrlReadbackSyncReset[13]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_14_ "bSpPeriodCtrlReadbackSyncReset[14]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_15_ "bSpPeriodCtrlReadbackSyncReset[15]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_16_ "bSpPeriodCtrlReadbackSyncReset[16]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__16__i_5))
+ (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_17_ "bSpPeriodCtrlReadbackSyncReset[17]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__17__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_18_ "bSpPeriodCtrlReadbackSyncReset[18]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__18__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_19_ "bSpPeriodCtrlReadbackSyncReset[19]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_1_ "bSpPeriodCtrlReadbackSyncReset[1]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_20_ "bSpPeriodCtrlReadbackSyncReset[20]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_21_ "bSpPeriodCtrlReadbackSyncReset[21]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_22_ "bSpPeriodCtrlReadbackSyncReset[22]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_23_ "bSpPeriodCtrlReadbackSyncReset[23]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_24_ "bSpPeriodCtrlReadbackSyncReset[24]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_25_ "bSpPeriodCtrlReadbackSyncReset[25]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_26_ "bSpPeriodCtrlReadbackSyncReset[26]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__26__i_5))
+ (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_27_ "bSpPeriodCtrlReadbackSyncReset[27]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__27__i_6))
+ (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_28_ "bSpPeriodCtrlReadbackSyncReset[28]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__28__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_29_ "bSpPeriodCtrlReadbackSyncReset[29]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_2_ "bSpPeriodCtrlReadbackSyncReset[2]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_30_ "bSpPeriodCtrlReadbackSyncReset[30]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_31_ "bSpPeriodCtrlReadbackSyncReset[31]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_3_ "bSpPeriodCtrlReadbackSyncReset[3]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_4_ "bSpPeriodCtrlReadbackSyncReset[4]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_5_ "bSpPeriodCtrlReadbackSyncReset[5]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_6_ "bSpPeriodCtrlReadbackSyncReset[6]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_7_ "bSpPeriodCtrlReadbackSyncReset[7]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_8_ "bSpPeriodCtrlReadbackSyncReset[8]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__8__i_2))
+ (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadbackSyncReset_9_ "bSpPeriodCtrlReadbackSyncReset[9]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__9__i_5))
+ (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_0_ "bSpPeriodCtrlReadback[0]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_10_ "bSpPeriodCtrlReadback[10]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_11_ "bSpPeriodCtrlReadback[11]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_12_ "bSpPeriodCtrlReadback[12]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_13_ "bSpPeriodCtrlReadback[13]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_14_ "bSpPeriodCtrlReadback[14]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_15_ "bSpPeriodCtrlReadback[15]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_16_ "bSpPeriodCtrlReadback[16]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_17_ "bSpPeriodCtrlReadback[17]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_18_ "bSpPeriodCtrlReadback[18]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_19_ "bSpPeriodCtrlReadback[19]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_1_ "bSpPeriodCtrlReadback[1]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_20_ "bSpPeriodCtrlReadback[20]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_21_ "bSpPeriodCtrlReadback[21]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_22_ "bSpPeriodCtrlReadback[22]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_23_ "bSpPeriodCtrlReadback[23]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_24_ "bSpPeriodCtrlReadback[24]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_25_ "bSpPeriodCtrlReadback[25]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_26_ "bSpPeriodCtrlReadback[26]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_27_ "bSpPeriodCtrlReadback[27]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_28_ "bSpPeriodCtrlReadback[28]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_29_ "bSpPeriodCtrlReadback[29]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_2_ "bSpPeriodCtrlReadback[2]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_30_ "bSpPeriodCtrlReadback[30]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_31_ "bSpPeriodCtrlReadback[31]") (joined
+ (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_3_ "bSpPeriodCtrlReadback[3]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_4_ "bSpPeriodCtrlReadback[4]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_5_ "bSpPeriodCtrlReadback[5]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_6_ "bSpPeriodCtrlReadback[6]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_7_ "bSpPeriodCtrlReadback[7]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_8_ "bSpPeriodCtrlReadback[8]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bSpPeriodCtrlReadback_9_ "bSpPeriodCtrlReadback[9]") (joined
+ (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_0_ "bSptPeriodCtrlReadbackSyncReset[0]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__0__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_10_ "bSptPeriodCtrlReadbackSyncReset[10]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__10__i_4))
+ (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_11_ "bSptPeriodCtrlReadbackSyncReset[11]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__11__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_12_ "bSptPeriodCtrlReadbackSyncReset[12]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__12__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_13_ "bSptPeriodCtrlReadbackSyncReset[13]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__13__i_4))
+ (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_14_ "bSptPeriodCtrlReadbackSyncReset[14]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__14__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_15_ "bSptPeriodCtrlReadbackSyncReset[15]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__15__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_16_ "bSptPeriodCtrlReadbackSyncReset[16]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__16__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_17_ "bSptPeriodCtrlReadbackSyncReset[17]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__17__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_18_ "bSptPeriodCtrlReadbackSyncReset[18]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__18__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_19_ "bSptPeriodCtrlReadbackSyncReset[19]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__19__i_4))
+ (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_1_ "bSptPeriodCtrlReadbackSyncReset[1]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__1__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_20_ "bSptPeriodCtrlReadbackSyncReset[20]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__20__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_21_ "bSptPeriodCtrlReadbackSyncReset[21]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__21__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_22_ "bSptPeriodCtrlReadbackSyncReset[22]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__22__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_23_ "bSptPeriodCtrlReadbackSyncReset[23]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__23__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_24_ "bSptPeriodCtrlReadbackSyncReset[24]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__24__i_4))
+ (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_25_ "bSptPeriodCtrlReadbackSyncReset[25]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__25__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_26_ "bSptPeriodCtrlReadbackSyncReset[26]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__26__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_27_ "bSptPeriodCtrlReadbackSyncReset[27]") (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__27__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_28_ "bSptPeriodCtrlReadbackSyncReset[28]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__28__i_6))
+ (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_29_ "bSptPeriodCtrlReadbackSyncReset[29]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__29__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_2_ "bSptPeriodCtrlReadbackSyncReset[2]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__2__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_30_ "bSptPeriodCtrlReadbackSyncReset[30]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__30__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_31_ "bSptPeriodCtrlReadbackSyncReset[31]") (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__31__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_3_ "bSptPeriodCtrlReadbackSyncReset[3]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__3__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_4_ "bSptPeriodCtrlReadbackSyncReset[4]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__4__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_5_ "bSptPeriodCtrlReadbackSyncReset[5]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__5__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_6_ "bSptPeriodCtrlReadbackSyncReset[6]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__6__i_3))
+ (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_7_ "bSptPeriodCtrlReadbackSyncReset[7]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__7__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_8_ "bSptPeriodCtrlReadbackSyncReset[8]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__8__i_5))
+ (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadbackSyncReset_9_ "bSptPeriodCtrlReadbackSyncReset[9]") (joined
+ (portref I3 (instanceref bRegPortOutLcl_Data__9__i_2))
+ (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_0_ "bSptPeriodCtrlReadback[0]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_10_ "bSptPeriodCtrlReadback[10]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_11_ "bSptPeriodCtrlReadback[11]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_12_ "bSptPeriodCtrlReadback[12]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_13_ "bSptPeriodCtrlReadback[13]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_14_ "bSptPeriodCtrlReadback[14]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_15_ "bSptPeriodCtrlReadback[15]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_16_ "bSptPeriodCtrlReadback[16]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_17_ "bSptPeriodCtrlReadback[17]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_18_ "bSptPeriodCtrlReadback[18]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_19_ "bSptPeriodCtrlReadback[19]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_1_ "bSptPeriodCtrlReadback[1]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_20_ "bSptPeriodCtrlReadback[20]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_21_ "bSptPeriodCtrlReadback[21]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_22_ "bSptPeriodCtrlReadback[22]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_23_ "bSptPeriodCtrlReadback[23]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_24_ "bSptPeriodCtrlReadback[24]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_25_ "bSptPeriodCtrlReadback[25]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_26_ "bSptPeriodCtrlReadback[26]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_27_ "bSptPeriodCtrlReadback[27]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_28_ "bSptPeriodCtrlReadback[28]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_29_ "bSptPeriodCtrlReadback[29]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_2_ "bSptPeriodCtrlReadback[2]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_30_ "bSptPeriodCtrlReadback[30]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_31_ "bSptPeriodCtrlReadback[31]") (joined
+ (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_3_ "bSptPeriodCtrlReadback[3]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_4_ "bSptPeriodCtrlReadback[4]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_5_ "bSptPeriodCtrlReadback[5]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_6_ "bSptPeriodCtrlReadback[6]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_7_ "bSptPeriodCtrlReadback[7]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_8_ "bSptPeriodCtrlReadback[8]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
+ )
+ )
+ (net (rename bSptPeriodCtrlReadback_9_ "bSptPeriodCtrlReadback[9]") (joined
+ (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
+ (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
+ )
+ )
+ (net iDlyPush (joined
+ (portref I0 (instanceref Gen0_FDCEx_i_1__4))
+ (portref Q (instanceref RpCntHs_BlkIn_iDlyPush_reg))
+ )
+ )
+ (net mOffsetsValid (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
+ (portref I0 (instanceref Gen0_FDCEx_i_1__11))
+ (portref mOffsetsValid)
+ )
+ )
+ (net (rename mRpOffset_0_ "mRpOffset[0]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
+ (portref (member mRpOffset 39))
+ )
+ )
+ (net (rename mRpOffset_10_ "mRpOffset[10]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
+ (portref (member mRpOffset 29))
+ )
+ )
+ (net (rename mRpOffset_11_ "mRpOffset[11]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
+ (portref (member mRpOffset 28))
+ )
+ )
+ (net (rename mRpOffset_12_ "mRpOffset[12]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
+ (portref (member mRpOffset 27))
+ )
+ )
+ (net (rename mRpOffset_13_ "mRpOffset[13]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
+ (portref (member mRpOffset 26))
+ )
+ )
+ (net (rename mRpOffset_14_ "mRpOffset[14]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
+ (portref (member mRpOffset 25))
+ )
+ )
+ (net (rename mRpOffset_15_ "mRpOffset[15]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
+ (portref (member mRpOffset 24))
+ )
+ )
+ (net (rename mRpOffset_16_ "mRpOffset[16]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
+ (portref (member mRpOffset 23))
+ )
+ )
+ (net (rename mRpOffset_17_ "mRpOffset[17]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
+ (portref (member mRpOffset 22))
+ )
+ )
+ (net (rename mRpOffset_18_ "mRpOffset[18]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
+ (portref (member mRpOffset 21))
+ )
+ )
+ (net (rename mRpOffset_19_ "mRpOffset[19]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
+ (portref (member mRpOffset 20))
+ )
+ )
+ (net (rename mRpOffset_1_ "mRpOffset[1]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
+ (portref (member mRpOffset 38))
+ )
+ )
+ (net (rename mRpOffset_20_ "mRpOffset[20]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
+ (portref (member mRpOffset 19))
+ )
+ )
+ (net (rename mRpOffset_21_ "mRpOffset[21]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
+ (portref (member mRpOffset 18))
+ )
+ )
+ (net (rename mRpOffset_22_ "mRpOffset[22]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
+ (portref (member mRpOffset 17))
+ )
+ )
+ (net (rename mRpOffset_23_ "mRpOffset[23]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
+ (portref (member mRpOffset 16))
+ )
+ )
+ (net (rename mRpOffset_24_ "mRpOffset[24]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
+ (portref (member mRpOffset 15))
+ )
+ )
+ (net (rename mRpOffset_25_ "mRpOffset[25]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
+ (portref (member mRpOffset 14))
+ )
+ )
+ (net (rename mRpOffset_26_ "mRpOffset[26]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
+ (portref (member mRpOffset 13))
+ )
+ )
+ (net (rename mRpOffset_27_ "mRpOffset[27]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
+ (portref (member mRpOffset 12))
+ )
+ )
+ (net (rename mRpOffset_28_ "mRpOffset[28]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
+ (portref (member mRpOffset 11))
+ )
+ )
+ (net (rename mRpOffset_29_ "mRpOffset[29]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
+ (portref (member mRpOffset 10))
+ )
+ )
+ (net (rename mRpOffset_2_ "mRpOffset[2]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
+ (portref (member mRpOffset 37))
+ )
+ )
+ (net (rename mRpOffset_30_ "mRpOffset[30]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
+ (portref (member mRpOffset 9))
+ )
+ )
+ (net (rename mRpOffset_31_ "mRpOffset[31]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
+ (portref (member mRpOffset 8))
+ )
+ )
+ (net (rename mRpOffset_32_ "mRpOffset[32]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
+ (portref (member mRpOffset 7))
+ )
+ )
+ (net (rename mRpOffset_33_ "mRpOffset[33]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
+ (portref (member mRpOffset 6))
+ )
+ )
+ (net (rename mRpOffset_34_ "mRpOffset[34]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
+ (portref (member mRpOffset 5))
+ )
+ )
+ (net (rename mRpOffset_35_ "mRpOffset[35]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
+ (portref (member mRpOffset 4))
+ )
+ )
+ (net (rename mRpOffset_36_ "mRpOffset[36]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
+ (portref (member mRpOffset 3))
+ )
+ )
+ (net (rename mRpOffset_37_ "mRpOffset[37]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
+ (portref (member mRpOffset 2))
+ )
+ )
+ (net (rename mRpOffset_38_ "mRpOffset[38]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
+ (portref (member mRpOffset 1))
+ )
+ )
+ (net (rename mRpOffset_39_ "mRpOffset[39]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
+ (portref (member mRpOffset 0))
+ )
+ )
+ (net (rename mRpOffset_3_ "mRpOffset[3]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
+ (portref (member mRpOffset 36))
+ )
+ )
+ (net (rename mRpOffset_4_ "mRpOffset[4]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
+ (portref (member mRpOffset 35))
+ )
+ )
+ (net (rename mRpOffset_5_ "mRpOffset[5]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
+ (portref (member mRpOffset 34))
+ )
+ )
+ (net (rename mRpOffset_6_ "mRpOffset[6]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
+ (portref (member mRpOffset 33))
+ )
+ )
+ (net (rename mRpOffset_7_ "mRpOffset[7]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
+ (portref (member mRpOffset 32))
+ )
+ )
+ (net (rename mRpOffset_8_ "mRpOffset[8]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
+ (portref (member mRpOffset 31))
+ )
+ )
+ (net (rename mRpOffset_9_ "mRpOffset[9]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
+ (portref (member mRpOffset 30))
+ )
+ )
+ (net (rename mSpOffset_0_ "mSpOffset[0]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
+ (portref (member mSpOffset 39))
+ )
+ )
+ (net (rename mSpOffset_10_ "mSpOffset[10]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
+ (portref (member mSpOffset 29))
+ )
+ )
+ (net (rename mSpOffset_11_ "mSpOffset[11]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
+ (portref (member mSpOffset 28))
+ )
+ )
+ (net (rename mSpOffset_12_ "mSpOffset[12]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
+ (portref (member mSpOffset 27))
+ )
+ )
+ (net (rename mSpOffset_13_ "mSpOffset[13]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
+ (portref (member mSpOffset 26))
+ )
+ )
+ (net (rename mSpOffset_14_ "mSpOffset[14]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
+ (portref (member mSpOffset 25))
+ )
+ )
+ (net (rename mSpOffset_15_ "mSpOffset[15]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
+ (portref (member mSpOffset 24))
+ )
+ )
+ (net (rename mSpOffset_16_ "mSpOffset[16]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
+ (portref (member mSpOffset 23))
+ )
+ )
+ (net (rename mSpOffset_17_ "mSpOffset[17]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
+ (portref (member mSpOffset 22))
+ )
+ )
+ (net (rename mSpOffset_18_ "mSpOffset[18]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
+ (portref (member mSpOffset 21))
+ )
+ )
+ (net (rename mSpOffset_19_ "mSpOffset[19]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
+ (portref (member mSpOffset 20))
+ )
+ )
+ (net (rename mSpOffset_1_ "mSpOffset[1]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
+ (portref (member mSpOffset 38))
+ )
+ )
+ (net (rename mSpOffset_20_ "mSpOffset[20]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
+ (portref (member mSpOffset 19))
+ )
+ )
+ (net (rename mSpOffset_21_ "mSpOffset[21]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
+ (portref (member mSpOffset 18))
+ )
+ )
+ (net (rename mSpOffset_22_ "mSpOffset[22]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
+ (portref (member mSpOffset 17))
+ )
+ )
+ (net (rename mSpOffset_23_ "mSpOffset[23]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
+ (portref (member mSpOffset 16))
+ )
+ )
+ (net (rename mSpOffset_24_ "mSpOffset[24]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
+ (portref (member mSpOffset 15))
+ )
+ )
+ (net (rename mSpOffset_25_ "mSpOffset[25]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
+ (portref (member mSpOffset 14))
+ )
+ )
+ (net (rename mSpOffset_26_ "mSpOffset[26]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
+ (portref (member mSpOffset 13))
+ )
+ )
+ (net (rename mSpOffset_27_ "mSpOffset[27]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
+ (portref (member mSpOffset 12))
+ )
+ )
+ (net (rename mSpOffset_28_ "mSpOffset[28]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
+ (portref (member mSpOffset 11))
+ )
+ )
+ (net (rename mSpOffset_29_ "mSpOffset[29]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
+ (portref (member mSpOffset 10))
+ )
+ )
+ (net (rename mSpOffset_2_ "mSpOffset[2]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
+ (portref (member mSpOffset 37))
+ )
+ )
+ (net (rename mSpOffset_30_ "mSpOffset[30]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
+ (portref (member mSpOffset 9))
+ )
+ )
+ (net (rename mSpOffset_31_ "mSpOffset[31]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
+ (portref (member mSpOffset 8))
+ )
+ )
+ (net (rename mSpOffset_32_ "mSpOffset[32]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
+ (portref (member mSpOffset 7))
+ )
+ )
+ (net (rename mSpOffset_33_ "mSpOffset[33]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
+ (portref (member mSpOffset 6))
+ )
+ )
+ (net (rename mSpOffset_34_ "mSpOffset[34]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
+ (portref (member mSpOffset 5))
+ )
+ )
+ (net (rename mSpOffset_35_ "mSpOffset[35]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
+ (portref (member mSpOffset 4))
+ )
+ )
+ (net (rename mSpOffset_36_ "mSpOffset[36]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
+ (portref (member mSpOffset 3))
+ )
+ )
+ (net (rename mSpOffset_37_ "mSpOffset[37]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
+ (portref (member mSpOffset 2))
+ )
+ )
+ (net (rename mSpOffset_38_ "mSpOffset[38]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
+ (portref (member mSpOffset 1))
+ )
+ )
+ (net (rename mSpOffset_39_ "mSpOffset[39]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
+ (portref (member mSpOffset 0))
+ )
+ )
+ (net (rename mSpOffset_3_ "mSpOffset[3]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
+ (portref (member mSpOffset 36))
+ )
+ )
+ (net (rename mSpOffset_4_ "mSpOffset[4]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
+ (portref (member mSpOffset 35))
+ )
+ )
+ (net (rename mSpOffset_5_ "mSpOffset[5]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
+ (portref (member mSpOffset 34))
+ )
+ )
+ (net (rename mSpOffset_6_ "mSpOffset[6]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
+ (portref (member mSpOffset 33))
+ )
+ )
+ (net (rename mSpOffset_7_ "mSpOffset[7]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
+ (portref (member mSpOffset 32))
+ )
+ )
+ (net (rename mSpOffset_8_ "mSpOffset[8]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
+ (portref (member mSpOffset 31))
+ )
+ )
+ (net (rename mSpOffset_9_ "mSpOffset[9]") (joined
+ (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
+ (portref (member mSpOffset 30))
+ )
+ )
+ (net oDataValid (joined
+ (portref CE (instanceref sPpsClkCrossDelayVal_reg_0_))
+ (portref CE (instanceref sPpsClkCrossDelayVal_reg_1_))
+ (portref CE (instanceref sPpsClkCrossDelayVal_reg_2_))
+ (portref CE (instanceref sPpsClkCrossDelayVal_reg_3_))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
+ )
+ )
+ (net oPushToggle2 (joined
+ (portref I1 (instanceref Gen0_FDCEx_i_1__5))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
+ )
+ )
+ (net p_0_out (joined
+ (portref I0 (instanceref bSpOffsetStored_0__i_1))
+ (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_10_out (joined
+ (portref I0 (instanceref bSpOffsetStored_10__i_1))
+ (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_11_out (joined
+ (portref I0 (instanceref bSpOffsetStored_11__i_1))
+ (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_12_out (joined
+ (portref I0 (instanceref bSpOffsetStored_12__i_1))
+ (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_13_out (joined
+ (portref I0 (instanceref bSpOffsetStored_13__i_1))
+ (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_14_out (joined
+ (portref I0 (instanceref bSpOffsetStored_14__i_1))
+ (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_15_out (joined
+ (portref I0 (instanceref bSpOffsetStored_15__i_1))
+ (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_16_out (joined
+ (portref I0 (instanceref bSpOffsetStored_16__i_1))
+ (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_17_out (joined
+ (portref I0 (instanceref bSpOffsetStored_17__i_1))
+ (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_18_out (joined
+ (portref I0 (instanceref bSpOffsetStored_18__i_1))
+ (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_19_out (joined
+ (portref I0 (instanceref bSpOffsetStored_19__i_1))
+ (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net (rename p_1_in_10_ "p_1_in[10]") (joined
+ (portref D (instanceref bScratch_reg_10_))
+ (portref O (instanceref bScratch_10__i_1))
+ )
+ )
+ (net (rename p_1_in_11_ "p_1_in[11]") (joined
+ (portref D (instanceref bScratch_reg_11_))
+ (portref O (instanceref bScratch_11__i_1))
+ )
+ )
+ (net (rename p_1_in_13_ "p_1_in[13]") (joined
+ (portref D (instanceref bScratch_reg_13_))
+ (portref O (instanceref bScratch_13__i_1))
+ )
+ )
+ (net (rename p_1_in_14_ "p_1_in[14]") (joined
+ (portref D (instanceref bScratch_reg_14_))
+ (portref O (instanceref bScratch_14__i_1))
+ )
+ )
+ (net (rename p_1_in_15_ "p_1_in[15]") (joined
+ (portref D (instanceref bScratch_reg_15_))
+ (portref O (instanceref bScratch_15__i_1))
+ )
+ )
+ (net (rename p_1_in_16_ "p_1_in[16]") (joined
+ (portref D (instanceref bPpsClkCrossDelayVal_reg_0_))
+ (portref D (instanceref bScratch_reg_16_))
+ (portref O (instanceref bPpsClkCrossDelayVal_0__i_1))
+ )
+ )
+ (net (rename p_1_in_19_ "p_1_in[19]") (joined
+ (portref D (instanceref bPpsClkCrossDelayVal_reg_3_))
+ (portref D (instanceref bScratch_reg_19_))
+ (portref O (instanceref bPpsClkCrossDelayVal_3__i_2))
+ )
+ )
+ (net (rename p_1_in_1_ "p_1_in[1]") (joined
+ (portref D (instanceref bScratch_reg_1_))
+ (portref O (instanceref bScratch_1__i_1))
+ )
+ )
+ (net (rename p_1_in_20_ "p_1_in[20]") (joined
+ (portref D (instanceref bScratch_reg_20_))
+ (portref O (instanceref bScratch_20__i_1))
+ )
+ )
+ (net (rename p_1_in_21_ "p_1_in[21]") (joined
+ (portref D (instanceref bScratch_reg_21_))
+ (portref O (instanceref bScratch_21__i_1))
+ )
+ )
+ (net (rename p_1_in_22_ "p_1_in[22]") (joined
+ (portref D (instanceref bScratch_reg_22_))
+ (portref O (instanceref bScratch_22__i_1))
+ )
+ )
+ (net (rename p_1_in_23_ "p_1_in[23]") (joined
+ (portref D (instanceref bScratch_reg_23_))
+ (portref O (instanceref bScratch_23__i_1))
+ )
+ )
+ (net (rename p_1_in_24_ "p_1_in[24]") (joined
+ (portref D (instanceref bScratch_reg_24_))
+ (portref O (instanceref bScratch_24__i_1))
+ )
+ )
+ (net (rename p_1_in_25_ "p_1_in[25]") (joined
+ (portref D (instanceref bPulserEnableDelayVal_reg_1_))
+ (portref D (instanceref bScratch_reg_25_))
+ (portref O (instanceref bPulserEnableDelayVal_1__i_1))
+ )
+ )
+ (net (rename p_1_in_28_ "p_1_in[28]") (joined
+ (portref D (instanceref bScratch_reg_28_))
+ (portref O (instanceref bScratch_28__i_1))
+ )
+ )
+ (net (rename p_1_in_29_ "p_1_in[29]") (joined
+ (portref D (instanceref bScratch_reg_29_))
+ (portref O (instanceref bScratch_29__i_1))
+ )
+ )
+ (net (rename p_1_in_2_ "p_1_in[2]") (joined
+ (portref D (instanceref bScratch_reg_2_))
+ (portref O (instanceref bScratch_2__i_1))
+ )
+ )
+ (net (rename p_1_in_30_ "p_1_in[30]") (joined
+ (portref D (instanceref bScratch_reg_30_))
+ (portref O (instanceref bScratch_30__i_1))
+ )
+ )
+ (net (rename p_1_in_31_ "p_1_in[31]") (joined
+ (portref D (instanceref bScratch_reg_31_))
+ (portref O (instanceref bScratch_31__i_2))
+ )
+ )
+ (net (rename p_1_in_3_ "p_1_in[3]") (joined
+ (portref D (instanceref bScratch_reg_3_))
+ (portref O (instanceref bScratch_3__i_1))
+ )
+ )
+ (net (rename p_1_in_5_ "p_1_in[5]") (joined
+ (portref D (instanceref bScratch_reg_5_))
+ (portref O (instanceref bScratch_5__i_1))
+ )
+ )
+ (net (rename p_1_in_6_ "p_1_in[6]") (joined
+ (portref D (instanceref bScratch_reg_6_))
+ (portref O (instanceref bScratch_6__i_1))
+ )
+ )
+ (net (rename p_1_in_7_ "p_1_in[7]") (joined
+ (portref D (instanceref bScratch_reg_7_))
+ (portref O (instanceref bScratch_7__i_1))
+ )
+ )
+ (net (rename p_1_in_9_ "p_1_in[9]") (joined
+ (portref D (instanceref bScratch_reg_9_))
+ (portref O (instanceref bScratch_9__i_1))
+ )
+ )
+ (net p_1_out (joined
+ (portref I0 (instanceref bSpOffsetStored_1__i_1))
+ (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_20_out (joined
+ (portref I0 (instanceref bSpOffsetStored_20__i_1))
+ (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_21_out (joined
+ (portref I0 (instanceref bSpOffsetStored_21__i_1))
+ (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_22_out (joined
+ (portref I0 (instanceref bSpOffsetStored_22__i_1))
+ (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_23_out (joined
+ (portref I0 (instanceref bSpOffsetStored_23__i_1))
+ (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_24_out (joined
+ (portref I0 (instanceref bSpOffsetStored_24__i_1))
+ (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_25_out (joined
+ (portref I0 (instanceref bSpOffsetStored_25__i_1))
+ (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_26_out (joined
+ (portref I0 (instanceref bSpOffsetStored_26__i_1))
+ (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_27_out (joined
+ (portref I0 (instanceref bSpOffsetStored_27__i_1))
+ (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_28_out (joined
+ (portref I0 (instanceref bSpOffsetStored_28__i_1))
+ (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_29_out (joined
+ (portref I0 (instanceref bSpOffsetStored_29__i_1))
+ (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_2_out (joined
+ (portref I0 (instanceref bSpOffsetStored_2__i_1))
+ (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_30_out (joined
+ (portref I0 (instanceref bSpOffsetStored_30__i_1))
+ (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_31_out (joined
+ (portref I0 (instanceref bSpOffsetStored_31__i_1))
+ (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_32_out (joined
+ (portref I4 (instanceref bRegPortOutLcl_Data__0__i_4))
+ (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_33_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__1__i_3))
+ (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_34_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__2__i_2))
+ (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_35_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__3__i_2))
+ (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_36_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__4__i_2))
+ (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_37_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__5__i_3))
+ (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_38_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__6__i_2))
+ (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_39_out (joined
+ (portref I1 (instanceref bRegPortOutLcl_Data__7__i_2))
+ (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_3_out (joined
+ (portref I0 (instanceref bSpOffsetStored_3__i_1))
+ (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_40_out (joined
+ (portref I0 (instanceref bRpOffsetStored_0__i_1))
+ (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_41_out (joined
+ (portref I0 (instanceref bRpOffsetStored_1__i_1))
+ (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_42_out (joined
+ (portref I0 (instanceref bRpOffsetStored_2__i_1))
+ (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_43_out (joined
+ (portref I0 (instanceref bRpOffsetStored_3__i_1))
+ (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_44_out (joined
+ (portref I0 (instanceref bRpOffsetStored_4__i_1))
+ (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_45_out (joined
+ (portref I0 (instanceref bRpOffsetStored_5__i_1))
+ (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_46_out (joined
+ (portref I0 (instanceref bRpOffsetStored_6__i_1))
+ (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_47_out (joined
+ (portref I0 (instanceref bRpOffsetStored_7__i_1))
+ (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_48_out (joined
+ (portref I0 (instanceref bRpOffsetStored_8__i_1))
+ (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_49_out (joined
+ (portref I0 (instanceref bRpOffsetStored_9__i_1))
+ (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_4_out (joined
+ (portref I0 (instanceref bSpOffsetStored_4__i_1))
+ (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_50_out (joined
+ (portref I0 (instanceref bRpOffsetStored_10__i_1))
+ (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_51_out (joined
+ (portref I0 (instanceref bRpOffsetStored_11__i_1))
+ (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_52_out (joined
+ (portref I0 (instanceref bRpOffsetStored_12__i_1))
+ (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_53_out (joined
+ (portref I0 (instanceref bRpOffsetStored_13__i_1))
+ (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_54_out (joined
+ (portref I0 (instanceref bRpOffsetStored_14__i_1))
+ (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_55_out (joined
+ (portref I0 (instanceref bRpOffsetStored_15__i_1))
+ (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_56_out (joined
+ (portref I0 (instanceref bRpOffsetStored_16__i_1))
+ (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_57_out (joined
+ (portref I0 (instanceref bRpOffsetStored_17__i_1))
+ (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_58_out (joined
+ (portref I0 (instanceref bRpOffsetStored_18__i_1))
+ (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_59_out (joined
+ (portref I0 (instanceref bRpOffsetStored_19__i_1))
+ (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_5_out (joined
+ (portref I0 (instanceref bSpOffsetStored_5__i_1))
+ (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_60_out (joined
+ (portref I0 (instanceref bRpOffsetStored_20__i_1))
+ (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_61_out (joined
+ (portref I0 (instanceref bRpOffsetStored_21__i_1))
+ (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_62_out (joined
+ (portref I0 (instanceref bRpOffsetStored_22__i_1))
+ (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_63_out (joined
+ (portref I0 (instanceref bRpOffsetStored_23__i_1))
+ (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_64_out (joined
+ (portref I0 (instanceref bRpOffsetStored_24__i_1))
+ (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_65_out (joined
+ (portref I0 (instanceref bRpOffsetStored_25__i_1))
+ (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_66_out (joined
+ (portref I0 (instanceref bRpOffsetStored_26__i_1))
+ (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_67_out (joined
+ (portref I0 (instanceref bRpOffsetStored_27__i_1))
+ (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_68_out (joined
+ (portref I0 (instanceref bRpOffsetStored_28__i_1))
+ (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_69_out (joined
+ (portref I0 (instanceref bRpOffsetStored_29__i_1))
+ (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_6_out (joined
+ (portref I0 (instanceref bSpOffsetStored_6__i_1))
+ (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_70_out (joined
+ (portref I0 (instanceref bRpOffsetStored_30__i_1))
+ (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_71_out (joined
+ (portref I0 (instanceref bRpOffsetStored_31__i_1))
+ (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_72_out (joined
+ (portref I0 (instanceref bRpOffsetStored_32__i_1))
+ (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_73_out (joined
+ (portref I0 (instanceref bRpOffsetStored_33__i_1))
+ (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_74_out (joined
+ (portref I0 (instanceref bRpOffsetStored_34__i_1))
+ (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_75_out (joined
+ (portref I0 (instanceref bRpOffsetStored_35__i_1))
+ (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_76_out (joined
+ (portref I0 (instanceref bRpOffsetStored_36__i_1))
+ (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_77_out (joined
+ (portref I0 (instanceref bRpOffsetStored_37__i_1))
+ (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_78_out (joined
+ (portref I0 (instanceref bRpOffsetStored_38__i_1))
+ (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_79_out (joined
+ (portref I0 (instanceref bRpOffsetStored_39__i_2))
+ (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_7_out (joined
+ (portref I0 (instanceref bSpOffsetStored_7__i_1))
+ (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_8_out (joined
+ (portref I0 (instanceref bSpOffsetStored_8__i_1))
+ (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net p_9_out (joined
+ (portref I0 (instanceref bSpOffsetStored_9__i_1))
+ (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ )
+ )
+ (net rEnablePpsCrossing (joined
+ (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref rEnablePpsCrossing)
+ )
+ )
+ (net rEnableTdc (joined
+ (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref rEnableTdc)
+ )
+ )
+ (net rLoadRePulseCounts (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
+ (portref rLoadRePulseCounts)
+ )
+ )
+ (net rLoadRpCounts (joined
+ (portref Q (instanceref RpCntHs_BlkOut_oDataValid_reg))
+ (portref rLoadRpCounts)
+ )
+ )
+ (net rLoadRptCounts (joined
+ (portref Q (instanceref RptCntHs_BlkOut_oDataValid_reg))
+ (portref rLoadRptCounts)
+ )
+ )
+ (net rPpsPulseCaptured (joined
+ (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref rPpsPulseCaptured)
+ )
+ )
+ (net (rename rPulserEnableDelayValTemp_0_ "rPulserEnableDelayValTemp[0]") (joined
+ (portref D (instanceref rPulserEnableDelayVal_reg_0_))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename rPulserEnableDelayValTemp_1_ "rPulserEnableDelayValTemp[1]") (joined
+ (portref D (instanceref rPulserEnableDelayVal_reg_1_))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename rPulserEnableDelayValTemp_2_ "rPulserEnableDelayValTemp[2]") (joined
+ (portref D (instanceref rPulserEnableDelayVal_reg_2_))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename rPulserEnableDelayValTemp_3_ "rPulserEnableDelayValTemp[3]") (joined
+ (portref D (instanceref rPulserEnableDelayVal_reg_3_))
+ (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename rPulserEnableDelayVal_0_ "rPulserEnableDelayVal[0]") (joined
+ (portref Q (instanceref rPulserEnableDelayVal_reg_0_))
+ (portref (member rPulserEnableDelayVal 3))
+ )
+ )
+ (net (rename rPulserEnableDelayVal_1_ "rPulserEnableDelayVal[1]") (joined
+ (portref Q (instanceref rPulserEnableDelayVal_reg_1_))
+ (portref (member rPulserEnableDelayVal 2))
+ )
+ )
+ (net (rename rPulserEnableDelayVal_2_ "rPulserEnableDelayVal[2]") (joined
+ (portref Q (instanceref rPulserEnableDelayVal_reg_2_))
+ (portref (member rPulserEnableDelayVal 1))
+ )
+ )
+ (net (rename rPulserEnableDelayVal_3_ "rPulserEnableDelayVal[3]") (joined
+ (portref Q (instanceref rPulserEnableDelayVal_reg_3_))
+ (portref (member rPulserEnableDelayVal 0))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_0_ "rRePulseHighTimeInRClks[0]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 23))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_10_ "rRePulseHighTimeInRClks[10]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 13))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_11_ "rRePulseHighTimeInRClks[11]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 12))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_12_ "rRePulseHighTimeInRClks[12]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 11))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_13_ "rRePulseHighTimeInRClks[13]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 10))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_14_ "rRePulseHighTimeInRClks[14]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 9))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_15_ "rRePulseHighTimeInRClks[15]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 8))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_16_ "rRePulseHighTimeInRClks[16]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 7))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_17_ "rRePulseHighTimeInRClks[17]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 6))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_18_ "rRePulseHighTimeInRClks[18]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 5))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_19_ "rRePulseHighTimeInRClks[19]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 4))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_1_ "rRePulseHighTimeInRClks[1]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 22))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_20_ "rRePulseHighTimeInRClks[20]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 3))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_21_ "rRePulseHighTimeInRClks[21]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 2))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_22_ "rRePulseHighTimeInRClks[22]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 1))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_2_ "rRePulseHighTimeInRClks[2]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 21))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_3_ "rRePulseHighTimeInRClks[3]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 20))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_4_ "rRePulseHighTimeInRClks[4]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 19))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_5_ "rRePulseHighTimeInRClks[5]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 18))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_6_ "rRePulseHighTimeInRClks[6]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 17))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_7_ "rRePulseHighTimeInRClks[7]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 16))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_8_ "rRePulseHighTimeInRClks[8]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 15))
+ )
+ )
+ (net (rename rRePulseHighTimeInRClks_9_ "rRePulseHighTimeInRClks[9]") (joined
+ (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulseHighTimeInRClks 14))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_0_ "rRePulsePeriodInRClks[0]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 23))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_10_ "rRePulsePeriodInRClks[10]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 13))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_11_ "rRePulsePeriodInRClks[11]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 12))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_12_ "rRePulsePeriodInRClks[12]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 11))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_13_ "rRePulsePeriodInRClks[13]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 10))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_14_ "rRePulsePeriodInRClks[14]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 9))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_15_ "rRePulsePeriodInRClks[15]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 8))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_16_ "rRePulsePeriodInRClks[16]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 7))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_17_ "rRePulsePeriodInRClks[17]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 6))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_18_ "rRePulsePeriodInRClks[18]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 5))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_19_ "rRePulsePeriodInRClks[19]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 4))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_1_ "rRePulsePeriodInRClks[1]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 22))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_20_ "rRePulsePeriodInRClks[20]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 3))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_21_ "rRePulsePeriodInRClks[21]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 2))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_22_ "rRePulsePeriodInRClks[22]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 1))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_23_ "rRePulsePeriodInRClks[23]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 0))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_2_ "rRePulsePeriodInRClks[2]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 21))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_3_ "rRePulsePeriodInRClks[3]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 20))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_4_ "rRePulsePeriodInRClks[4]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 19))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_5_ "rRePulsePeriodInRClks[5]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 18))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_6_ "rRePulsePeriodInRClks[6]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 17))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_7_ "rRePulsePeriodInRClks[7]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 16))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_8_ "rRePulsePeriodInRClks[8]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 15))
+ )
+ )
+ (net (rename rRePulsePeriodInRClks_9_ "rRePulsePeriodInRClks[9]") (joined
+ (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member rRePulsePeriodInRClks 14))
+ )
+ )
+ (net rReRunEnable (joined
+ (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref rReRunEnable)
+ )
+ )
+ (net rResetTdc (joined
+ (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
+ (portref rResetTdc)
+ )
+ )
+ (net rResetTdcDone (joined
+ (portref D (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
+ (portref rResetTdcDone)
+ )
+ )
+ (net (rename rRpHighTimeInRClks_0_ "rRpHighTimeInRClks[0]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 15))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_10_ "rRpHighTimeInRClks[10]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 5))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_11_ "rRpHighTimeInRClks[11]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 4))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_12_ "rRpHighTimeInRClks[12]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 3))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_13_ "rRpHighTimeInRClks[13]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 2))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_14_ "rRpHighTimeInRClks[14]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 1))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_1_ "rRpHighTimeInRClks[1]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 14))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_2_ "rRpHighTimeInRClks[2]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 13))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_3_ "rRpHighTimeInRClks[3]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 12))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_4_ "rRpHighTimeInRClks[4]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 11))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_5_ "rRpHighTimeInRClks[5]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 10))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_6_ "rRpHighTimeInRClks[6]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 9))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_7_ "rRpHighTimeInRClks[7]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 8))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_8_ "rRpHighTimeInRClks[8]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 7))
+ )
+ )
+ (net (rename rRpHighTimeInRClks_9_ "rRpHighTimeInRClks[9]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref (member rRpHighTimeInRClks 6))
+ )
+ )
+ (net (rename rRpPeriodInRClks_0_ "rRpPeriodInRClks[0]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 15))
+ )
+ )
+ (net (rename rRpPeriodInRClks_10_ "rRpPeriodInRClks[10]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 5))
+ )
+ )
+ (net (rename rRpPeriodInRClks_11_ "rRpPeriodInRClks[11]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 4))
+ )
+ )
+ (net (rename rRpPeriodInRClks_12_ "rRpPeriodInRClks[12]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 3))
+ )
+ )
+ (net (rename rRpPeriodInRClks_13_ "rRpPeriodInRClks[13]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 2))
+ )
+ )
+ (net (rename rRpPeriodInRClks_14_ "rRpPeriodInRClks[14]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 1))
+ )
+ )
+ (net (rename rRpPeriodInRClks_15_ "rRpPeriodInRClks[15]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 0))
+ )
+ )
+ (net (rename rRpPeriodInRClks_1_ "rRpPeriodInRClks[1]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 14))
+ )
+ )
+ (net (rename rRpPeriodInRClks_2_ "rRpPeriodInRClks[2]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 13))
+ )
+ )
+ (net (rename rRpPeriodInRClks_3_ "rRpPeriodInRClks[3]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 12))
+ )
+ )
+ (net (rename rRpPeriodInRClks_4_ "rRpPeriodInRClks[4]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 11))
+ )
+ )
+ (net (rename rRpPeriodInRClks_5_ "rRpPeriodInRClks[5]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 10))
+ )
+ )
+ (net (rename rRpPeriodInRClks_6_ "rRpPeriodInRClks[6]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 9))
+ )
+ )
+ (net (rename rRpPeriodInRClks_7_ "rRpPeriodInRClks[7]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 8))
+ )
+ )
+ (net (rename rRpPeriodInRClks_8_ "rRpPeriodInRClks[8]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 7))
+ )
+ )
+ (net (rename rRpPeriodInRClks_9_ "rRpPeriodInRClks[9]") (joined
+ (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member rRpPeriodInRClks 6))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_0_ "rRptHighTimeInRClks[0]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 15))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_10_ "rRptHighTimeInRClks[10]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 5))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_11_ "rRptHighTimeInRClks[11]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 4))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_12_ "rRptHighTimeInRClks[12]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 3))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_13_ "rRptHighTimeInRClks[13]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 2))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_14_ "rRptHighTimeInRClks[14]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 1))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_1_ "rRptHighTimeInRClks[1]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 14))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_2_ "rRptHighTimeInRClks[2]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 13))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_3_ "rRptHighTimeInRClks[3]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 12))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_4_ "rRptHighTimeInRClks[4]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 11))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_5_ "rRptHighTimeInRClks[5]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 10))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_6_ "rRptHighTimeInRClks[6]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 9))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_7_ "rRptHighTimeInRClks[7]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 8))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_8_ "rRptHighTimeInRClks[8]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 7))
+ )
+ )
+ (net (rename rRptHighTimeInRClks_9_ "rRptHighTimeInRClks[9]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref (member rRptHighTimeInRClks 6))
+ )
+ )
+ (net (rename rRptPeriodInRClks_0_ "rRptPeriodInRClks[0]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 15))
+ )
+ )
+ (net (rename rRptPeriodInRClks_10_ "rRptPeriodInRClks[10]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 5))
+ )
+ )
+ (net (rename rRptPeriodInRClks_11_ "rRptPeriodInRClks[11]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 4))
+ )
+ )
+ (net (rename rRptPeriodInRClks_12_ "rRptPeriodInRClks[12]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 3))
+ )
+ )
+ (net (rename rRptPeriodInRClks_13_ "rRptPeriodInRClks[13]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 2))
+ )
+ )
+ (net (rename rRptPeriodInRClks_14_ "rRptPeriodInRClks[14]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 1))
+ )
+ )
+ (net (rename rRptPeriodInRClks_15_ "rRptPeriodInRClks[15]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 0))
+ )
+ )
+ (net (rename rRptPeriodInRClks_1_ "rRptPeriodInRClks[1]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 14))
+ )
+ )
+ (net (rename rRptPeriodInRClks_2_ "rRptPeriodInRClks[2]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 13))
+ )
+ )
+ (net (rename rRptPeriodInRClks_3_ "rRptPeriodInRClks[3]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 12))
+ )
+ )
+ (net (rename rRptPeriodInRClks_4_ "rRptPeriodInRClks[4]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 11))
+ )
+ )
+ (net (rename rRptPeriodInRClks_5_ "rRptPeriodInRClks[5]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 10))
+ )
+ )
+ (net (rename rRptPeriodInRClks_6_ "rRptPeriodInRClks[6]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 9))
+ )
+ )
+ (net (rename rRptPeriodInRClks_7_ "rRptPeriodInRClks[7]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 8))
+ )
+ )
+ (net (rename rRptPeriodInRClks_8_ "rRptPeriodInRClks[8]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 7))
+ )
+ )
+ (net (rename rRptPeriodInRClks_9_ "rRptPeriodInRClks[9]") (joined
+ (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member rRptPeriodInRClks 6))
+ )
+ )
+ (net sLoadSpCounts (joined
+ (portref Q (instanceref SpCntHs_BlkOut_oDataValid_reg))
+ (portref sLoadSpCounts)
+ )
+ )
+ (net sLoadSptCounts (joined
+ (portref Q (instanceref SptCntHs_BlkOut_oDataValid_reg))
+ (portref sLoadSptCounts)
+ )
+ )
+ (net (rename sPpsClkCrossDelayValTemp_0_ "sPpsClkCrossDelayValTemp[0]") (joined
+ (portref D (instanceref sPpsClkCrossDelayVal_reg_0_))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename sPpsClkCrossDelayValTemp_1_ "sPpsClkCrossDelayValTemp[1]") (joined
+ (portref D (instanceref sPpsClkCrossDelayVal_reg_1_))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename sPpsClkCrossDelayValTemp_2_ "sPpsClkCrossDelayValTemp[2]") (joined
+ (portref D (instanceref sPpsClkCrossDelayVal_reg_2_))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename sPpsClkCrossDelayValTemp_3_ "sPpsClkCrossDelayValTemp[3]") (joined
+ (portref D (instanceref sPpsClkCrossDelayVal_reg_3_))
+ (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ )
+ )
+ (net (rename sPpsClkCrossDelayVal_0_ "sPpsClkCrossDelayVal[0]") (joined
+ (portref Q (instanceref sPpsClkCrossDelayVal_reg_0_))
+ (portref (member sPpsClkCrossDelayVal 3))
+ )
+ )
+ (net (rename sPpsClkCrossDelayVal_1_ "sPpsClkCrossDelayVal[1]") (joined
+ (portref Q (instanceref sPpsClkCrossDelayVal_reg_1_))
+ (portref (member sPpsClkCrossDelayVal 2))
+ )
+ )
+ (net (rename sPpsClkCrossDelayVal_2_ "sPpsClkCrossDelayVal[2]") (joined
+ (portref Q (instanceref sPpsClkCrossDelayVal_reg_2_))
+ (portref (member sPpsClkCrossDelayVal 1))
+ )
+ )
+ (net (rename sPpsClkCrossDelayVal_3_ "sPpsClkCrossDelayVal[3]") (joined
+ (portref Q (instanceref sPpsClkCrossDelayVal_reg_3_))
+ (portref (member sPpsClkCrossDelayVal 0))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_0_ "sSpHighTimeInSClks[0]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 15))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_10_ "sSpHighTimeInSClks[10]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 5))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_11_ "sSpHighTimeInSClks[11]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 4))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_12_ "sSpHighTimeInSClks[12]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 3))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_13_ "sSpHighTimeInSClks[13]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 2))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_14_ "sSpHighTimeInSClks[14]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 1))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_1_ "sSpHighTimeInSClks[1]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 14))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_2_ "sSpHighTimeInSClks[2]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 13))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_3_ "sSpHighTimeInSClks[3]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 12))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_4_ "sSpHighTimeInSClks[4]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 11))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_5_ "sSpHighTimeInSClks[5]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 10))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_6_ "sSpHighTimeInSClks[6]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 9))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_7_ "sSpHighTimeInSClks[7]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 8))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_8_ "sSpHighTimeInSClks[8]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 7))
+ )
+ )
+ (net (rename sSpHighTimeInSClks_9_ "sSpHighTimeInSClks[9]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref (member sSpHighTimeInSClks 6))
+ )
+ )
+ (net (rename sSpPeriodInSClks_0_ "sSpPeriodInSClks[0]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 15))
+ )
+ )
+ (net (rename sSpPeriodInSClks_10_ "sSpPeriodInSClks[10]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 5))
+ )
+ )
+ (net (rename sSpPeriodInSClks_11_ "sSpPeriodInSClks[11]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 4))
+ )
+ )
+ (net (rename sSpPeriodInSClks_12_ "sSpPeriodInSClks[12]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 3))
+ )
+ )
+ (net (rename sSpPeriodInSClks_13_ "sSpPeriodInSClks[13]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 2))
+ )
+ )
+ (net (rename sSpPeriodInSClks_14_ "sSpPeriodInSClks[14]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 1))
+ )
+ )
+ (net (rename sSpPeriodInSClks_15_ "sSpPeriodInSClks[15]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 0))
+ )
+ )
+ (net (rename sSpPeriodInSClks_1_ "sSpPeriodInSClks[1]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 14))
+ )
+ )
+ (net (rename sSpPeriodInSClks_2_ "sSpPeriodInSClks[2]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 13))
+ )
+ )
+ (net (rename sSpPeriodInSClks_3_ "sSpPeriodInSClks[3]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 12))
+ )
+ )
+ (net (rename sSpPeriodInSClks_4_ "sSpPeriodInSClks[4]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 11))
+ )
+ )
+ (net (rename sSpPeriodInSClks_5_ "sSpPeriodInSClks[5]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 10))
+ )
+ )
+ (net (rename sSpPeriodInSClks_6_ "sSpPeriodInSClks[6]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 9))
+ )
+ )
+ (net (rename sSpPeriodInSClks_7_ "sSpPeriodInSClks[7]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 8))
+ )
+ )
+ (net (rename sSpPeriodInSClks_8_ "sSpPeriodInSClks[8]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 7))
+ )
+ )
+ (net (rename sSpPeriodInSClks_9_ "sSpPeriodInSClks[9]") (joined
+ (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member sSpPeriodInSClks 6))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_0_ "sSptHighTimeInSClks[0]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 15))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_10_ "sSptHighTimeInSClks[10]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 5))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_11_ "sSptHighTimeInSClks[11]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 4))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_12_ "sSptHighTimeInSClks[12]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 3))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_13_ "sSptHighTimeInSClks[13]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 2))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_14_ "sSptHighTimeInSClks[14]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 1))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_1_ "sSptHighTimeInSClks[1]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 14))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_2_ "sSptHighTimeInSClks[2]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 13))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_3_ "sSptHighTimeInSClks[3]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 12))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_4_ "sSptHighTimeInSClks[4]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 11))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_5_ "sSptHighTimeInSClks[5]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 10))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_6_ "sSptHighTimeInSClks[6]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 9))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_7_ "sSptHighTimeInSClks[7]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 8))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_8_ "sSptHighTimeInSClks[8]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 7))
+ )
+ )
+ (net (rename sSptHighTimeInSClks_9_ "sSptHighTimeInSClks[9]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
+ (portref (member sSptHighTimeInSClks 6))
+ )
+ )
+ (net (rename sSptPeriodInSClks_0_ "sSptPeriodInSClks[0]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 15))
+ )
+ )
+ (net (rename sSptPeriodInSClks_10_ "sSptPeriodInSClks[10]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 5))
+ )
+ )
+ (net (rename sSptPeriodInSClks_11_ "sSptPeriodInSClks[11]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 4))
+ )
+ )
+ (net (rename sSptPeriodInSClks_12_ "sSptPeriodInSClks[12]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 3))
+ )
+ )
+ (net (rename sSptPeriodInSClks_13_ "sSptPeriodInSClks[13]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 2))
+ )
+ )
+ (net (rename sSptPeriodInSClks_14_ "sSptPeriodInSClks[14]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 1))
+ )
+ )
+ (net (rename sSptPeriodInSClks_15_ "sSptPeriodInSClks[15]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 0))
+ )
+ )
+ (net (rename sSptPeriodInSClks_1_ "sSptPeriodInSClks[1]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 14))
+ )
+ )
+ (net (rename sSptPeriodInSClks_2_ "sSptPeriodInSClks[2]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 13))
+ )
+ )
+ (net (rename sSptPeriodInSClks_3_ "sSptPeriodInSClks[3]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 12))
+ )
+ )
+ (net (rename sSptPeriodInSClks_4_ "sSptPeriodInSClks[4]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 11))
+ )
+ )
+ (net (rename sSptPeriodInSClks_5_ "sSptPeriodInSClks[5]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 10))
+ )
+ )
+ (net (rename sSptPeriodInSClks_6_ "sSptPeriodInSClks[6]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 9))
+ )
+ )
+ (net (rename sSptPeriodInSClks_7_ "sSptPeriodInSClks[7]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 8))
+ )
+ )
+ (net (rename sSptPeriodInSClks_8_ "sSptPeriodInSClks[8]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 7))
+ )
+ )
+ (net (rename sSptPeriodInSClks_9_ "sSptPeriodInSClks[9]") (joined
+ (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
+ (portref (member sSptPeriodInSClks 6))
+ )
+ )
+ )
+ )
+ )
+ )
+(comment "Reference To The Cell Of Highest Level")
+
+ (design SyncRegsIfc
+ (cellref SyncRegsIfc (libraryref work))
+ (property part (string "xc7z100ffg900-2"))
+ )
+)
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf
new file mode 100644
index 000000000..9679f2c63
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcCore.edf
Binary files differ
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd
new file mode 100644
index 000000000..6535bbd04
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcTop.vhd
@@ -0,0 +1,1147 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright 2018 Ettus Research, a National Instruments Company
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+--
+-- Purpose:
+--
+-- This top level module orchestrates both of the TDC Cores for the RP and SP. It
+-- handles PPS capture, resets, re-run logic, and PPS crossing logic. The guts of the TDC
+-- are all located in the Cores.
+--
+-- This file (and the Cores) follows exactly the "TDC Detail" diagram from this document:
+-- //MI/RF/HW/USRP/N310/HWCode/Common/Synchronization/design/Diagrams.vsdx
+--
+--
+--
+-- To control this module:
+-- 0) Default values expected to be driven on the control inputs:
+-- aReset <= true
+-- rResetTdc <= true
+-- rEnableTdc <= false
+-- rReRunEnable <= false
+-- rEnablePpsCrossing <= false
+-- sPpsClkCrossDelayVal <= don't care
+-- Prior to starting the core, the Sync Pulse counters must be loaded. Apply the
+-- correct count values to rRpPeriodInRClks, etc, and then pulse the load bit for
+-- each RP and SP. It is critical that this step is performed before de-asserting
+-- reset.
+--
+-- 1) De-assert the global reset, aReset, as well as the synchronous reset, rResetTdc,
+-- after all clocks are active and stable. Wait until rResetTdcDone is de-asserted.
+-- If it doesn't de-assert, then one of your clocks isn't running.
+--
+-- 2) At any point after rResetTdcDone de-asserts it is safe to assert rEnableTdc.
+-- The rPpsPulse input is now actively listening for PPS activity and the TDC
+-- will begin on the first PPS pulse received. After a PPS is received, the
+-- rPpsPulseCaptured bit will assert and will remain asserted until aReset or
+-- rResetTdc is asserted.
+--
+-- 3) When the TDC measurement completes, mRpOffsetDone and mSpOffsetDone will assert
+-- (not necessarily at the same time). The results of the measurements will be valid
+-- on mRpOffset and mSpOffset.
+--
+-- 4) To cross the PPS trigger into the SampleClk domain, first write the correct delay
+-- value to sPpsClkCrossDelayVal. Then (or at the same time), enable the crossing
+-- logic by asserting rEnablePpsCrossing. All subsequent PPS pulses will be crossed
+-- deterministically. Although not the typical use case, sPpsClkCrossDelayVal can
+-- be adjusted on the fly without producing output glitches, although output pulses
+-- may be skipped.
+--
+-- 5) To run the measurement again, assert the rReRunEnable input and capture the new
+-- offsets whenever mRpOffsetValid or mSpOffsetValid asserts.
+--
+--
+--
+-- Sync Pulse = RP and SP, which are the repeated pulses that are some integer
+-- divisor of the Reference and Sample clocks. RP = Reference Pulse in the
+-- RefClk domain. SP = Repeated TClk pulse in the SampleClk domain.
+--
+--
+-- Clock period relationship requirements to meet system concerns:
+-- 1) MeasClkPeriod < 2*RefClkPeriod
+-- 2) MeasClkPeriod < 4*SampleClkPeriod
+--
+--
+-- vreview_group Tdc
+-------------------------------------------------------------------------------
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+ use ieee.math_real.all;
+
+entity TdcTop is
+ generic (
+ -- Determines the maximum number of bits required to create the restart
+ -- pulser. This value is based off of the RefClk and RePulse rates.
+ kRClksPerRePulsePeriodBitsMax : integer range 3 to 32 := 24;
+ -- Determines the maximum number of bits required to create the Gated and Freerunning
+ -- sync pulsers. This value is based off of the RefClk and SyncPulse rates.
+ kRClksPerRpPeriodBitsMax : integer range 3 to 16 := 16;
+ -- This value is based off of the SampleClk and SyncPulse rates.
+ kSClksPerSpPeriodBitsMax : integer range 3 to 16 := 16;
+ -- Number of MeasClk periods required to count one period of RP or SP (in bits).
+ kPulsePeriodCntSize : integer := 13;
+ -- Number of FreqRef periods to be measured (in bits).
+ kFreqRefPeriodsToCheckSize: integer := 17;
+ -- Number of Sync Pulse Periods to be timestamped (in bits).
+ kSyncPeriodsToStampSize : integer := 10
+ );
+ port (
+
+ -- Clocks and Resets : --------------------------------------------------------------
+ -- Asynchronous global reset.
+ aReset : in boolean;
+ -- Reference Clock
+ RefClk : in std_logic;
+ -- Sample Clock
+ SampleClk : in std_logic;
+ -- Measurement Clock must run at a very specific frequency, determined by the
+ -- SampleClk, RefClk, and Sync Pulse rates... oh and a lot of math/luck.
+ MeasClk : in std_logic;
+
+
+ -- Controls and Status : ------------------------------------------------------------
+ -- Soft reset for the module. Wait until rResetTdcDone asserts before de-asserting
+ -- the reset.
+ rResetTdc : in boolean;
+ rResetTdcDone : out boolean;
+ -- Once enabled, the TDC waits for the next PPS pulse to begin measurements. Leave
+ -- this signal asserted for the measurement duration (there is no need to de-assert
+ -- it unless you want to capture a different PPS edge).
+ rEnableTdc : in boolean;
+ -- Assert this bit to allow the TDC to perform repeated measurements.
+ rReRunEnable : in boolean;
+
+ -- Only required to pulse 1 RefClk cycle.
+ rPpsPulse : in boolean;
+ -- Debug, held asserted when pulse is captured.
+ rPpsPulseCaptured : out boolean;
+
+ -- Programmable value for delaying the RP and SP pulsers from when the Restart
+ -- Pulser begins.
+ rPulserEnableDelayVal : in unsigned(3 downto 0);
+
+
+ -- Crossing PPS into Sample Clock : -------------------------------------------------
+ -- Enable crossing rPpsPulse into SampleClk domain. This should remain de-asserted
+ -- until the TDC measurements are complete and sPpsClkCrossDelayVal is written.
+ rEnablePpsCrossing : in boolean;
+ -- Programmable delay value for crossing clock domains. This is used to compensate
+ -- for differences in sSP pulses across modules. This value is typically set once
+ -- after running initial synchronization.
+ sPpsClkCrossDelayVal : in unsigned(3 downto 0);
+ -- PPS pulse output on the SampleClk domain.
+ sPpsPulse : out boolean;
+
+
+ -- FTDC Measurement Results : -------------------------------------------------------
+ -- Final FTDC measurements in MeasClk ticks. Done will assert when *Offset
+ -- becomes valid and will remain asserted until aReset or rResetTdc asserts.
+ -- FXP<+40,13> where kPulsePeriodCntSize is the number of integer bits.
+ mRpOffset : out unsigned(kPulsePeriodCntSize+
+ kSyncPeriodsToStampSize+
+ kFreqRefPeriodsToCheckSize-1 downto 0);
+ mSpOffset : out unsigned(kPulsePeriodCntSize+
+ kSyncPeriodsToStampSize+
+ kFreqRefPeriodsToCheckSize-1 downto 0);
+ mOffsetsDone : out boolean;
+ mOffsetsValid : out boolean;
+
+
+ -- Setup for Pulsers : --------------------------------------------------------------
+ -- Only load these counts when rResetTdc is asserted and rEnableTdc is de-asserted!!!
+ -- If both of the above conditions are met, load the counts by pulsing Load
+ -- when the counts are valid. It is not necessary to keep the count values valid
+ -- after pulsing Load.
+ rLoadRePulseCounts : in boolean; -- RePulse
+ rRePulsePeriodInRClks : in unsigned(kRClksPerRePulsePeriodBitsMax - 1 downto 0);
+ rRePulseHighTimeInRClks : in unsigned(kRClksPerRePulsePeriodBitsMax - 1 downto 0);
+ rLoadRpCounts : in boolean; -- RP
+ rRpPeriodInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0);
+ rRpHighTimeInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0);
+ rLoadRptCounts : in boolean; -- RP-transfer
+ rRptPeriodInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0);
+ rRptHighTimeInRClks : in unsigned(kRClksPerRpPeriodBitsMax - 1 downto 0);
+ sLoadSpCounts : in boolean; -- SP
+ sSpPeriodInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0);
+ sSpHighTimeInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0);
+ sLoadSptCounts : in boolean; -- SP-transfer
+ sSptPeriodInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0);
+ sSptHighTimeInSClks : in unsigned(kSClksPerSpPeriodBitsMax - 1 downto 0);
+
+
+ -- Sync Pulse Outputs : -------------------------------------------------------------
+ -- The repeating pulses can be useful for many things, including passing triggers.
+ -- The rising edges will always have a fixed (but unknown) phase relationship to one
+ -- another. This fixed phase relationship is valid across daughterboards and all
+ -- modules using the same Reference Clock and Sample Clock rates and sources.
+ rRpTransfer : out boolean;
+ sSpTransfer : out boolean;
+
+ -- Pin bouncers out and in. Must go to unused and unconnected pins on the FPGA!
+ rGatedPulseToPin : inout std_logic;
+ sGatedPulseToPin : inout std_logic
+ );
+end TdcTop;
+
+
+architecture struct of TdcTop is
+
+ component TdcCore
+ generic (
+ kSourceClksPerPulseMaxBits : integer range 3 to 16 := 16;
+ kPulsePeriodCntSize : integer := 13;
+ kFreqRefPeriodsToCheckSize : integer := 17;
+ kSyncPeriodsToStampSize : integer := 10);
+ port (
+ aReset : in boolean;
+ MeasClk : in std_logic;
+ mResetPeriodMeas : in boolean;
+ mPeriodMeasDone : out boolean;
+ mResetTdcMeas : in boolean;
+ mRunTdcMeas : in boolean;
+ mGatedPulse : out boolean;
+ mAvgOffset : out unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0);
+ mAvgOffsetDone : out boolean;
+ mAvgOffsetValid : out boolean;
+ SourceClk : in std_logic;
+ sResetTdc : in boolean;
+ sSyncPulseLoadCnt : in boolean;
+ sSyncPulsePeriod : in unsigned(kSourceClksPerPulseMaxBits-1 downto 0);
+ sSyncPulseHighTime : in unsigned(kSourceClksPerPulseMaxBits-1 downto 0);
+ sSyncPulseEnable : in boolean;
+ sGatedPulse : out boolean;
+ sGatedPulseToPin : inout std_logic);
+ end component;
+
+ --vhook_sigstart
+ signal mRP: boolean;
+ signal mRpOffsetDoneLcl: boolean;
+ signal mRpOffsetValidLcl: boolean;
+ signal mRunTdc: boolean;
+ signal mSP: boolean;
+ signal mSpOffsetDoneLcl: boolean;
+ signal mSpOffsetValidLcl: boolean;
+ signal rCrossTrigRFI: boolean;
+ signal rGatedCptrPulseIn: boolean;
+ signal rRePulse: boolean;
+ signal rRePulseEnable: boolean;
+ signal rRpEnable: boolean;
+ signal rRptPulse: boolean;
+ signal sSpEnable: boolean;
+ signal sSptPulse: boolean;
+ --vhook_sigend
+
+ signal sSpEnable_ms : boolean;
+
+ -- Delay chain for enables.
+ constant kDelaySizeForRpEnable : integer := 15;
+ constant kAddtlDelayForSpEnable : integer := 3;
+ signal rSyncPulseEnableDly :
+ std_logic_vector(kDelaySizeForRpEnable+
+ kAddtlDelayForSpEnable-1 downto 0) := (others => '0');
+ -- Adding kAddtlDelayForSpEnable stages, so this vector needs to handle one extra
+ -- bit of range (hence no -1 downto 0).
+ signal rSyncPulseEnableDlyVal : unsigned(rPulserEnableDelayVal'length downto 0);
+
+ signal rResetTdcFlop_ms, rResetTdcFlop,
+ rResetTdcDone_ms,
+ rSpEnable,
+ mRunTdcEnable_ms, mRunTdcEnable,
+ mRunTdcEnableDly, mRunTdcEnableRe,
+ mResetTdc_ms, mResetTdc,
+ sResetTdc_ms, sResetTdc,
+ mRpValidStored, mSpValidStored,
+ mOffsetsValidLcl,
+ rPpsPulseDly, rPpsPulseRe,
+ mReRunEnable_ms, mReRunEnable : boolean;
+
+ signal rPpsCaptured : std_logic;
+
+ type EnableFsmState_t is (Disabled, WaitForRunComplete, ReRuns);
+ signal mEnableState : EnableFsmState_t;
+
+ attribute ASYNC_REG : string;
+ attribute ASYNC_REG of sSpEnable_ms : signal is "true";
+ attribute ASYNC_REG of sSpEnable : signal is "true";
+ attribute ASYNC_REG of rResetTdcFlop_ms : signal is "true";
+ attribute ASYNC_REG of rResetTdcFlop : signal is "true";
+ attribute ASYNC_REG of rResetTdcDone_ms : signal is "true";
+ attribute ASYNC_REG of rResetTdcDone : signal is "true";
+ attribute ASYNC_REG of mRunTdcEnable_ms : signal is "true";
+ attribute ASYNC_REG of mRunTdcEnable : signal is "true";
+ attribute ASYNC_REG of mResetTdc_ms : signal is "true";
+ attribute ASYNC_REG of mResetTdc : signal is "true";
+ attribute ASYNC_REG of sResetTdc_ms : signal is "true";
+ attribute ASYNC_REG of sResetTdc : signal is "true";
+ attribute ASYNC_REG of mReRunEnable_ms : signal is "true";
+ attribute ASYNC_REG of mReRunEnable : signal is "true";
+
+begin
+
+
+ -- Generate Resets : ------------------------------------------------------------------
+ -- Double-sync the reset to the MeasClk domain and then back to the RefClk domain to
+ -- prove it made it all the way into the TDC. Also move it into the SampleClk domain.
+ -- ------------------------------------------------------------------------------------
+ GenResets : process(aReset, RefClk)
+ begin
+ if aReset then
+ rResetTdcFlop_ms <= true;
+ rResetTdcFlop <= true;
+ rResetTdcDone_ms <= true;
+ rResetTdcDone <= true;
+ elsif rising_edge(RefClk) then
+ -- Run this through a double-sync in case the user defaults it to false, which
+ -- could cause rResetTdcFlop_ms to go meta-stable.
+ rResetTdcFlop_ms <= rResetTdc;
+ rResetTdcFlop <= rResetTdcFlop_ms;
+ -- Second double-sync to move the reset from the MeasClk domain back to RefClk.
+ rResetTdcDone_ms <= mResetTdc;
+ rResetTdcDone <= rResetTdcDone_ms;
+ end if;
+ end process;
+
+ GenResetsMeasClk : process(aReset, MeasClk)
+ begin
+ if aReset then
+ mResetTdc_ms <= true;
+ mResetTdc <= true;
+ elsif rising_edge(MeasClk) then
+ -- Move the reset from the RefClk to the MeasClk domain.
+ mResetTdc_ms <= rResetTdcFlop;
+ mResetTdc <= mResetTdc_ms;
+ end if;
+ end process;
+
+ GenResetsSampleClk : process(aReset, SampleClk)
+ begin
+ if aReset then
+ sResetTdc_ms <= true;
+ sResetTdc <= true;
+ elsif rising_edge(SampleClk) then
+ -- Move the reset from the RefClk to the SampleClk domain.
+ sResetTdc_ms <= rResetTdcFlop;
+ sResetTdc <= sResetTdc_ms;
+ end if;
+ end process;
+
+
+ -- Generate Enables for TDCs : --------------------------------------------------------
+ -- When the TDC is enabled by asserting rEnableTdc, we start "listening" for a PPS
+ -- rising edge to occur. We capture the first edge we see and then keep the all the
+ -- enables asserted until the TDC is disabled.
+ -- ------------------------------------------------------------------------------------
+ rPpsPulseRe <= rPpsPulse and not rPpsPulseDly;
+
+ EnableTdc : process(aReset, RefClk)
+ begin
+ if aReset then
+ rPpsPulseDly <= false;
+ rPpsCaptured <= '0';
+ rSyncPulseEnableDly <= (others => '0');
+ elsif rising_edge(RefClk) then
+ -- RE detector for PPS to ONLY trigger on the edge and not accidentally half
+ -- way through the high time.
+ rPpsPulseDly <= rPpsPulse;
+ -- When the TDC is enabled we capture the first PPS. This starts the Sync Pulses
+ -- (RP / SP) as well as enables the TDC measurement for capturing edges. Note
+ -- that this is independent from any synchronous reset such that we can control
+ -- the PPS capture and the edge capture independently.
+ if rEnableTdc then
+ if rPpsPulseRe then
+ rPpsCaptured <= '1';
+ end if;
+ else
+ rPpsCaptured <= '0';
+ rSyncPulseEnableDly <= (others => '0');
+ end if;
+
+ -- Delay chain for the enable bits. Shift left low to high.
+ rSyncPulseEnableDly <=
+ rSyncPulseEnableDly(rSyncPulseEnableDly'high-1 downto 0) & rPpsCaptured;
+ end if;
+ end process;
+
+ rSyncPulseEnableDlyVal <= resize(rPulserEnableDelayVal, rSyncPulseEnableDlyVal'length);
+
+ -- Enables for the RePulse/RP/SP. The RePulse enable must be asserted two cycles
+ -- before the other enables to allow the TDC to start running before the RP/SP begin.
+ rRePulseEnable <= rPpsCaptured = '1'; -- no delay
+ rRpEnable <= rSyncPulseEnableDly(to_integer(rSyncPulseEnableDlyVal)) = '1';
+ rSpEnable <= rSyncPulseEnableDly(to_integer(rSyncPulseEnableDlyVal)+kAddtlDelayForSpEnable-1) = '1';
+
+ -- Local to output.
+ rPpsPulseCaptured <= rPpsCaptured = '1';
+
+ -- Sync rSpEnable to the SampleClk now... based on the "TDC 2.0" diagram.
+ SyncEnableToSampleClk : process(aReset, SampleClk)
+ begin
+ if aReset then
+ sSpEnable_ms <= false;
+ sSpEnable <= false;
+ elsif rising_edge(SampleClk) then
+ sSpEnable_ms <= rSpEnable;
+ sSpEnable <= sSpEnable_ms;
+ end if;
+ end process;
+
+ --vhook_e Pulser ReRunPulser
+ --vhook_a kClksPerPulseMaxBits kRClksPerRePulsePeriodBitsMax
+ --vhook_a Clk RefClk
+ --vhook_a cLoadLimits rLoadRePulseCounts
+ --vhook_a cPeriod rRePulsePeriodInRClks
+ --vhook_a cHighTime rRePulseHighTimeInRClks
+ --vhook_a cEnablePulse rRePulseEnable
+ --vhook_a cPulse rRePulse
+ ReRunPulser: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kRClksPerRePulsePeriodBitsMax) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => RefClk, --in std_logic
+ cLoadLimits => rLoadRePulseCounts, --in boolean
+ cPeriod => rRePulsePeriodInRClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => rRePulseHighTimeInRClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => rRePulseEnable, --in boolean
+ cPulse => rRePulse); --out boolean
+
+ mRunTdcEnableRe <= mRunTdcEnable and not mRunTdcEnableDly;
+
+ -- FSM to generate the master Run signal, as well as the repeat run.
+ SyncEnableToMeasClk : process(aReset, MeasClk)
+ begin
+ if aReset then
+ mRunTdcEnable_ms <= false;
+ mRunTdcEnable <= false;
+ mReRunEnable_ms <= false;
+ mReRunEnable <= false;
+ mRunTdcEnableDly <= false;
+ mRunTdc <= false;
+ mEnableState <= Disabled;
+ elsif rising_edge(MeasClk) then
+ -- rRePulse is many, many MeasClk cycles high/low, so this is safe to double-sync.
+ mRunTdcEnable_ms <= rRePulse;
+ mRunTdcEnable <= mRunTdcEnable_ms;
+ mReRunEnable_ms <= rReRunEnable;
+ mReRunEnable <= mReRunEnable_ms;
+
+ mRunTdcEnableDly <= mRunTdcEnable;
+
+ -- STATE MACHINE STARTUP !!! ------------------------------------------------------
+ -- This state machine starts safely because it cannot change state until
+ -- mRunTdcEnable is asserted, which cannot happen until several cycles after
+ -- aReset de-assertion due to the double-synchronizer from the RefClk domain.
+ -- --------------------------------------------------------------------------------
+ -- De-assert strobe.
+ mRunTdc <= false;
+
+ case mEnableState is
+ -- Transition to WaitForRunComplete when the TDC is enabled. Pulse mRunTdc here,
+ -- and then wait for it to complete in WaitForRunComplete.
+ when Disabled =>
+ if mRunTdcEnableRe then
+ mRunTdc <= true;
+ mEnableState <= WaitForRunComplete;
+ end if;
+
+ -- The TDC measurement is complete when both offsets are valid. Go to the re-run
+ -- state regardless of whether re-runs are enabled. If they aren't we just sit
+ -- there and wait for more instructions...
+ when WaitForRunComplete =>
+ if mOffsetsValidLcl then
+ mEnableState <= ReRuns;
+ end if;
+
+ -- Only pulse mRunTdc again if re-runs are enabled and the rising edge of
+ -- the enable signal occurs. This guarantees our RP/SP have the correct phase
+ -- relationship every time the TDC is run.
+ when ReRuns =>
+ if mReRunEnable and mRunTdcEnableRe then
+ mRunTdc <= true;
+ mEnableState <= WaitForRunComplete;
+ end if;
+
+ when others =>
+ mEnableState <= Disabled;
+ end case;
+
+ -- Synchronous reset for FSM.
+ if mResetTdc then
+ mEnableState <= Disabled;
+ mRunTdc <= false;
+ end if;
+
+ end if;
+ end process;
+
+
+
+ -- Generate Output Valid Signals : ----------------------------------------------------
+ -- Depending on how fast SW can read the measurements (and in what order they read)
+ -- the readings could be out of sync with one another. This section conditions the
+ -- output valid signals from each core and asserts a single output valid pulse after
+ -- BOTH valids have asserted. It is agnostic to the order in which the valids assert.
+ -- It creates a delay in the output valid assertion. Minimal delay is one MeasClk cycle
+ -- if the core valids assert together. Worst-case delay is two MeasClk cycles after
+ -- the latter of the two valids asserts. This is acceptable delay because the core
+ -- cannot be re-run until both valids have asserted (mOffsetsValidLcl is fed back into
+ -- the ReRun FSM above).
+ -- ------------------------------------------------------------------------------------
+ ConditionDataValidProc : process(aReset, MeasClk) is
+ begin
+ if aReset then
+ mOffsetsValidLcl <= false;
+ mRpValidStored <= false;
+ mSpValidStored <= false;
+ elsif rising_edge(MeasClk) then
+ -- Reset the strobe signals.
+ mOffsetsValidLcl <= false;
+
+ -- First, we're sensitive to the TDC sync reset signal.
+ if mResetTdc then
+ mOffsetsValidLcl <= false;
+ mRpValidStored <= false;
+ mSpValidStored <= false;
+ -- Case 1: Both Valid signals pulse at the same time.
+ -- Case 4: Both Valid signals have been stored independently. Yes, this incurs
+ -- a one-cycle delay in the output valid (from when the second one asserts)
+ -- but it makes for cleaner code and is safe because by design because the
+ -- valid signals cannot assert again for a longggg time.
+ elsif (mRpOffsetValidLcl and mSpOffsetValidLcl) or
+ (mRpValidStored and mSpValidStored) then
+ mOffsetsValidLcl <= true;
+ mRpValidStored <= false;
+ mSpValidStored <= false;
+ -- Case 2: RP Valid pulses alone.
+ elsif mRpOffsetValidLcl then
+ mRpValidStored <= true;
+ -- Case 3: SP Valid pulses alone.
+ elsif mSpOffsetValidLcl then
+ mSpValidStored <= true;
+ end if;
+ end if;
+ end process;
+
+ -- Local to output.
+ mOffsetsValid <= mOffsetsValidLcl;
+ -- Only assert done with both cores are done.
+ mOffsetsDone <= mRpOffsetDoneLcl and mSpOffsetDoneLcl;
+
+
+
+ -- Reference Clock TDC (RP) : ---------------------------------------------------------
+ -- mRP is only used for testbenching purposes, so ignore vhook warnings.
+ --vhook_nowarn mRP
+ -- ------------------------------------------------------------------------------------
+
+ --vhook TdcCore RpTdc
+ --vhook_g kSourceClksPerPulseMaxBits kRClksPerRpPeriodBitsMax
+ --vhook_a mResetPeriodMeas mResetTdc
+ --vhook_a mResetTdcMeas mResetTdc
+ --vhook_a mPeriodMeasDone open
+ --vhook_a mRunTdcMeas mRunTdc
+ --vhook_a mGatedPulse mRP
+ --vhook_a mAvgOffset mRpOffset
+ --vhook_a mAvgOffsetDone mRpOffsetDoneLcl
+ --vhook_a mAvgOffsetValid mRpOffsetValidLcl
+ --vhook_a SourceClk RefClk
+ --vhook_a sResetTdc rResetTdcFlop
+ --vhook_a sSyncPulseLoadCnt rLoadRpCounts
+ --vhook_a sSyncPulsePeriod rRpPeriodInRClks
+ --vhook_a sSyncPulseHighTime rRpHighTimeInRClks
+ --vhook_a sSyncPulseEnable rRpEnable
+ --vhook_a sGatedPulse open
+ --vhook_a {^sGated(.*)} rGated$1
+ RpTdc: TdcCore
+ generic map (
+ kSourceClksPerPulseMaxBits => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16
+ kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13
+ kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17
+ kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10
+ port map (
+ aReset => aReset, --in boolean
+ MeasClk => MeasClk, --in std_logic
+ mResetPeriodMeas => mResetTdc, --in boolean
+ mPeriodMeasDone => open, --out boolean
+ mResetTdcMeas => mResetTdc, --in boolean
+ mRunTdcMeas => mRunTdc, --in boolean
+ mGatedPulse => mRP, --out boolean
+ mAvgOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mAvgOffsetDone => mRpOffsetDoneLcl, --out boolean
+ mAvgOffsetValid => mRpOffsetValidLcl, --out boolean
+ SourceClk => RefClk, --in std_logic
+ sResetTdc => rResetTdcFlop, --in boolean
+ sSyncPulseLoadCnt => rLoadRpCounts, --in boolean
+ sSyncPulsePeriod => rRpPeriodInRClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0)
+ sSyncPulseHighTime => rRpHighTimeInRClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0)
+ sSyncPulseEnable => rRpEnable, --in boolean
+ sGatedPulse => open, --out boolean
+ sGatedPulseToPin => rGatedPulseToPin); --inout std_logic
+
+ --vhook_e Pulser RpTransferPulse
+ --vhook_a kClksPerPulseMaxBits kRClksPerRpPeriodBitsMax
+ --vhook_a Clk RefClk
+ --vhook_a cLoadLimits rLoadRptCounts
+ --vhook_a cPeriod rRptPeriodInRClks
+ --vhook_a cHighTime rRptHighTimeInRClks
+ --vhook_a cEnablePulse rRpEnable
+ --vhook_a cPulse rRptPulse
+ RpTransferPulse: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kRClksPerRpPeriodBitsMax) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => RefClk, --in std_logic
+ cLoadLimits => rLoadRptCounts, --in boolean
+ cPeriod => rRptPeriodInRClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => rRptHighTimeInRClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => rRpEnable, --in boolean
+ cPulse => rRptPulse); --out boolean
+
+ -- Local to output
+ rRpTransfer <= rRptPulse;
+
+
+ -- Sample Clock TDC (SP) : ------------------------------------------------------------
+ -- mSP is only used for testbenching purposes, so ignore vhook warnings.
+ --vhook_nowarn mSP
+ -- ------------------------------------------------------------------------------------
+
+ --vhook TdcCore SpTdc
+ --vhook_g kSourceClksPerPulseMaxBits kSClksPerSpPeriodBitsMax
+ --vhook_a mResetPeriodMeas mResetTdc
+ --vhook_a mResetTdcMeas mResetTdc
+ --vhook_a mPeriodMeasDone open
+ --vhook_a mRunTdcMeas mRunTdc
+ --vhook_a mGatedPulse mSP
+ --vhook_a mAvgOffset mSpOffset
+ --vhook_a mAvgOffsetDone mSpOffsetDoneLcl
+ --vhook_a mAvgOffsetValid mSpOffsetValidLcl
+ --vhook_a SourceClk SampleClk
+ --vhook_a sResetTdc sResetTdc
+ --vhook_a sSyncPulseLoadCnt sLoadSpCounts
+ --vhook_a sSyncPulsePeriod sSpPeriodInSClks
+ --vhook_a sSyncPulseHighTime sSpHighTimeInSClks
+ --vhook_a sSyncPulseEnable sSpEnable
+ --vhook_a sGatedPulse open
+ --vhook_a {^sGated(.*)} sGated$1
+ SpTdc: TdcCore
+ generic map (
+ kSourceClksPerPulseMaxBits => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16
+ kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13
+ kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17
+ kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10
+ port map (
+ aReset => aReset, --in boolean
+ MeasClk => MeasClk, --in std_logic
+ mResetPeriodMeas => mResetTdc, --in boolean
+ mPeriodMeasDone => open, --out boolean
+ mResetTdcMeas => mResetTdc, --in boolean
+ mRunTdcMeas => mRunTdc, --in boolean
+ mGatedPulse => mSP, --out boolean
+ mAvgOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mAvgOffsetDone => mSpOffsetDoneLcl, --out boolean
+ mAvgOffsetValid => mSpOffsetValidLcl, --out boolean
+ SourceClk => SampleClk, --in std_logic
+ sResetTdc => sResetTdc, --in boolean
+ sSyncPulseLoadCnt => sLoadSpCounts, --in boolean
+ sSyncPulsePeriod => sSpPeriodInSClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0)
+ sSyncPulseHighTime => sSpHighTimeInSClks, --in unsigned(kSourceClksPerPulseMaxBits-1:0)
+ sSyncPulseEnable => sSpEnable, --in boolean
+ sGatedPulse => open, --out boolean
+ sGatedPulseToPin => sGatedPulseToPin); --inout std_logic
+
+ --vhook_e Pulser SpTransferPulse
+ --vhook_a kClksPerPulseMaxBits kSClksPerSpPeriodBitsMax
+ --vhook_a Clk SampleClk
+ --vhook_a cLoadLimits sLoadSptCounts
+ --vhook_a cPeriod sSptPeriodInSClks
+ --vhook_a cHighTime sSptHighTimeInSClks
+ --vhook_a cEnablePulse sSpEnable
+ --vhook_a cPulse sSptPulse
+ SpTransferPulse: entity work.Pulser (rtl)
+ generic map (kClksPerPulseMaxBits => kSClksPerSpPeriodBitsMax) --integer range 3:32 :=16
+ port map (
+ aReset => aReset, --in boolean
+ Clk => SampleClk, --in std_logic
+ cLoadLimits => sLoadSptCounts, --in boolean
+ cPeriod => sSptPeriodInSClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cHighTime => sSptHighTimeInSClks, --in unsigned(kClksPerPulseMaxBits-1:0)
+ cEnablePulse => sSpEnable, --in boolean
+ cPulse => sSptPulse); --out boolean
+
+ -- Local to output
+ sSpTransfer <= sSptPulse;
+
+
+ -- Cross PPS to SampleClk : ----------------------------------------------------------
+ -- Cross it safely and with deterministic delay.
+ -- ------------------------------------------------------------------------------------
+
+ -- Keep the module from over-pulsing itself by gating the input with the RFI signal,
+ -- although at 1 Hz, this module should never run into the RFI de-asserted case
+ -- by design.
+ rGatedCptrPulseIn <= rCrossTrigRFI and rPpsPulseRe;
+
+ --vhook_e CrossTrigger CrossCptrPulse
+ --vhook_a rRP rRptPulse
+ --vhook_a rReadyForInput rCrossTrigRFI
+ --vhook_a rEnableTrigger rEnablePpsCrossing
+ --vhook_a rTriggerIn rGatedCptrPulseIn
+ --vhook_a sSP sSptPulse
+ --vhook_a sElasticBufferPtr sPpsClkCrossDelayVal
+ --vhook_a sTriggerOut sPpsPulse
+ CrossCptrPulse: entity work.CrossTrigger (rtl)
+ port map (
+ aReset => aReset, --in boolean
+ RefClk => RefClk, --in std_logic
+ rRP => rRptPulse, --in boolean
+ rReadyForInput => rCrossTrigRFI, --out boolean
+ rEnableTrigger => rEnablePpsCrossing, --in boolean
+ rTriggerIn => rGatedCptrPulseIn, --in boolean
+ SampleClk => SampleClk, --in std_logic
+ sSP => sSptPulse, --in boolean
+ sElasticBufferPtr => sPpsClkCrossDelayVal, --in unsigned(3:0)
+ sTriggerOut => sPpsPulse); --out boolean
+
+
+end struct;
+
+
+
+
+
+
+
+--------------------------------------------------------------------------------
+-- Testbench for TdcTop
+--------------------------------------------------------------------------------
+
+--synopsys translate_off
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+ use ieee.math_real.all;
+
+entity tb_TdcTop is end tb_TdcTop;
+
+architecture test of tb_TdcTop is
+
+ -- Constants for the clock periods.
+ constant kSPer : time := 8.000 ns; -- 125.00 MHz
+ constant kMPer : time := 5.050 ns; -- 198.00 MHz
+ constant kRPer : time := 100.000 ns; -- 10.00 MHz
+
+ constant kRClksPerRePulsePeriodBitsMax : integer := 24;
+ constant kRClksPerRpPeriodBitsMax : integer := 16;
+ constant kSClksPerSpPeriodBitsMax : integer := 16;
+
+ -- Constants for the RP/SP pulses, based on the clock frequencies above. The periods
+ -- should all divide into one another without remainders, so this is safe to do...
+ -- High time is 50% duty cycle, or close to it if the period isn't a round number.
+ constant kRpPeriod : time := 1000 ns;
+ constant kRpPeriodInRClks : integer := kRpPeriod/kRPer;
+ constant kRpHighTimeInRClks : integer := integer(floor(real(kRpPeriodInRClks)/2.0));
+ constant kRptPeriod : time := 25000 ns;
+ constant kRptPeriodInRClks : integer := kRptPeriod/kRPer;
+ constant kRptHighTimeInRClks : integer := integer(floor(real(kRptPeriodInRClks)/2.0));
+ constant kSpPeriod : time := 800 ns;
+ constant kSpPeriodInSClks : integer := kSpPeriod/kSPer;
+ constant kSpHighTimeInSClks : integer := integer(floor(real(kSpPeriodInSClks)/2.0));
+ constant kSptPeriod : time := 25000 ns;
+ constant kSptPeriodInSClks : integer := kSptPeriod/kSPer;
+ constant kSptHighTimeInSClks : integer := integer(floor(real(kSptPeriodInSClks)/2.0));
+ constant kRePulsePeriod : time := 2.500 ms;
+ constant kRePulsePeriodInRClks : integer := kRePulsePeriod/kRPer;
+ constant kRePulseHighTimeInRClks : integer := integer(floor(real(kRePulsePeriodInRClks)/2.0));
+
+ -- This doesn't come out to a nice number (or shouldn't), but that's ok. Round up.
+ constant kMeasClksPerRp : integer := kRpPeriod/kMPer+1;
+
+ -- Inputs to DUT
+ constant kPulsePeriodCntSize : integer := integer(ceil(log2(real(kMeasClksPerRp))));
+ constant kFreqRefPeriodsToCheckSize: integer := 12; -- usually 17, but to save run time...
+ constant kSyncPeriodsToStampSize : integer := 10;
+
+ constant kMeasurementTimeout : time :=
+ kMPer*(kMeasClksPerRp*(2**kSyncPeriodsToStampSize) +
+ 40*(2**kSyncPeriodsToStampSize) +
+ kMeasClksPerRp*(2**kFreqRefPeriodsToCheckSize)
+ );
+
+ --vhook_sigstart
+ signal aReset: boolean;
+ signal MeasClk: std_logic := '0';
+ signal mOffsetsDone: boolean;
+ signal mOffsetsValid: boolean;
+ signal mRpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0);
+ signal mSpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0);
+ signal RefClk: std_logic := '0';
+ signal rEnablePpsCrossing: boolean;
+ signal rEnableTdc: boolean;
+ signal rGatedPulseToPin: std_logic;
+ signal rLoadRePulseCounts: boolean;
+ signal rLoadRpCounts: boolean;
+ signal rLoadRptCounts: boolean;
+ signal rPpsPulse: boolean;
+ signal rPpsPulseCaptured: boolean;
+ signal rPulserEnableDelayVal: unsigned(3 downto 0);
+ signal rReRunEnable: boolean;
+ signal rResetTdc: boolean;
+ signal rResetTdcDone: boolean;
+ signal rRpTransfer: boolean;
+ signal SampleClk: std_logic := '0';
+ signal sGatedPulseToPin: std_logic;
+ signal sLoadSpCounts: boolean;
+ signal sLoadSptCounts: boolean;
+ signal sPpsClkCrossDelayVal: unsigned(3 downto 0);
+ signal sPpsPulse: boolean;
+ signal sSpTransfer: boolean;
+ --vhook_sigend
+
+ signal StopSim : boolean;
+ signal EnableOutputChecks : boolean := true;
+
+ signal ExpectedRpOutput,
+ ExpectedFinalMeas,
+ ExpectedSpOutput : real := 0.0;
+
+ alias mRunTdc is <<signal .tb_TdcTop.dutx.mRunTdc : boolean>>;
+ alias mSP is <<signal .tb_TdcTop.dutx.mSP : boolean>>;
+ alias mRP is <<signal .tb_TdcTop.dutx.mRP : boolean>>;
+
+ procedure ClkWait(
+ signal Clk : in std_logic;
+ X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+ function OffsetToReal (Offset : unsigned) return real is
+ variable TempVar : real := 0.0;
+ begin
+ TempVar :=
+ real(to_integer(
+ Offset(Offset'high downto kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize))) +
+ real(to_integer(
+ Offset(kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize-1 downto 0)))*
+ real(2.0**(-(kFreqRefPeriodsToCheckSize+kSyncPeriodsToStampSize)));
+ return TempVar;
+ end OffsetToReal;
+
+begin
+
+ SampleClk <= not SampleClk after kSPer/2 when not StopSim else '0';
+ RefClk <= not RefClk after kRPer/2 when not StopSim else '0';
+ MeasClk <= not MeasClk after kMPer/2 when not StopSim else '0';
+
+
+ main: process
+ begin
+ -- Defaults, per instructions in Purpose
+ sPpsClkCrossDelayVal <= to_unsigned(0, sPpsClkCrossDelayVal'length);
+ rPulserEnableDelayVal <= to_unsigned(1, rPulserEnableDelayVal'length);
+ rResetTdc <= true;
+ rEnableTdc <= false;
+ rReRunEnable <= false;
+ rEnablePpsCrossing <= false;
+ rPpsPulse <= false;
+ rLoadRePulseCounts <= false;
+ rLoadRpCounts <= false;
+ rLoadRptCounts <= false;
+ sLoadSpCounts <= false;
+ sLoadSptCounts <= false;
+
+ aReset <= true, false after kRPer*4;
+ ClkWait(RefClk,10);
+
+ -- Step 0 : -------------------------------------------------------------------------
+ -- Prior to de-asserting reset, we need to load the counters, so pulse the loads.
+ ClkWait(RefClk);
+ rLoadRePulseCounts <= true;
+ rLoadRpCounts <= true;
+ rLoadRptCounts <= true;
+ ClkWait(RefClk);
+ rLoadRePulseCounts <= false;
+ rLoadRpCounts <= false;
+ rLoadRptCounts <= false;
+ ClkWait(SampleClk);
+ sLoadSpCounts <= true;
+ sLoadSptCounts <= true;
+ ClkWait(SampleClk);
+ sLoadSpCounts <= false;
+ sLoadSptCounts <= false;
+
+
+ -- Step 1 : -------------------------------------------------------------------------
+ report "De-asserting Synchronous Reset..." severity note;
+ ClkWait(RefClk);
+ rResetTdc <= false;
+ wait until not rResetTdcDone for (kRPer*4)+(kMPer*2);
+ assert not rResetTdcDone
+ report "rRestTdcDone didn't de-assert in time"
+ severity error;
+
+
+ -- Step 2 : -------------------------------------------------------------------------
+ report "Enabling TDC Measurement & Capturing PPS..." severity note;
+ rEnableTdc <= true;
+ ClkWait(RefClk,5);
+
+ -- Trigger a PPS one-cycle pulse.
+ rPpsPulse <= true;
+ ClkWait(RefClk);
+ rPpsPulse <= false;
+ ClkWait(RefClk);
+ assert rPpsPulseCaptured report "PPS not captured" severity error;
+
+
+ -- Step 3 : -------------------------------------------------------------------------
+ report "Waiting for Measurements to Complete..." severity note;
+ wait until mOffsetsDone for kMeasurementTimeout;
+ assert mOffsetsDone
+ report "Offset measurements not completed within timeout"
+ severity error;
+
+ -- Offset values checked below in CheckOutput.
+
+ report "Printing Results..." & LF &
+ "RP: " & real'image(OffsetToReal(mRpOffset)) &
+ " Expected: " & real'image(ExpectedRpOutput) & LF &
+ "SP: " & real'image(OffsetToReal(mSpOffset)) &
+ " Expected: " & real'image(ExpectedSpOutput) & LF &
+ "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+
+ real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) &
+ " Expected: " & real'image(ExpectedFinalMeas)
+ severity note;
+
+
+ -- Step 4 : -------------------------------------------------------------------------
+ -- Trigger another PPS one-cycle pulse to watch it all cross over correctly.
+ -- Issue the trigger around where a real PPS pulse will come (RE of RP).
+ -- First, set the programmable delay sPpsClkCrossDelayVal.
+ ClkWait(SampleClk);
+ sPpsClkCrossDelayVal <= to_unsigned(4, sPpsClkCrossDelayVal'length);
+ ClkWait(RefClk);
+ rEnablePpsCrossing <= true;
+ wait until rRpTransfer and not rRpTransfer'delayed;
+ rPpsPulse <= true;
+ ClkWait(RefClk);
+ rPpsPulse <= false;
+ ClkWait(RefClk);
+
+ -- We expect the PPS output pulse to arrive after FE and RE of sSP have passed,
+ -- and then a few extra cycles of SampleClk delay on there as well.
+ wait until (not sSpTransfer) and ( sSpTransfer'delayed); -- FE
+ wait until ( sSpTransfer) and (not sSpTransfer'delayed); -- RE
+ ClkWait(SampleClk, 2 + to_integer(sPpsClkCrossDelayVal));
+ -- Check on falling edge of clock.
+ wait until falling_edge(SampleClk);
+ assert sPpsPulse and not sPpsPulse'delayed(kSPer) report "sPpsPulse did not assert";
+ wait until falling_edge(SampleClk);
+ assert not sPpsPulse report "sPpsPulse did not pulse correctly";
+
+
+ -- Step 5 : -------------------------------------------------------------------------
+ report "Repeating TDC Measurement..." severity note;
+ ClkWait(RefClk);
+ rReRunEnable <= true;
+
+ -- Now wait for the measurement to complete.
+ wait until mOffsetsValid for kMeasurementTimeout;
+ assert mOffsetsValid
+ report "Offset measurements not re-completed within timeout"
+ severity error;
+
+ -- Offset values checked below in CheckOutput.
+
+ report "Printing Results..." & LF &
+ "RP: " & real'image(OffsetToReal(mRpOffset)) &
+ " Expected: " & real'image(ExpectedRpOutput) & LF &
+ "SP: " & real'image(OffsetToReal(mSpOffset)) &
+ " Expected: " & real'image(ExpectedSpOutput) & LF &
+ "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+
+ real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) &
+ " Expected: " & real'image(ExpectedFinalMeas)
+ severity note;
+
+ ClkWait(MeasClk,100);
+
+
+ -- Let it run for a while : ---------------------------------------------------------
+ for i in 0 to 9 loop
+ wait until mOffsetsValid for kMeasurementTimeout;
+ assert mOffsetsValid
+ report "Offset measurements not re-completed within timeout"
+ severity error;
+ report "Printing Results..." & LF &
+ "RP: " & real'image(OffsetToReal(mRpOffset)) &
+ " Expected: " & real'image(ExpectedRpOutput) & LF &
+ "SP: " & real'image(OffsetToReal(mSpOffset)) &
+ " Expected: " & real'image(ExpectedSpOutput) & LF &
+ "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+
+ real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) &
+ " Expected: " & real'image(ExpectedFinalMeas)
+ severity note;
+ end loop;
+
+
+ -- And stop it : --------------------------------------------------------------------
+ report "Stopping Repeating TDC Measurements..." severity note;
+ ClkWait(RefClk);
+ rReRunEnable <= false;
+ -- Wait to make sure it doesn't keep going.
+ wait until mOffsetsValid
+ for 2*(kMPer*(kMeasClksPerRp*(2**kSyncPeriodsToStampSize) + 40*(2**kSyncPeriodsToStampSize)));
+ assert not mOffsetsValid;
+
+
+
+ -- Let it run for a while : ---------------------------------------------------------
+ report "Starting again Repeating TDC Measurements..." severity note;
+ ClkWait(RefClk);
+ rReRunEnable <= true;
+ for i in 0 to 2 loop
+ wait until mOffsetsValid for kMeasurementTimeout;
+ assert mOffsetsValid
+ report "Offset measurements not re-completed within timeout"
+ severity error;
+ report "Printing Results..." & LF &
+ "RP: " & real'image(OffsetToReal(mRpOffset)) &
+ " Expected: " & real'image(ExpectedRpOutput) & LF &
+ "SP: " & real'image(OffsetToReal(mSpOffset)) &
+ " Expected: " & real'image(ExpectedSpOutput) & LF &
+ "Meas: " & real'image((OffsetToReal(mSpOffset-mRpOffset)*real(kMPer/1 ns)+
+ real(kRPer/1 ns)-real(kSPer/1 ns))/real(kSPer/1 ns)) &
+ " Expected: " & real'image(ExpectedFinalMeas)
+ severity note;
+ end loop;
+
+
+ StopSim <= true;
+ wait;
+ end process;
+
+
+ ExpectedFinalMeasGen : process
+ variable StartTime : time := 0 ns;
+ begin
+ wait until rPpsPulse;
+ wait until rRpTransfer;
+ StartTime := now;
+ wait until sSpTransfer;
+ ExpectedFinalMeas <= real((now - StartTime)/1 ps)/real((kSPer/1 ps));
+ wait until rResetTdc;
+ end process;
+
+
+ ExpectedRpOutputGen : process
+ variable StartTime : time := 0 ns;
+ begin
+ wait until mRunTdc;
+ StartTime := now;
+ wait until mRP;
+ ExpectedRpOutput <= real((now - StartTime)/1 ps)/real((kMPer/1 ps));
+ wait until mOffsetsValid;
+ end process;
+
+ ExpectedSpOutputGen : process
+ variable StartTime : time := 0 ns;
+ begin
+ wait until mRunTdc;
+ StartTime := now;
+ wait until mSP;
+ ExpectedSpOutput <= real((now - StartTime)/1 ps)/real((kMPer/1 ps));
+ wait until mOffsetsValid;
+ end process;
+
+ CheckOutput : process(MeasClk)
+ begin
+ if falling_edge(MeasClk) then
+ if EnableOutputChecks then
+
+ if mOffsetsValid then
+ assert (OffsetToReal(mRpOffset) < ExpectedRpOutput + 1.0) and
+ (OffsetToReal(mRpOffset) > ExpectedRpOutput - 1.0)
+ report "Mismatch between mRpOffset and expected!" & LF &
+ "Actual: " & real'image(OffsetToReal(mRpOffset)) & LF &
+ "Expect: " & real'image(ExpectedRpOutput)
+ severity error;
+ assert (OffsetToReal(mSpOffset) < ExpectedSpOutput + 1.0) and
+ (OffsetToReal(mSpOffset) > ExpectedSpOutput - 1.0)
+ report "Mismatch between mSpOffset and expected!" & LF &
+ "Actual: " & real'image(OffsetToReal(mSpOffset)) & LF &
+ "Expect: " & real'image(ExpectedSpOutput)
+ severity error;
+ end if;
+ end if;
+ end if;
+ end process;
+
+
+ --vhook_e TdcTop dutx
+ --vhook_a rRpPeriodInRClks to_unsigned(kRpPeriodInRClks, kRClksPerRpPeriodBitsMax)
+ --vhook_a rRpHighTimeInRClks to_unsigned(kRpHighTimeInRClks, kRClksPerRpPeriodBitsMax)
+ --vhook_a sSpPeriodInSClks to_unsigned(kSpPeriodInSClks, kSClksPerSpPeriodBitsMax)
+ --vhook_a sSpHighTimeInSClks to_unsigned(kSpHighTimeInSClks, kSClksPerSpPeriodBitsMax)
+ --vhook_a rRptPeriodInRClks to_unsigned(kRptPeriodInRClks, kRClksPerRpPeriodBitsMax)
+ --vhook_a rRptHighTimeInRClks to_unsigned(kRptHighTimeInRClks, kRClksPerRpPeriodBitsMax)
+ --vhook_a sSptPeriodInSClks to_unsigned(kSptPeriodInSClks, kSClksPerSpPeriodBitsMax)
+ --vhook_a sSptHighTimeInSClks to_unsigned(kSptHighTimeInSClks, kSClksPerSpPeriodBitsMax)
+ --vhook_a rRePulsePeriodInRClks to_unsigned(kRePulsePeriodInRClks, kRClksPerRePulsePeriodBitsMax)
+ --vhook_a rRePulseHighTimeInRClks to_unsigned(kRePulseHighTimeInRClks, kRClksPerRePulsePeriodBitsMax)
+ dutx: entity work.TdcTop (struct)
+ generic map (
+ kRClksPerRePulsePeriodBitsMax => kRClksPerRePulsePeriodBitsMax, --integer range 3:32 :=24
+ kRClksPerRpPeriodBitsMax => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16
+ kSClksPerSpPeriodBitsMax => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16
+ kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13
+ kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17
+ kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10
+ port map (
+ aReset => aReset, --in boolean
+ RefClk => RefClk, --in std_logic
+ SampleClk => SampleClk, --in std_logic
+ MeasClk => MeasClk, --in std_logic
+ rResetTdc => rResetTdc, --in boolean
+ rResetTdcDone => rResetTdcDone, --out boolean
+ rEnableTdc => rEnableTdc, --in boolean
+ rReRunEnable => rReRunEnable, --in boolean
+ rPpsPulse => rPpsPulse, --in boolean
+ rPpsPulseCaptured => rPpsPulseCaptured, --out boolean
+ rPulserEnableDelayVal => rPulserEnableDelayVal, --in unsigned(3:0)
+ rEnablePpsCrossing => rEnablePpsCrossing, --in boolean
+ sPpsClkCrossDelayVal => sPpsClkCrossDelayVal, --in unsigned(3:0)
+ sPpsPulse => sPpsPulse, --out boolean
+ mRpOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mSpOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mOffsetsDone => mOffsetsDone, --out boolean
+ mOffsetsValid => mOffsetsValid, --out boolean
+ rLoadRePulseCounts => rLoadRePulseCounts, --in boolean
+ rRePulsePeriodInRClks => to_unsigned(kRePulsePeriodInRClks, kRClksPerRePulsePeriodBitsMax), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0)
+ rRePulseHighTimeInRClks => to_unsigned(kRePulseHighTimeInRClks, kRClksPerRePulsePeriodBitsMax), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0)
+ rLoadRpCounts => rLoadRpCounts, --in boolean
+ rRpPeriodInRClks => to_unsigned(kRpPeriodInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rRpHighTimeInRClks => to_unsigned(kRpHighTimeInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rLoadRptCounts => rLoadRptCounts, --in boolean
+ rRptPeriodInRClks => to_unsigned(kRptPeriodInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rRptHighTimeInRClks => to_unsigned(kRptHighTimeInRClks, kRClksPerRpPeriodBitsMax), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ sLoadSpCounts => sLoadSpCounts, --in boolean
+ sSpPeriodInSClks => to_unsigned(kSpPeriodInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sSpHighTimeInSClks => to_unsigned(kSpHighTimeInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sLoadSptCounts => sLoadSptCounts, --in boolean
+ sSptPeriodInSClks => to_unsigned(kSptPeriodInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sSptHighTimeInSClks => to_unsigned(kSptHighTimeInSClks, kSClksPerSpPeriodBitsMax), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ rRpTransfer => rRpTransfer, --out boolean
+ sSpTransfer => sSpTransfer, --out boolean
+ rGatedPulseToPin => rGatedPulseToPin, --inout std_logic
+ sGatedPulseToPin => sGatedPulseToPin); --inout std_logic
+
+
+end test;
+--synopsys translate_on
diff --git a/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd
new file mode 100644
index 000000000..1ab235fe2
--- /dev/null
+++ b/fpga/usrp3/top/n3xx/dboards/common/sync/TdcWrapper.vhd
@@ -0,0 +1,397 @@
+-------------------------------------------------------------------------------
+--
+-- Copyright 2018 Ettus Research, a National Instruments Company
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+--
+-- Purpose:
+--
+-- Wrapper for the TDC and register control modules.
+--
+-- vreview_group Tdc
+-- vreview_reviewers dabaker sgupta jmarsar
+-------------------------------------------------------------------------------
+
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+library work;
+ use work.PkgRegs.all;
+
+entity TdcWrapper is
+ port (
+ -- Clocks and Resets : --------------------------------------------------------------
+ -- Bus Clock and synchronous bus reset.
+ BusClk : in std_logic;
+ bBusReset : in std_logic;
+ -- Reference Clock
+ RefClk : in std_logic;
+ -- Sample Clock
+ SampleClk : in std_logic;
+ -- Measurement Clock must run at a very specific frequency, determined by the
+ -- SampleClk, RefClk, and Sync Pulse rates... oh and a lot of math.
+ MeasClk : in std_logic;
+
+
+ -- Register Port: -------------------------------------------------------------------
+ bSyncRegPortOut : out RegPortOut_t;
+ bSyncRegPortIn : in RegPortIn_t;
+
+
+ -- PPS In and Out : -----------------------------------------------------------------
+ -- Only required to pulse 1 RefClk cycle.
+ rPpsPulse : in std_logic;
+ -- PPS pulse output on the SampleClk domain.
+ sPpsPulse : out std_logic;
+
+
+ -- Sync Pulse Outputs : -------------------------------------------------------------
+ -- The repeating pulses can be useful for many things, including passing triggers.
+ rRpTransfer : out std_logic;
+ sSpTransfer : out std_logic;
+
+ -- Pin bouncers out and in. Must go to unused and unconnected pins on the FPGA!
+ rGatedPulseToPin : inout std_logic;
+ sGatedPulseToPin : inout std_logic
+ );
+end TdcWrapper;
+
+
+architecture struct of TdcWrapper is
+
+ component SyncRegsIfc
+ port (
+ aBusReset : in std_logic;
+ bBusReset : in std_logic;
+ BusClk : in std_logic;
+ aTdcReset : out std_logic;
+ bRegPortInFlat : in std_logic_vector(49 downto 0);
+ bRegPortOutFlat : out std_logic_vector(33 downto 0);
+ RefClk : in std_logic;
+ rResetTdc : out std_logic;
+ rResetTdcDone : in std_logic;
+ rEnableTdc : out std_logic;
+ rReRunEnable : out std_logic;
+ rEnablePpsCrossing : out std_logic;
+ rPpsPulseCaptured : in std_logic;
+ rPulserEnableDelayVal : out std_logic_vector(3 downto 0);
+ SampleClk : in std_logic;
+ sPpsClkCrossDelayVal : out std_logic_vector(3 downto 0);
+ MeasClk : in std_logic;
+ mRpOffset : in std_logic_vector(39 downto 0);
+ mSpOffset : in std_logic_vector(39 downto 0);
+ mOffsetsDone : in std_logic;
+ mOffsetsValid : in std_logic;
+ rLoadRePulseCounts : out std_logic;
+ rRePulsePeriodInRClks : out std_logic_vector(23 downto 0);
+ rRePulseHighTimeInRClks : out std_logic_vector(23 downto 0);
+ rLoadRpCounts : out std_logic;
+ rRpPeriodInRClks : out std_logic_vector(15 downto 0);
+ rRpHighTimeInRClks : out std_logic_vector(15 downto 0);
+ rLoadRptCounts : out std_logic;
+ rRptPeriodInRClks : out std_logic_vector(15 downto 0);
+ rRptHighTimeInRClks : out std_logic_vector(15 downto 0);
+ sLoadSpCounts : out std_logic;
+ sSpPeriodInSClks : out std_logic_vector(15 downto 0);
+ sSpHighTimeInSClks : out std_logic_vector(15 downto 0);
+ sLoadSptCounts : out std_logic;
+ sSptPeriodInSClks : out std_logic_vector(15 downto 0);
+ sSptHighTimeInSClks : out std_logic_vector(15 downto 0));
+ end component;
+
+ -- Generic values for the TdcTop instantiation below. These generics are the maximum
+ -- of possible values for all combinations of Sample and Reference clocks for the N3xx
+ -- family of devices.
+ constant kRClksPerRePulsePeriodBitsMax : integer := 24;
+ constant kRClksPerRpPeriodBitsMax : integer := 16;
+ constant kSClksPerSpPeriodBitsMax : integer := 16;
+ constant kPulsePeriodCntSize : integer := 13;
+ -- The following are ideal values for balancing measurement time and accuracy, based
+ -- on calcs given in the spec doc.
+ constant kFreqRefPeriodsToCheckSize : integer := 17;
+ constant kSyncPeriodsToStampSize : integer := 10;
+
+ --vhook_sigstart
+ signal aTdcReset: std_logic;
+ signal bSyncRegPortInFlat: std_logic_vector(49 downto 0);
+ signal bSyncRegPortOutFlat: std_logic_vector(33 downto 0);
+ signal mOffsetsDone: boolean;
+ signal mOffsetsValid: boolean;
+ signal mRpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0);
+ signal mSpOffset: unsigned(kPulsePeriodCntSize+kSyncPeriodsToStampSize+kFreqRefPeriodsToCheckSize-1 downto 0);
+ signal rEnablePpsCrossing: std_logic;
+ signal rEnableTdc: std_logic;
+ signal rLoadRePulseCounts: std_logic;
+ signal rLoadRpCounts: std_logic;
+ signal rLoadRptCounts: std_logic;
+ signal rPpsPulseCaptured: boolean;
+ signal rPulserEnableDelayVal: std_logic_vector(3 downto 0);
+ signal rRePulseHighTimeInRClks: std_logic_vector(kRClksPerRePulsePeriodBitsMax-1 downto 0);
+ signal rRePulsePeriodInRClks: std_logic_vector(kRClksPerRePulsePeriodBitsMax-1 downto 0);
+ signal rReRunEnable: std_logic;
+ signal rResetTdc: std_logic;
+ signal rResetTdcDone: boolean;
+ signal rRpHighTimeInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0);
+ signal rRpPeriodInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0);
+ signal rRptHighTimeInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0);
+ signal rRptPeriodInRClks: std_logic_vector(kRClksPerRpPeriodBitsMax-1 downto 0);
+ signal rRpTransferBool: boolean;
+ signal sLoadSpCounts: std_logic;
+ signal sLoadSptCounts: std_logic;
+ signal sPpsClkCrossDelayVal: std_logic_vector(3 downto 0);
+ signal sPpsPulseAsyncReset: boolean;
+ signal sSpHighTimeInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0);
+ signal sSpPeriodInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0);
+ signal sSptHighTimeInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0);
+ signal sSptPeriodInSClks: std_logic_vector(kSClksPerSpPeriodBitsMax-1 downto 0);
+ signal sSpTransferBool: boolean;
+ --vhook_sigend
+
+ signal rPpsPulseAsyncReset_ms, rPpsPulseAsyncReset,
+ sPpsPulseOut_ms, sPpsPulseOut : std_logic := '0';
+
+ function to_StdLogic(b : boolean) return std_ulogic is
+ begin
+ if b then
+ return '1';
+ else
+ return '0';
+ end if;
+ end to_StdLogic;
+
+ function to_Boolean (s : std_ulogic) return boolean is
+ begin
+ return (To_X01(s)='1');
+ end to_Boolean;
+
+ attribute ASYNC_REG : string;
+ attribute ASYNC_REG of rPpsPulseAsyncReset_ms : signal is "true";
+ attribute ASYNC_REG of rPpsPulseAsyncReset : signal is "true";
+ attribute ASYNC_REG of sPpsPulseOut_ms : signal is "true";
+ attribute ASYNC_REG of sPpsPulseOut : signal is "true";
+
+begin
+
+ -- Cross the PPS from the no-reset domain into the aTdcReset domain since there is a
+ -- reset crossing going into the TdcWrapper (reset by aTdcReset)! No clock domain
+ -- crossing here, so crossing a single-cycle pulse is safe.
+ DoubleSyncToAsyncReset : process (aTdcReset, RefClk)
+ begin
+ if to_boolean(aTdcReset) then
+ rPpsPulseAsyncReset_ms <= '0';
+ rPpsPulseAsyncReset <= '0';
+ elsif rising_edge(RefClk) then
+ rPpsPulseAsyncReset_ms <= rPpsPulse;
+ rPpsPulseAsyncReset <= rPpsPulseAsyncReset_ms;
+ end if;
+ end process;
+
+ -- In a similar fashion, cross the output PPS trigger from the async aTdcReset domain
+ -- to the no-reset of the rest of the design. The odds of this signal triggering a
+ -- failure are astronomically low (since it only pulses one clock cycle per second),
+ -- but two flops is worth the assurance it won't mess something else up downstream.
+ -- Note this double-sync mainly protects against the reset assertion case, since in the
+ -- de-assertion case sPpsPulseAsyncReset should be zero and not transition for a long
+ -- time afterwards. Again no clock crossing here, so crossing a single-cycle pulse
+ -- is safe.
+ DoubleSyncToNoReset : process (SampleClk)
+ begin
+ if rising_edge(SampleClk) then
+ sPpsPulseOut_ms <= to_stdlogic(sPpsPulseAsyncReset);
+ sPpsPulseOut <= sPpsPulseOut_ms;
+ end if;
+ end process;
+
+ sPpsPulse <= sPpsPulseOut;
+
+
+ rRpTransfer <= to_stdlogic(rRpTransferBool);
+ sSpTransfer <= to_stdlogic(sSpTransferBool);
+
+ --vhook_e TdcTop
+ --vhook_a aReset to_boolean(aTdcReset)
+ --vhook_a rResetTdc to_boolean(rResetTdc)
+ --vhook_a rEnableTdc to_boolean(rEnableTdc)
+ --vhook_a rReRunEnable to_boolean(rReRunEnable)
+ --vhook_a rPpsPulse to_boolean(rPpsPulseAsyncReset)
+ --vhook_a rLoadRePulseCounts to_boolean(rLoadRePulseCounts)
+ --vhook_a rLoadRpCounts to_boolean(rLoadRpCounts)
+ --vhook_a rLoadRptCounts to_boolean(rLoadRptCounts)
+ --vhook_a sLoadSpCounts to_boolean(sLoadSpCounts)
+ --vhook_a sLoadSptCounts to_boolean(sLoadSptCounts)
+ --vhook_a rEnablePpsCrossing to_boolean(rEnablePpsCrossing)
+ --vhook_a rPulserEnableDelayVal unsigned(rPulserEnableDelayVal)
+ --vhook_a sPpsClkCrossDelayVal unsigned(sPpsClkCrossDelayVal)
+ --vhook_a rRpTransfer rRpTransferBool
+ --vhook_a sSpTransfer sSpTransferBool
+ --vhook_a sPpsPulse sPpsPulseAsyncReset
+ --vhook_p {^rR(.*)In(.*)Clks} unsigned(rR$1In$2Clks)
+ --vhook_p {^sS(.*)In(.*)Clks} unsigned(sS$1In$2Clks)
+ TdcTopx: entity work.TdcTop (struct)
+ generic map (
+ kRClksPerRePulsePeriodBitsMax => kRClksPerRePulsePeriodBitsMax, --integer range 3:32 :=24
+ kRClksPerRpPeriodBitsMax => kRClksPerRpPeriodBitsMax, --integer range 3:16 :=16
+ kSClksPerSpPeriodBitsMax => kSClksPerSpPeriodBitsMax, --integer range 3:16 :=16
+ kPulsePeriodCntSize => kPulsePeriodCntSize, --integer:=13
+ kFreqRefPeriodsToCheckSize => kFreqRefPeriodsToCheckSize, --integer:=17
+ kSyncPeriodsToStampSize => kSyncPeriodsToStampSize) --integer:=10
+ port map (
+ aReset => to_boolean(aTdcReset), --in boolean
+ RefClk => RefClk, --in std_logic
+ SampleClk => SampleClk, --in std_logic
+ MeasClk => MeasClk, --in std_logic
+ rResetTdc => to_boolean(rResetTdc), --in boolean
+ rResetTdcDone => rResetTdcDone, --out boolean
+ rEnableTdc => to_boolean(rEnableTdc), --in boolean
+ rReRunEnable => to_boolean(rReRunEnable), --in boolean
+ rPpsPulse => to_boolean(rPpsPulseAsyncReset), --in boolean
+ rPpsPulseCaptured => rPpsPulseCaptured, --out boolean
+ rPulserEnableDelayVal => unsigned(rPulserEnableDelayVal), --in unsigned(3:0)
+ rEnablePpsCrossing => to_boolean(rEnablePpsCrossing), --in boolean
+ sPpsClkCrossDelayVal => unsigned(sPpsClkCrossDelayVal), --in unsigned(3:0)
+ sPpsPulse => sPpsPulseAsyncReset, --out boolean
+ mRpOffset => mRpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mSpOffset => mSpOffset, --out unsigned(kPulsePeriodCntSize+ kSyncPeriodsToStampSize+ kFreqRefPeriodsToCheckSize-1:0)
+ mOffsetsDone => mOffsetsDone, --out boolean
+ mOffsetsValid => mOffsetsValid, --out boolean
+ rLoadRePulseCounts => to_boolean(rLoadRePulseCounts), --in boolean
+ rRePulsePeriodInRClks => unsigned(rRePulsePeriodInRClks), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0)
+ rRePulseHighTimeInRClks => unsigned(rRePulseHighTimeInRClks), --in unsigned(kRClksPerRePulsePeriodBitsMax-1:0)
+ rLoadRpCounts => to_boolean(rLoadRpCounts), --in boolean
+ rRpPeriodInRClks => unsigned(rRpPeriodInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rRpHighTimeInRClks => unsigned(rRpHighTimeInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rLoadRptCounts => to_boolean(rLoadRptCounts), --in boolean
+ rRptPeriodInRClks => unsigned(rRptPeriodInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ rRptHighTimeInRClks => unsigned(rRptHighTimeInRClks), --in unsigned(kRClksPerRpPeriodBitsMax-1:0)
+ sLoadSpCounts => to_boolean(sLoadSpCounts), --in boolean
+ sSpPeriodInSClks => unsigned(sSpPeriodInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sSpHighTimeInSClks => unsigned(sSpHighTimeInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sLoadSptCounts => to_boolean(sLoadSptCounts), --in boolean
+ sSptPeriodInSClks => unsigned(sSptPeriodInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ sSptHighTimeInSClks => unsigned(sSptHighTimeInSClks), --in unsigned(kSClksPerSpPeriodBitsMax-1:0)
+ rRpTransfer => rRpTransferBool, --out boolean
+ sSpTransfer => sSpTransferBool, --out boolean
+ rGatedPulseToPin => rGatedPulseToPin, --inout std_logic
+ sGatedPulseToPin => sGatedPulseToPin); --inout std_logic
+
+ -- Expand/compress the RegPort for moving through the netlist boundary.
+ bSyncRegPortOut <= Unflatten(bSyncRegPortOutFlat);
+ bSyncRegPortInFlat <= Flatten(bSyncRegPortIn);
+
+ --vhook SyncRegsIfc
+ --vhook_# Tying this low is safe because the sync reset is used inside SyncRegsIfc.
+ --vhook_a aBusReset '0'
+ --vhook_a bRegPortInFlat bSyncRegPortInFlat
+ --vhook_a bRegPortOutFlat bSyncRegPortOutFlat
+ --vhook_a rResetTdcDone to_stdlogic(rResetTdcDone)
+ --vhook_a rPpsPulseCaptured to_stdlogic(rPpsPulseCaptured)
+ --vhook_a mOffsetsDone to_stdlogic(mOffsetsDone)
+ --vhook_a mOffsetsValid to_stdlogic(mOffsetsValid)
+ --vhook_a mRpOffset std_logic_vector(mRpOffset)
+ --vhook_a mSpOffset std_logic_vector(mSpOffset)
+ SyncRegsIfcx: SyncRegsIfc
+ port map (
+ aBusReset => '0', --in std_logic
+ bBusReset => bBusReset, --in std_logic
+ BusClk => BusClk, --in std_logic
+ aTdcReset => aTdcReset, --out std_logic
+ bRegPortInFlat => bSyncRegPortInFlat, --in std_logic_vector(49:0)
+ bRegPortOutFlat => bSyncRegPortOutFlat, --out std_logic_vector(33:0)
+ RefClk => RefClk, --in std_logic
+ rResetTdc => rResetTdc, --out std_logic
+ rResetTdcDone => to_stdlogic(rResetTdcDone), --in std_logic
+ rEnableTdc => rEnableTdc, --out std_logic
+ rReRunEnable => rReRunEnable, --out std_logic
+ rEnablePpsCrossing => rEnablePpsCrossing, --out std_logic
+ rPpsPulseCaptured => to_stdlogic(rPpsPulseCaptured), --in std_logic
+ rPulserEnableDelayVal => rPulserEnableDelayVal, --out std_logic_vector(3:0)
+ SampleClk => SampleClk, --in std_logic
+ sPpsClkCrossDelayVal => sPpsClkCrossDelayVal, --out std_logic_vector(3:0)
+ MeasClk => MeasClk, --in std_logic
+ mRpOffset => std_logic_vector(mRpOffset), --in std_logic_vector(39:0)
+ mSpOffset => std_logic_vector(mSpOffset), --in std_logic_vector(39:0)
+ mOffsetsDone => to_stdlogic(mOffsetsDone), --in std_logic
+ mOffsetsValid => to_stdlogic(mOffsetsValid), --in std_logic
+ rLoadRePulseCounts => rLoadRePulseCounts, --out std_logic
+ rRePulsePeriodInRClks => rRePulsePeriodInRClks, --out std_logic_vector(23:0)
+ rRePulseHighTimeInRClks => rRePulseHighTimeInRClks, --out std_logic_vector(23:0)
+ rLoadRpCounts => rLoadRpCounts, --out std_logic
+ rRpPeriodInRClks => rRpPeriodInRClks, --out std_logic_vector(15:0)
+ rRpHighTimeInRClks => rRpHighTimeInRClks, --out std_logic_vector(15:0)
+ rLoadRptCounts => rLoadRptCounts, --out std_logic
+ rRptPeriodInRClks => rRptPeriodInRClks, --out std_logic_vector(15:0)
+ rRptHighTimeInRClks => rRptHighTimeInRClks, --out std_logic_vector(15:0)
+ sLoadSpCounts => sLoadSpCounts, --out std_logic
+ sSpPeriodInSClks => sSpPeriodInSClks, --out std_logic_vector(15:0)
+ sSpHighTimeInSClks => sSpHighTimeInSClks, --out std_logic_vector(15:0)
+ sLoadSptCounts => sLoadSptCounts, --out std_logic
+ sSptPeriodInSClks => sSptPeriodInSClks, --out std_logic_vector(15:0)
+ sSptHighTimeInSClks => sSptHighTimeInSClks); --out std_logic_vector(15:0)
+
+
+end struct;
+
+
+--------------------------------------------------------------------------------
+-- Testbench for TdcWrapper
+--------------------------------------------------------------------------------
+
+--synopsys translate_off
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+library work;
+ use work.PkgRegs.all;
+
+entity tb_TdcWrapper is end tb_TdcWrapper;
+
+architecture test of tb_TdcWrapper is
+
+ --vhook_sigstart
+ signal bBusReset: std_logic;
+ signal bSyncRegPortIn: RegPortIn_t;
+ signal bSyncRegPortOut: RegPortOut_t;
+ signal BusClk: std_logic := '0';
+ signal MeasClk: std_logic := '0';
+ signal RefClk: std_logic := '0';
+ signal rGatedPulseToPin: std_logic;
+ signal rPpsPulse: std_logic;
+ signal rRpTransfer: std_logic;
+ signal SampleClk: std_logic := '0';
+ signal sGatedPulseToPin: std_logic;
+ signal sPpsPulse: std_logic;
+ signal sSpTransfer: std_logic;
+ --vhook_sigend
+
+begin
+
+ --vhook_e TdcWrapper dutx
+ dutx: entity work.TdcWrapper (struct)
+ port map (
+ BusClk => BusClk, --in std_logic
+ bBusReset => bBusReset, --in std_logic
+ RefClk => RefClk, --in std_logic
+ SampleClk => SampleClk, --in std_logic
+ MeasClk => MeasClk, --in std_logic
+ bSyncRegPortOut => bSyncRegPortOut, --out RegPortOut_t
+ bSyncRegPortIn => bSyncRegPortIn, --in RegPortIn_t
+ rPpsPulse => rPpsPulse, --in std_logic
+ sPpsPulse => sPpsPulse, --out std_logic
+ rRpTransfer => rRpTransfer, --out std_logic
+ sSpTransfer => sSpTransfer, --out std_logic
+ rGatedPulseToPin => rGatedPulseToPin, --inout std_logic
+ sGatedPulseToPin => sGatedPulseToPin); --inout std_logic
+
+ main: process
+
+ begin
+ report "TdcWrapper Test is EMPTY! (but that's ok in this case)" severity note;
+ --vhook_nowarn tb_TdcWrapper.test.*
+ wait;
+ end process;
+
+end test;
+--synopsys translate_on