diff options
Diffstat (limited to 'fpga/usrp3/top/b2xxmini/coregen')
187 files changed, 16323 insertions, 0 deletions
diff --git a/fpga/usrp3/top/b2xxmini/coregen/.gitignore b/fpga/usrp3/top/b2xxmini/coregen/.gitignore new file mode 100644 index 000000000..bdeac28ca --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/.gitignore @@ -0,0 +1,5 @@ +/tmp +/_xmsgs +/*.log +/*.gise +/*.xise diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy new file mode 100644 index 000000000..c2995765a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy @@ -0,0 +1,29 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b205_clk_gen +RECTANGLE Normal 32 32 576 1088 +LINE Normal 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName clk_in1 +PINATTR Polarity IN +LINE Normal 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName reset +PINATTR Polarity IN +LINE Normal 608 80 576 80 +PIN 608 80 RIGHT 36 +PINATTR PinName clk_out1 +PINATTR Polarity OUT +LINE Normal 608 176 576 176 +PIN 608 176 RIGHT 36 +PINATTR PinName clk_out2 +PINATTR Polarity OUT +LINE Normal 608 272 576 272 +PIN 608 272 RIGHT 36 +PINATTR PinName clk_out3 +PINATTR Polarity OUT +LINE Normal 608 976 576 976 +PIN 608 976 RIGHT 36 +PINATTR PinName locked +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf new file mode 100755 index 000000000..9b318e829 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf @@ -0,0 +1,59 @@ +# file: b205_clk_gen.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v new file mode 100755 index 000000000..e4184e6fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v @@ -0,0 +1,158 @@ +// file: b205_clk_gen.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "b205_clk_gen,clk_wiz_v3_6,{component_name=b205_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module b205_clk_gen + (// Clock in ports + input CLK_IN1_40, + // Clock out ports + output CLK_OUT1_40_int, + output CLK_OUT2_100_bus, + output CLK_OUT3_200_ref_pll, + // Status and control signals + input RESET, + output LOCKED + ); + + // Input buffering + //------------------------------------ + IBUFG clkin1_buf + (.O (clkin1), + .I (CLK_IN1_40)); + + + // Clocking primitive + //------------------------------------ + // Instantiation of the PLL primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire clkfbout; + wire clkfbout_buf; + wire clkout3_unused; + wire clkout4_unused; + wire clkout5_unused; + + PLL_BASE + #(.BANDWIDTH ("OPTIMIZED"), + .CLK_FEEDBACK ("CLKFBOUT"), + .COMPENSATION ("SYSTEM_SYNCHRONOUS"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT (10), + .CLKFBOUT_PHASE (0.000), + .CLKOUT0_DIVIDE (10), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT1_DIVIDE (4), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT2_DIVIDE (2), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKIN_PERIOD (25.0), + .REF_JITTER (0.010)) + pll_base_inst + // Output clocks + (.CLKFBOUT (clkfbout), + .CLKOUT0 (clkout0), + .CLKOUT1 (clkout1), + .CLKOUT2 (clkout2), + .CLKOUT3 (clkout3_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + // Status and control signals + .LOCKED (LOCKED), + .RST (RESET), + // Input clock control + .CLKFBIN (clkfbout_buf), + .CLKIN (clkin1)); + + + // Output buffering + //----------------------------------- + BUFG clkf_buf + (.O (clkfbout_buf), + .I (clkfbout)); + + BUFG clkout1_buf + (.O (CLK_OUT1_40_int), + .I (clkout0)); + + + BUFG clkout2_buf + (.O (CLK_OUT2_100_bus), + .I (clkout1)); + + BUFG clkout3_buf + (.O (CLK_OUT3_200_ref_pll), + .I (clkout2)); + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo new file mode 100755 index 000000000..874e6b65c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo @@ -0,0 +1,82 @@ +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG + + b205_clk_gen instance_name + (// Clock in ports + .CLK_IN1_40(CLK_IN1_40), // IN + // Clock out ports + .CLK_OUT1_40_int(CLK_OUT1_40_int), // OUT + .CLK_OUT2_100_bus(CLK_OUT2_100_bus), // OUT + .CLK_OUT3_200_ref_pll(CLK_OUT3_200_ref_pll), // OUT + // Status and control signals + .RESET(RESET),// IN + .LOCKED(LOCKED)); // OUT +// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco new file mode 100644 index 000000000..d3688079a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco @@ -0,0 +1,269 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jul 14 00:18:05 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:clk_wiz:3.6 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6 +# END Select +# BEGIN Parameters +CSET calc_done=DONE +CSET clk_in_sel_port=CLK_IN_SEL +CSET clk_out1_port=CLK_OUT1_40_int +CSET clk_out1_use_fine_ps_gui=false +CSET clk_out2_port=CLK_OUT2_100_bus +CSET clk_out2_use_fine_ps_gui=false +CSET clk_out3_port=CLK_OUT3_200_ref_pll +CSET clk_out3_use_fine_ps_gui=false +CSET clk_out4_port=CLK_OUT4_gpif +CSET clk_out4_use_fine_ps_gui=false +CSET clk_out5_port=CLK_OUT5 +CSET clk_out5_use_fine_ps_gui=false +CSET clk_out6_port=CLK_OUT6 +CSET clk_out6_use_fine_ps_gui=false +CSET clk_out7_port=CLK_OUT7 +CSET clk_out7_use_fine_ps_gui=false +CSET clk_valid_port=CLK_VALID +CSET clkfb_in_n_port=CLKFB_IN_N +CSET clkfb_in_p_port=CLKFB_IN_P +CSET clkfb_in_port=CLKFB_IN +CSET clkfb_in_signaling=SINGLE +CSET clkfb_out_n_port=CLKFB_OUT_N +CSET clkfb_out_p_port=CLKFB_OUT_P +CSET clkfb_out_port=CLKFB_OUT +CSET clkfb_stopped_port=CLKFB_STOPPED +CSET clkin1_jitter_ps=250.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 +CSET clkout1_drives=BUFG +CSET clkout1_requested_duty_cycle=50.000 +CSET clkout1_requested_out_freq=40.000 +CSET clkout1_requested_phase=0.000 +CSET clkout2_drives=BUFG +CSET clkout2_requested_duty_cycle=50.000 +CSET clkout2_requested_out_freq=100.000 +CSET clkout2_requested_phase=0.000 +CSET clkout2_used=true +CSET clkout3_drives=BUFG +CSET clkout3_requested_duty_cycle=50.000 +CSET clkout3_requested_out_freq=200.000 +CSET clkout3_requested_phase=0.000 +CSET clkout3_used=true +CSET clkout4_drives=BUFG +CSET clkout4_requested_duty_cycle=50.000 +CSET clkout4_requested_out_freq=80.000 +CSET clkout4_requested_phase=0.000 +CSET clkout4_used=false +CSET clkout5_drives=BUFG +CSET clkout5_requested_duty_cycle=50.000 +CSET clkout5_requested_out_freq=100.000 +CSET clkout5_requested_phase=0.000 +CSET clkout5_used=false +CSET clkout6_drives=BUFG +CSET clkout6_requested_duty_cycle=50.000 +CSET clkout6_requested_out_freq=100.000 +CSET clkout6_requested_phase=0.000 +CSET clkout6_used=false +CSET clkout7_drives=BUFG +CSET clkout7_requested_duty_cycle=50.000 +CSET clkout7_requested_out_freq=100.000 +CSET clkout7_requested_phase=0.000 +CSET clkout7_used=false +CSET clock_mgr_type=AUTO +CSET component_name=b205_clk_gen +CSET daddr_port=DADDR +CSET dclk_port=DCLK +CSET dcm_clk_feedback=1X +CSET dcm_clk_out1_port=CLK0 +CSET dcm_clk_out2_port=CLKFX +CSET dcm_clk_out3_port=CLKFX +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 +CSET dcm_clk_out6_port=CLK0 +CSET dcm_clkdv_divide=2.0 +CSET dcm_clkfx_divide=2 +CSET dcm_clkfx_multiply=5 +CSET dcm_clkgen_clk_out1_port=CLKFX +CSET dcm_clkgen_clk_out2_port=CLKFX +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 +CSET dcm_clkgen_clkfx_md_max=0.000 +CSET dcm_clkgen_clkfx_multiply=4 +CSET dcm_clkgen_clkfxdv_divide=2 +CSET dcm_clkgen_clkin_period=10.000 +CSET dcm_clkgen_notes=None +CSET dcm_clkgen_spread_spectrum=NONE +CSET dcm_clkgen_startup_wait=false +CSET dcm_clkin_divide_by_2=false +CSET dcm_clkin_period=25.000 +CSET dcm_clkout_phase_shift=NONE +CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS +CSET dcm_notes=None +CSET dcm_phase_shift=0 +CSET dcm_pll_cascade=NONE +CSET dcm_startup_wait=false +CSET den_port=DEN +CSET din_port=DIN +CSET dout_port=DOUT +CSET drdy_port=DRDY +CSET dwe_port=DWE +CSET feedback_source=FDBK_AUTO +CSET in_freq_units=Units_MHz +CSET in_jitter_units=Units_UI +CSET input_clk_stopped_port=INPUT_CLK_STOPPED +CSET jitter_options=UI +CSET jitter_sel=No_Jitter +CSET locked_port=LOCKED +CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_clkfbout_mult_f=4.000 +CSET mmcm_clkfbout_phase=0.000 +CSET mmcm_clkfbout_use_fine_ps=false +CSET mmcm_clkin1_period=10.000 +CSET mmcm_clkin2_period=10.000 +CSET mmcm_clkout0_divide_f=4.000 +CSET mmcm_clkout0_duty_cycle=0.500 +CSET mmcm_clkout0_phase=0.000 +CSET mmcm_clkout0_use_fine_ps=false +CSET mmcm_clkout1_divide=1 +CSET mmcm_clkout1_duty_cycle=0.500 +CSET mmcm_clkout1_phase=0.000 +CSET mmcm_clkout1_use_fine_ps=false +CSET mmcm_clkout2_divide=1 +CSET mmcm_clkout2_duty_cycle=0.500 +CSET mmcm_clkout2_phase=0.000 +CSET mmcm_clkout2_use_fine_ps=false +CSET mmcm_clkout3_divide=1 +CSET mmcm_clkout3_duty_cycle=0.500 +CSET mmcm_clkout3_phase=0.000 +CSET mmcm_clkout3_use_fine_ps=false +CSET mmcm_clkout4_cascade=false +CSET mmcm_clkout4_divide=1 +CSET mmcm_clkout4_duty_cycle=0.500 +CSET mmcm_clkout4_phase=0.000 +CSET mmcm_clkout4_use_fine_ps=false +CSET mmcm_clkout5_divide=1 +CSET mmcm_clkout5_duty_cycle=0.500 +CSET mmcm_clkout5_phase=0.000 +CSET mmcm_clkout5_use_fine_ps=false +CSET mmcm_clkout6_divide=1 +CSET mmcm_clkout6_duty_cycle=0.500 +CSET mmcm_clkout6_phase=0.000 +CSET mmcm_clkout6_use_fine_ps=false +CSET mmcm_clock_hold=false +CSET mmcm_compensation=ZHOLD +CSET mmcm_divclk_divide=1 +CSET mmcm_notes=None +CSET mmcm_ref_jitter1=0.010 +CSET mmcm_ref_jitter2=0.010 +CSET mmcm_startup_wait=false +CSET num_out_clks=3 +CSET override_dcm=false +CSET override_dcm_clkgen=false +CSET override_mmcm=false +CSET override_pll=false +CSET platform=lin64 +CSET pll_bandwidth=OPTIMIZED +CSET pll_clk_feedback=CLKFBOUT +CSET pll_clkfbout_mult=10 +CSET pll_clkfbout_phase=0.000 +CSET pll_clkin_period=25.0 +CSET pll_clkout0_divide=10 +CSET pll_clkout0_duty_cycle=0.500 +CSET pll_clkout0_phase=0.000 +CSET pll_clkout1_divide=4 +CSET pll_clkout1_duty_cycle=0.500 +CSET pll_clkout1_phase=0.000 +CSET pll_clkout2_divide=2 +CSET pll_clkout2_duty_cycle=0.500 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=5 +CSET pll_clkout3_duty_cycle=0.500 +CSET pll_clkout3_phase=0.000 +CSET pll_clkout4_divide=1 +CSET pll_clkout4_duty_cycle=0.500 +CSET pll_clkout4_phase=0.000 +CSET pll_clkout5_divide=1 +CSET pll_clkout5_duty_cycle=0.500 +CSET pll_clkout5_phase=0.000 +CSET pll_compensation=SYSTEM_SYNCHRONOUS +CSET pll_divclk_divide=1 +CSET pll_notes=None +CSET pll_ref_jitter=0.010 +CSET power_down_port=POWER_DOWN +CSET prim_in_freq=40.000 +CSET prim_in_jitter=0.010 +CSET prim_source=Single_ended_clock_capable_pin +CSET primary_port=CLK_IN1_40 +CSET primitive=MMCM +CSET primtype_sel=PLL_BASE +CSET psclk_port=PSCLK +CSET psdone_port=PSDONE +CSET psen_port=PSEN +CSET psincdec_port=PSINCDEC +CSET relative_inclk=REL_PRIMARY +CSET reset_port=RESET +CSET secondary_in_freq=100.000 +CSET secondary_in_jitter=0.010 +CSET secondary_port=CLK_IN2 +CSET secondary_source=Single_ended_clock_capable_pin +CSET ss_mod_freq=250 +CSET ss_mode=CENTER_HIGH +CSET status_port=STATUS +CSET summary_strings=empty +CSET use_clk_valid=false +CSET use_clkfb_stopped=false +CSET use_dyn_phase_shift=false +CSET use_dyn_reconfig=false +CSET use_freeze=false +CSET use_freq_synth=true +CSET use_inclk_stopped=false +CSET use_inclk_switchover=false +CSET use_locked=true +CSET use_max_i_jitter=false +CSET use_min_o_jitter=false +CSET use_min_power=false +CSET use_phase_alignment=true +CSET use_power_down=false +CSET use_reset=true +CSET use_spread_spectrum=false +CSET use_spread_spectrum_1=false +CSET use_status=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-05-10T12:44:55Z +# END Extra information +GENERATE +# CRC: 14fd4cf9 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc new file mode 100755 index 000000000..992f39959 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc @@ -0,0 +1,67 @@ +# file: b205_clk_gen.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html new file mode 100644 index 000000000..d6deba06c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html @@ -0,0 +1,195 @@ +<HTML> +<HEAD> +<TITLE>clk_wiz_v3_6_vinfo</TITLE> +<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1"> +</HEAD> +<BODY> +<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1"> +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A> + +For system requirements: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A> + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + <A HREF="http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/">www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/</A> + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - <A HREF="http://www.xilinx.com/support/answers/50719.htm">www.xilinx.com/support/answers/50719.htm</A> + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A> + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A> +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + +</FONT> +</PRE> +</BODY> +</HTML> diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf Binary files differnew file mode 100644 index 000000000..a7daa6089 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf new file mode 100755 index 000000000..c98fa6f8c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf @@ -0,0 +1,60 @@ +# file: b205_clk_gen_exdes.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "COUNTER_RESET" TIG; +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v new file mode 100755 index 000000000..45b605d7f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v @@ -0,0 +1,178 @@ +// file: b205_clk_gen_exdes.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard example design +//---------------------------------------------------------------------------- +// This example design instantiates the created clocking network, where each +// output clock drives a counter. The high bit of each counter is ported. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +module b205_clk_gen_exdes + #( + parameter TCQ = 100 + ) + (// Clock in ports + input CLK_IN1, + // Reset that only drives logic in example design + input COUNTER_RESET, + output [3:1] CLK_OUT, + // High bits of counters driven by clocks + output [3:1] COUNT, + // Status and control signals + input RESET, + output LOCKED + ); + + // Parameters for the counters + //------------------------------- + // Counter width + localparam C_W = 16; + // Number of counters + localparam NUM_C = 3; + genvar count_gen; + // When the clock goes out of lock, reset the counters + wire reset_int = !LOCKED || RESET || COUNTER_RESET; + + reg [NUM_C:1] rst_sync; + reg [NUM_C:1] rst_sync_int; + reg [NUM_C:1] rst_sync_int1; + reg [NUM_C:1] rst_sync_int2; + + + // Declare the clocks and counters + wire [NUM_C:1] clk_int; + wire [NUM_C:1] clk_n; + wire [NUM_C:1] clk; + reg [C_W-1:0] counter [NUM_C:1]; + + // Instantiation of the clocking network + //-------------------------------------- + b205_clk_gen clknetwork + (// Clock in ports + .CLK_IN1_40 (CLK_IN1), + // Clock out ports + .CLK_OUT1_40_int (clk_int[1]), + .CLK_OUT2_100_bus (clk_int[2]), + .CLK_OUT3_200_ref_pll (clk_int[3]), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +genvar clk_out_pins; + +generate + for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1) + begin: gen_outclk_oddr + assign clk_n[clk_out_pins] = ~clk[clk_out_pins]; + + ODDR2 clkout_oddr + (.Q (CLK_OUT[clk_out_pins]), + .C0 (clk[clk_out_pins]), + .C1 (clk_n[clk_out_pins]), + .CE (1'b1), + .D0 (1'b1), + .D1 (1'b0), + .R (1'b0), + .S (1'b0)); + end +endgenerate + + // Connect the output clocks to the design + //----------------------------------------- + assign clk[1] = clk_int[1]; + assign clk[2] = clk_int[2]; + assign clk[3] = clk_int[3]; + + + // Reset synchronizer + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 + always @(posedge reset_int or posedge clk[count_gen]) begin + if (reset_int) begin + rst_sync[count_gen] <= 1'b1; + rst_sync_int[count_gen]<= 1'b1; + rst_sync_int1[count_gen]<= 1'b1; + rst_sync_int2[count_gen]<= 1'b1; + end + else begin + rst_sync[count_gen] <= 1'b0; + rst_sync_int[count_gen] <= rst_sync[count_gen]; + rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; + rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; + end + end + end + endgenerate + + + // Output clock sampling + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters + + always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin + if (rst_sync_int2[count_gen]) begin + counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; + end else begin + counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; + end + end + // alias the high bit of each counter to the corresponding + // bit in the output bus + assign COUNT[count_gen] = counter[count_gen][C_W-1]; + end + endgenerate + + + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc new file mode 100755 index 000000000..0678543c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc @@ -0,0 +1,69 @@ +# file: b205_clk_gen_exdes.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +# FALSE PATH constraint added on COUNTER_RESET +set_false_path -from [get_ports "COUNTER_RESET"] +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat new file mode 100755 index 000000000..729bfef85 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat @@ -0,0 +1,90 @@ +REM file: implement.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM ----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM ----------------------------------------------------------------------------- + +REM Clean up the results directory +rmdir /S /Q results +mkdir results + +REM Copy unisim_comp.v file to results directory +copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ + +REM Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +move b205_clk_gen_exdes.ngc results\ + +REM Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\b205_clk_gen_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing -pr b b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v +cd .. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh new file mode 100755 index 000000000..ffac9e81c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh @@ -0,0 +1,91 @@ +#!/bin/sh +# file: implement.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +# Clean up the results directory +rm -rf results +mkdir results + +# Copy unisim_comp.v file to results directory +cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ + +# Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +mv b205_clk_gen_exdes.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/b205_clk_gen_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v + +cd .. diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat new file mode 100755 index 000000000..8ac771810 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat @@ -0,0 +1,58 @@ +REM file: planAhead_ise.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh new file mode 100755 index 000000000..6c8c837d3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh @@ -0,0 +1,59 @@ +#!/bin/sh +# file: planAhead_ise.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +rm -rf results +mkdir results +cd results + +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl new file mode 100755 index 000000000..74ddb6a1a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl @@ -0,0 +1,78 @@ +# file: planAhead_ise.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set projDir [file dirname [info script]] +set projName b205_clk_gen +set topName b205_clk_gen_exdes +set device xc6slx75csg484-3 + +create_project $projName $projDir/results/$projName -part $device + +set_property design_mode RTL [get_filesets sources_1] + +## Source files +#set verilogSources [glob $srcDir/*.v] +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../b205_clk_gen.v + + +#UCF file +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.ucf + +set_property top $topName [get_property srcset [current_run]] + +launch_runs -runs synth_1 +wait_on_run synth_1 + +set_property add_step Bitgen [get_runs impl_1] +launch_runs -runs impl_1 +wait_on_run impl_1 + + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat new file mode 100755 index 000000000..42273f5d4 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat @@ -0,0 +1,58 @@ +REM file: planAhead_rdn.sh +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the XADC wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh new file mode 100755 index 000000000..f4c14729e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh @@ -0,0 +1,57 @@ +#!/bin/sh +# file: planAhead_rdn.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the XADC wizard +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl new file mode 100755 index 000000000..8c4276049 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl @@ -0,0 +1,69 @@ +# file : planAhead_rdn.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set device xc6slx75csg484-3 +set projName b205_clk_gen +set design b205_clk_gen +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module b205_clk_gen_exdes +set_property top b205_clk_gen_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../b205_clk_gen.v} +add_files -norecurse {../../example_design/b205_clk_gen_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/b205_clk_gen_exdes.xdc} +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module b205_clk_gen_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module b205_clk_gen_exdes -file routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj new file mode 100755 index 000000000..0579440e0 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../b205_clk_gen.v +verilog work ../example_design/b205_clk_gen_exdes.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr new file mode 100755 index 000000000..ce30cf255 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr @@ -0,0 +1,9 @@ +run +-ifmt MIXED +-top b205_clk_gen_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-ofn b205_clk_gen_exdes +-keep_hierarchy soft +-equivalent_register_removal no +-max_fanout 65535 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v new file mode 100755 index 000000000..a324a5983 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v @@ -0,0 +1,143 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*20) + COUNTER_RESET = 0; + + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + #( + .TCQ (TCQ) + ) dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl new file mode 100755 index 000000000..0c370db66 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl @@ -0,0 +1,8 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..63b151629 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat @@ -0,0 +1,59 @@ +REM file: simulate_isim.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +vlogcomp -work work %XILINX%\verilog\src\glbl.v +vlogcomp -work work ..\..\..\b205_clk_gen.v +vlogcomp -work work ..\..\example_design\b205_clk_gen_exdes.v +vlogcomp -work work ..\b205_clk_gen_tb.v + +REM compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +REM run the simulation script +.\b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..9ea0bb115 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh @@ -0,0 +1,61 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# lin64 +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../../b205_clk_gen.v +vlogcomp -work work ../../example_design/b205_clk_gen_exdes.v +vlogcomp -work work ../b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..74786e3eb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat @@ -0,0 +1,61 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM set up the working directory +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\..\b205_clk_gen.v +vlog -work work ..\..\example_design\b205_clk_gen_exdes.v +vlog -work work ..\b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..2a9f3b03c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -t ps -voptargs="+acc" -L unisims_ver work.b205_clk_gen_tb work.glbl +do wave.do +log b205_clk_gen_tb/dut/counter +log -r /* +run 50000ns diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..0fe952789 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0ca92d135 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,62 @@ +#/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../../b205_clk_gen.v +ncvlog -work work ../../example_design/b205_clk_gen_exdes.v +ncvlog -work work ../b205_clk_gen_tb.v + +# elaborate and run the simulation +ncelab -work work -access +wc work.b205_clk_gen_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.b205_clk_gen_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..13f45cebf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time +vlogan -sverilog \ + ${XILINX}/verilog/src/glbl.v \ + ../../../b205_clk_gen.v \ + ../../example_design/b205_clk_gen_exdes.v \ + ../b205_clk_gen_tb.v + +# prepare the simulation +vcs +vcs+lic+wait -debug b205_clk_gen_tb glbl + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..d125f20f1 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key @@ -0,0 +1,5 @@ +call {$vcdpluson} +call {$vcdplusmemon(b205_clk_gen_tb.dut.counter)} +run +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..eedc9fa49 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl @@ -0,0 +1,18 @@ +gui_open_window Wave +gui_sg_create b205_clk_gen_group +gui_list_add_group -id Wave.1 {b205_clk_gen_group} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.test_phase} +gui_set_radix -radix {ascii} -signals {b205_clk_gen_tb.test_phase} +gui_sg_addsignal -group b205_clk_gen_group {{Input_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.CLK_IN1} +gui_sg_addsignal -group b205_clk_gen_group {{Output_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.clk} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.clk +gui_sg_addsignal -group b205_clk_gen_group {{Status_control}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.RESET} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.LOCKED} +gui_sg_addsignal -group b205_clk_gen_group {{Counters}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.COUNT} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.counter} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do new file mode 100755 index 000000000..4549dbf6e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do @@ -0,0 +1,60 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +add wave -noupdate -format Literal -radix ascii /b205_clk_gen_tb/test_phase +add wave -noupdate -divider {Input clocks} +add wave -noupdate -format Logic /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate -divider {Output clocks} +add wave -noupdate -format Literal -expand /b205_clk_gen_tb/dut/clk +add wave -noupdate -divider Status/control +add wave -noupdate -format Logic /b205_clk_gen_tb/RESET +add wave -noupdate -format Logic /b205_clk_gen_tb/LOCKED +add wave -noupdate -divider Counters +add wave -noupdate -format Literal -radix hexadecimal /b205_clk_gen_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal -expand /b205_clk_gen_tb/dut/counter diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv new file mode 100755 index 000000000..a785ec556 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv @@ -0,0 +1,119 @@ +# file: wave.sv +# +# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# Get the windows set up +# +if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { + window geometry "Design Browser 1" 1054x819+536+322 +} +window target "Design Browser 1" on +browser using {Design Browser 1} +browser set \ + -scope nc::b205_clk_gen_tb +browser yview see nc::b205_clk_gen_tb +browser timecontrol set -lock 0 + +if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { + window geometry "Waveform 1" 1010x600+0+541 +} +window target "Waveform 1" on +waveform using {Waveform 1} +waveform sidebar visibility partial +waveform set \ + -primarycursor TimeA \ + -signalnames name \ + -signalwidth 175 \ + -units ns \ + -valuewidth 75 +cursor set -using TimeA -time 0 +waveform baseline set -time 0 +waveform xview limits 0 20000n + +# +# Define signal groups +# +catch {group new -name {Output clocks} -overlay 0} +catch {group new -name {Status/control} -overlay 0} +catch {group new -name {Counters} -overlay 0} + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.CLK_IN1}]] + +group using {Output clocks} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.clk[1]} \ + {b205_clk_gen_tb.dut.clk[2]} \ {b205_clk_gen_tb.dut.clk[3]} +group using {Counters} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.counter[1]} \ + {b205_clk_gen_tb.dut.counter[2]} \ {b205_clk_gen_tb.dut.counter[3]} +group using {Status/control} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {nc::b205_clk_gen_tb.RESET} {nc::b205_clk_gen_tb.LOCKED} + + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.COUNT} ]] + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.test_phase} ]] +waveform format $id -radix %a + +set groupId [waveform add -groups {{Input clocks}}] +set groupId [waveform add -groups {{Output clocks}}] +set groupId [waveform add -groups {{Status/control}}] +set groupId [waveform add -groups {{Counters}}] diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v new file mode 100755 index 000000000..04a2cf036 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v @@ -0,0 +1,157 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + reg [13:0] timeout_counter = 14'b00000000000000; + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + $display ("Timing checks are not valid"); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*19.5) + COUNTER_RESET = 0; + #(PER1*1) + $display ("Timing checks are valid"); + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + + always@(posedge CLK_IN1) begin + timeout_counter <= timeout_counter + 1'b1; + if (timeout_counter == 14'b10000000000000) begin + if (LOCKED != 1'b1) begin + $display("ERROR : NO LOCK signal"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + end + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file new file mode 100755 index 000000000..755ed5bcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file @@ -0,0 +1,2 @@ +COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", +SCOPE = b205_clk_gen_tb.dut; diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl new file mode 100755 index 000000000..8d4b92771 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl @@ -0,0 +1,9 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..45cf4fe5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh @@ -0,0 +1,62 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../implement/results/routed.v +vlogcomp -work work b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L secureip -L simprims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -tclbatch simcmds.tcl -sdfmax /b205_clk_gen_tb/dut=../../implement/results/routed.sdf + +# run the simulation script +#./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..51d1d37d6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat @@ -0,0 +1,59 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM +# set up the working directory +set work work +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\implement\results\routed.v +vlog -work work b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..a984ba095 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl +#do wave.do +#log -r /* +run 50000ns + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..379eb7600 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..8b73dc5c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,64 @@ +#!/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../implement/results/routed.v +ncvlog -work work b205_clk_gen_tb.v + +# elaborate and run the simulation +ncsdfc ../../implement/results/routed.sdf + +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.b205_clk_gen_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.b205_clk_gen_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..7ead02584 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time + vlogan -sverilog \ + b205_clk_gen_tb.v \ + ../../implement/results/routed.v + + +# prepare the simulation +vcs -sdf max:b205_clk_gen_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug b205_clk_gen_tb.v ../../implement/results/routed.v + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..0548d1733 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key @@ -0,0 +1,5 @@ + +call {$vcdpluson} +run 50000ns +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..1438f6bed --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl @@ -0,0 +1 @@ +gui_open_window Wave diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do new file mode 100755 index 000000000..440a8384f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do @@ -0,0 +1,72 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate /b205_clk_gen_tb/COUNT +add wave -noupdate /b205_clk_gen_tb/LOCKED +add wave -noupdate /b205_clk_gen_tb/RESET +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} +configure wave -namecolwidth 238 +configure wave -valuecolwidth 107 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt new file mode 100644 index 000000000..2028a2ab7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt @@ -0,0 +1,54 @@ +# Output products list for <b205_clk_gen> +_xmsgs/pn_parser.xmsgs +b205_clk_gen/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html +b205_clk_gen/doc/pg065_clk_wiz.pdf +b205_clk_gen/example_design/b205_clk_gen_exdes.ucf +b205_clk_gen/example_design/b205_clk_gen_exdes.v +b205_clk_gen/example_design/b205_clk_gen_exdes.xdc +b205_clk_gen/implement/implement.bat +b205_clk_gen/implement/implement.sh +b205_clk_gen/implement/planAhead_ise.bat +b205_clk_gen/implement/planAhead_ise.sh +b205_clk_gen/implement/planAhead_ise.tcl +b205_clk_gen/implement/planAhead_rdn.bat +b205_clk_gen/implement/planAhead_rdn.sh +b205_clk_gen/implement/planAhead_rdn.tcl +b205_clk_gen/implement/xst.prj +b205_clk_gen/implement/xst.scr +b205_clk_gen/simulation/b205_clk_gen_tb.v +b205_clk_gen/simulation/functional/simcmds.tcl +b205_clk_gen/simulation/functional/simulate_isim.bat +b205_clk_gen/simulation/functional/simulate_isim.sh +b205_clk_gen/simulation/functional/simulate_mti.bat +b205_clk_gen/simulation/functional/simulate_mti.do +b205_clk_gen/simulation/functional/simulate_mti.sh +b205_clk_gen/simulation/functional/simulate_ncsim.sh +b205_clk_gen/simulation/functional/simulate_vcs.sh +b205_clk_gen/simulation/functional/ucli_commands.key +b205_clk_gen/simulation/functional/vcs_session.tcl +b205_clk_gen/simulation/functional/wave.do +b205_clk_gen/simulation/functional/wave.sv +b205_clk_gen/simulation/timing/b205_clk_gen_tb.v +b205_clk_gen/simulation/timing/sdf_cmd_file +b205_clk_gen/simulation/timing/simcmds.tcl +b205_clk_gen/simulation/timing/simulate_isim.sh +b205_clk_gen/simulation/timing/simulate_mti.bat +b205_clk_gen/simulation/timing/simulate_mti.do +b205_clk_gen/simulation/timing/simulate_mti.sh +b205_clk_gen/simulation/timing/simulate_ncsim.sh +b205_clk_gen/simulation/timing/simulate_vcs.sh +b205_clk_gen/simulation/timing/ucli_commands.key +b205_clk_gen/simulation/timing/vcs_session.tcl +b205_clk_gen/simulation/timing/wave.do +b205_clk_gen.asy +b205_clk_gen.gise +b205_clk_gen.ucf +b205_clk_gen.v +b205_clk_gen.veo +b205_clk_gen.xco +b205_clk_gen.xdc +b205_clk_gen.xise +b205_clk_gen_flist.txt +b205_clk_gen_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl new file mode 100755 index 000000000..9b1f239ac --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl @@ -0,0 +1,140 @@ +# The package naming convention is <core_name>_xmdf +package provide b205_clk_gen_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is <core_name>_xmdf +namespace eval ::b205_clk_gen_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b205_clk_gen_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: <module_name> +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b205_clk_gen +} +# ::b205_clk_gen_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b205_clk_gen_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/b205_clk_gen_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b205_clk_gen +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy new file mode 100644 index 000000000..a0153d32c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy @@ -0,0 +1,9 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_icon +RECTANGLE Normal 32 32 544 864 +LINE Wide 576 112 544 112 +PIN 576 112 RIGHT 36 +PINATTR PinName control0[35:0] +PINATTR Polarity BOTH + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf new file mode 100644 index 000000000..e69de29bb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc new file mode 100644 index 000000000..1beaa38c9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`47=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0127?406881986<?016864679>18:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNi7NAATSMO4969k2IDBY\@L1>24;e<KFD_^BB?<03=g>EHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;e<KFD_^BB?<0;=f>EHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;e<KFD_^BB?<20=g>EHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2<M?::?6HKC59E@FC43ONN?6HKR59E@WC63N90KCJ>;H08M54<A880E?<4I208M14<A<30ECG[SUCWA6=NF_k0FYOH_BJBMK?<B]KLSYK\T39OM7=KG?1GCNEJD69OKBODIE>0@XZ>4:NVP72<D\^?86BZT758IP^DQVF>7@[WF478IP^A>;1E<:5AEUULVN0<FFIGE]94NNOFVBC63F;<7B^[ILKYAZVUADC_E[K\_OE@5>V03Y$=4iQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD<0\^J@ND38U4=U=2XN_HZ8;SWMP@US92Y87^KC5:QKMCR692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO=7^AZRBG5?VRF\\Y87YMD3:VGM6=SLF90X@];;U[SA6b<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[V0)AZSE"DQZSD]PKCRE[JKESH_?.T]AW32<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[PUBWZEMXO]LAO]FU5(NW\YNSU][_UAH[RIUCHXDYCJPEP3-QZODGGUI_NOA_CWEC*SXJ\LL>;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<<R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:?P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8>V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2<>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU<]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV=R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S<W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP9P Z_YMQG\473\:$YRGLOO-V[VRF\&_T_YO[_BLW+V:76;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([5;5>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@<m4U1-V[LEHF&_T_YO[/hFBPDYQIECO=45Z0.W\MFII'\UXXLZ iRVBP969901^<"[PIBMM+PYT\H^$e^ZNT=3=5<=R8&_TENAA/T]PPDR(aZ^JX1<1189V4*SXAJEE#XQ\T@V,mVRF\595=n5Z0.W\MFII'\UXXLZ iRVBPFKCWJO:i6[?/T]JGJH(]VY_MY!fSUCWGHBXKLUe=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5:5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5;5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\585=i5Z0.W\MFII'\UXXLZ iRVBPZEI\595=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5>5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV=R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLD<k4U1-V[LEHF&_T_T@L/hELPZU^FJUGCZ?j;T2,QZODGG%^S^WAC.kP]KEX_FXO0=0>e:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=<?<;T2,QZODGG%^SYJ@_sgd50=R8&_TENAA/T]j@DRFWJGO=:5Z0.W\MFII'\UbHLZN_BOG[k753\:$YRGLOO-V[lUBDVd:56[?/T]JGJH(aJEFAL@K_FPS858612_;#XQFCNL,mFIJEHDOSJ\_<0<2=>S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}<b:]\[]JIEVUT<RQPU1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX51[)]VF^X>m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6d<WVUS@CCP_^35[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ8Q#[PLBG0f>YXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NK<b:]\[]JIEVUT=4QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_3[)]VFHI>l4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7b<WVUS@CCP_^00[ZYR8&_TENAA/T]@PWJXG\^$YRMBDFPS466<WVUS@CCP_^07[ZYR8&_TENAA/T]@PWJXG\^$YRJNT@]UEIOC:h1TSRVCNL]\[73XWV_;#XQFCNL,QZU^FJ%^SJA[_R[MG6d<WVUS@CCP_^0\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;;Q#[PLTV0e>YXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP<P Z_MAF7g=XWVRGB@QP_9]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS8:V"XQCCD1a?ZYXPEDFSRQ6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg8m7nffpralt`Ynkfd'oegsbmsaZodggU}=R>9_`.MKKC+FFDN?;o4cikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=7.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox6<!mPurg\wlk:8%iTy~kPsndwfveff4:'oR~nru]j`ficmVxnkd}{es?3(fYr{lUaxliPbtdd94*dWgfSnaatsmo[uiu|z7: nQzsd]`kkruge:6=!mPurg\gjhszff:1="l_tqf[fii|{eg>0>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$t997nffpralt`YnkfdTz<Q?6^c/$]okagr+OB\J Fgmawgsg{*:8#88;bmvjqcu>2ixS`{w8:ap[hs9?k0enaa_cq`ek`<ajeeSo}lao]aqcaa3`idbRmbd^ffgjbb12chccQlosgg?lehfVi~aQ`uu`8mfiiWz~jxx}6;haljZu~fj80bb?>;ya5wi~0=$llk96;-2CDu2712JKt<o8:G81>4}T=j0:44475;3072>?n38>4v`>8682?k7?03<0(<69:0:3?xU4=3;3576::0103=>a2;?27i?n7;295?7|[<i1=5758482761?0o09955yT8694?7=93=mw^;l:0::>=3=9:9<45h524:8 41a2><0(5851c48f4g029098767:6dxL41c3-3j6<o8;[3g>4}6=3w/=5;51`c8 77=9h20(8l51`;8 <5=82.2>7?7a:)60?6=,1219?5a86814>=,=:0;6)67:408j=1=821 9<4?:%:;>04<f1=1=65$5183>!>?2<80b5952:9(0c<72-2368<4n9597>=,<l0;6)67:408j=1=<21 8i4?:%:;>04<f1=1965$4c83>!>?2<80b5956:9(0d<72-2368<4n9593>=,<00;6)67:408j=1=021 854?:%:;>04<f1=1565$4683>!>?2<80b595a:9(03<72-2368<4n959f>=,<<0;6)67:408j=1=k21 894?:%:;>04<f1=1h65$4283>!>?2<80b595e:9(07<72-2368<4n959b>=,<90;6)67:408j=1=9910'>h50;&;<?353g2<6<?4;*1f>5<#010>>6`77;31?>-4l3:1(565539m<2<6;21 ?n4?:%:;>04<f1=1=954+2`94?"?03?97c68:078?.5f290/454:2:l;3?7132!857>5$9:917=i0>0:;65$3983>!>?2<80b5951998/61=83.347;=;o:4>4?<3"?j6=4+89866>h??3;j76%:9;29 =>==;1e4:4>b:9(1=<72-2368<4n9595f=<#<=1<7*78;71?k>028n07&;9:18'<=<2:2d3;7?j;:)61?6=,1219?5a8682b>=,<j0;6)67:408j=1=:810'9?50;&;<?353g2<6?<4;*15>5<#010>>6`77;00?>d60=0;6<4?:1y'=d<6?l1C=5<4H05g?j>32900qo?73;295?6=8r.2m788;I3;6>N6?m1d:;4?::a=f<72;0;6=u+9`82g>N60;1C=:j4$9195g3<g181<75f6983>>{e1k0;6o4?:1y'=d<6j2B:4?5G16f8j27=92d<>7>4o8394?=h1>0;66a7e;29?j?12900c<9l:188k=b=831d5=4?::k:<?6=3f;m6=44o8;94?=h=o0;66sm14494?4=83:p(4o5719K5=4<@8=o7)6<:0`6?l0?2900c5<50;9~f43029096=4?{%;b>26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<<uk;>87>54;294~">i3<87E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`217<72=0;6=u+9`857>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9l<g<722wi=8;50;694?6|,0k1:<5G1908L41c3-286<l:;%4e>4=n9l0;66g<4;29?l3c2900c5l50;9~ff>=8381<7>t$8c935=O9180D<9k;%:0>4d23`<36=44o9094?=zjj31<7=50;2x <g=?11C=5<4H05g?!>428h>7)8i:048 =?=90i0e;750;9j2d<722e3>7>5;|`b0?6==3:1<v*6a;41?M7?:2B:;i5+8282f0=#>o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc6>5<2290;w)7n:708L4>53A;<h6*73;3a1>"1n3;0e<k50;9j65<722c887>5;h7g>5<<g1h1<75rb`494?3=83:p(4o5639K5=4<@8=o7)6<:0`6?!0a281b=h4?::k14?6=3`9?6=44i4f94?=h0k0;66sma683>0<729q/5l492:J2<7=O9>n0(5=51c78 3`=92c:i7>5;h03>5<<a:>1<75f5e83>>i?j3:17pl6e;291?6=8r.2m78=;I3;6>N6?m1/4>4>b49'2c<63`;n6=44i3294?=n;=0;66g:d;29?j>e2900qo7i:186>5<7s-3j6;<4H0:1?M70l2.3?7?m5:&5b?7<a8o1<75f2183>>o4<3:17d;k:188k=d=831vnl>50;794?6|,0k1:?5G1908L41c3-286<l:;%4e>4=n9l0;66g=0;29?l532900e8j50;9l<g<722wim<4?:483>5}#1h0=>6F>839K52b<,191=o;4$7d95>o6m3:17d<?:188m62=831b9i4?::m;f?6=3thj>7>55;294~">i3<97E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<<ukk86=4::183!?f2?80D<6=;I34`>"?;3;i96*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjho1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rb`f94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a28=0(57519`8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjhi1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:018 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rb``94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a28:0(5751848m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjhk1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:d9'<<<61m1b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66sma883>0<729q/5l489:J2<7=O9>n0(5=51c78 3`=l2.357?6d:k5=?6=3`<j6=44i7`94?=n>j0;66a72;29?xde?3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4l;%::>4?b3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol9:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?g<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg3=83?1<7>t$8c93<=O9180D<9k;%:0>4d23-<m645+8882=c=n>00;66g9a;29?l0e2900e;m50;9l<7<722win94?:483>5}#1h0<56F>839K52b<,191=o;4$7d9<>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a7?6==3:1<v*6a;5:?M7?:2B:;i5+8282f0=#>o0<7)66:0c3?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukh96=4::183!?f2>30D<6=;I34`>"?;3;i96*9f;48 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbc394?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2<1/444>a09j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ej90;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h54:&;=?7f92c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17plnf;291?6=8r.2m796;I3;6>N6?m1/4>4>b49'2c<43`<26=44i7c94?=n>k0;66g9c;29?j>52900qoo7:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?4<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnok50;794?6|,0k1;45G1908L41c3-286<l:;%4e>4?<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnoj50;794?6|,0k1;45G1908L41c3-286<l:;%4e>41<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnom50;794?6|,0k1;45G1908L41c3-286<l:;%4e>45<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnol50;794?6|,0k1;45G1908L41c3-286<l:;%4e>46<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnoo50;794?6|,0k1;45G1908L41c3-286<l:;%4e>`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win44?:483>5}#1h0<56F>839K52b<,191=o;4$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh;7>55;294~">i3=27E?72:J23a=#0:0:n85+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<uki=6=4::183!?f2>30D<6=;I34`>"?;3;i96*9f;c8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjj?1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:89j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ek=0;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h58:k5=?6=3`<j6=44i7`94?=n>j0;66a72;29?xdd;3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k48;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188yge5290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j784i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~ff7=83?1<7>t$8c93<=O9180D<9k;%:0>4d23-<m685f6883>>o1i3:17d8m:188m3e=831d4?4?::ag5<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l186g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ab?6==3:1<v*6a;5:?M7?:2B:;i5+8282f0=#>o087d86:188m3g=831b:o4?::k5g?6=3f296=44}c`;>5<2290;w)7n:6;8L4>53A;<h6*73;3a1>"1n380e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe294?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751`08m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm;1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbeg94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751828m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjml1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd294?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751838m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl;1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd094?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751808m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl91<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd694?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751818m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl?1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd494?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751868m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl=1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd:94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751878m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl31<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbdc94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751858m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjlh1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbda94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57518:8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjln1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbdg94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57518;8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjll1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe094?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751`18m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm91<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe694?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(5751`68m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm?1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe494?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57519a8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm=1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe:94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57519f8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm31<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbec94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57519g8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjmh1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbea94?3=83:p(4o5789K5=4<@8=o7)6<:0`6?!0a2830(57519d8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjmn1<7;50;2x <g=?01C=5<4H05g?!>428h>7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbg394?5=83:p(4o5799K5=4<@8=o7)6<:0`6?!0a2880(57518c8m3?=831b:l4?::m;6?6=3thm<7>53;294~">i3=37E?72:J23a=#0:0:n85+6g8e?!>>283j7d86:188m3g=831d4?4?::ab7<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=g=n>00;66g9a;29?j>52900qo?=9;290?6=8r.2m79k;I3;6>N6?m1/4>4>b49j2<<722c=m7>5;h:e>5<<g181<75rb004>5<3290;w)7n:6a8L4>53A;<h6*73;3a1>o113:17d8n:188m=`=831d4?4?::a570=83>1<7>t$8c93f=O9180D<9k;%:0>4d23`<26=44i7c94?=n0o0;66a72;29?xda>3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4m;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188yg`0290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j78?;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188yg`?290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?;;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188yg`>290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?>;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188yg`c29096=4?{%;b>26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<<uklj6=4<:183!?f2>20D<6=;I34`>"?;3;i96*9f;d8m3?=831b:l4?::m;6?6=3thmo7>55;294~">i3=27E?72:J23a=#0:0:n85+6g82=>o113:17d8n:188m3d=831b:n4?::m;6?6=3thmn7>53;294~">i3=37E?72:J23a=#0:0:n85+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44?290?6=4?{%;b>35<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n:90;66g:d;29?j>e2900qo??c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<44?:583>5}#1h0<n6F>839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>0;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<i4?:583>5}#1h0<n6F>839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>4;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=<4?:583>5}#1h0<n6F>839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>8;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=84?:583>5}#1h0<n6F>839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=44?:583>5}#1h0<n6F>839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>f;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<o4?:383>5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd68o0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`256<72;0;6=u+9`843>N60;1C=:j4$9195g3<,?l1=?5f6883>>i?:3:17pl>1683>7<729q/5l487:J2<7=O9>n0(5=51c78 3`=9;1b:44?::m;6?6=3th:=o4?:383>5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd69l0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`24d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`24`<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`257<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`253<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`25d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`25a<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`201<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1o6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`207<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=f=n>00;66g9a;29?j>52900qo?;6;296?6=8r.2m79?;I3;6>N6?m1/4>4>b49j2=<722e3>7>5;|`206<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`200<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j<f<722e3n7>5;|`27g<72=0;6=u+9`850>N60;1C=:j4$9195g3<,?l1=6g>e;29?l3c2900e5m50;9l<g<722wi=>m50;694?6|,0k1:95G1908L41c3-286<l:;%4e>4=n9l0;66g:d;29?l>d2900c5l50;9~f45c290?6=4?{%;b>32<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?<e;290?6=8r.2m78;;I3;6>N6?m1/4>4>b49'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6;o0;694?:1y'=d<1<2B:4?5G16f8 =5=9k?0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15294?2=83:p(4o5659K5=4<@8=o7)6<:0`6?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8>:6=4;:183!?f2?>0D<6=;I34`>"?;3;i96*9f;38m4c=831b9i4?::k;g?6=3f2i6=44}c367?6=?3:1<v*6a;5b?M7?:2B:;i5+6g82e>o113:17d8n:188m3d=831b:n4?::k5`?6=3`<n6=44o9094?=z{<>1<7<t^46894342?n0q~;<:181[3434nh65<4}r72>5<5sW?:70jn:908yv372909wS;?;<f;>=4<uz>m6=4={_6e?8b12180q~:j:181[2b34n?65<4}r6g>5<5sW>o70j=:908yv2e2909wS:m;<gf>=4<uz>j6=4={_6b?8cd2180q~:6:181[2>34oj65<4}r6;>5<5sW>370k7:908yv202909wS:8;<g5>=4<uz>=6=4={_65?8c32180q~:::181[2234o965<4}r67>5<5sW>?70k?:908yv242909wS:<;<ff>=4<uz>96=4={_61?8b72180q~:?:181[2734no65<4}r1e>5<5sW9m70jm:908yv5b2909wS=j;<f:>=4<uz9o6=4={_1g?8b02180q~=l:181[5d34n>65<4}r1a>5<5sW9i70j<:908yv5f2909wS=n;<ge>=4<uz926=4={_1:?8cc2180q~=7:181[5?34oi65<4}r14>5<5sW9<70k6:908yv3f2909wS;n;<g4>=4<uz?26=4={_7:?8c22180q~;7:181[3?34o865<4}r74>5<5sW?<70k>:908yv312909wS;9;<fe>=4<uz?>6=4={_76?8b62180q~:>:186[2634;>>76m;<c0>0b<58>:68j4=060>3e<uz;<>7>51ey]73=:1j03>63>5382a>;6==0:i63n3;3f?8g528o01l?51d9>e5<6m272j7?j;<;f>4c<5h=1=h52a782a>;f=3;n70o;:0g8944?28o01<?k:0g8947f28o01<?9:0g8947528o01<>j:0g8946f28o01<:>:0g8942728o01<=i:0g8945b28o01<=k:0g8945d28o01<=m:0g8942228o0q~9j:18g87?<32?70?:2;03?872<38;70?=8;03?876l38;70?>a;03?876>38;70?>2;03?877m38;70??a;03?877138970??c;01?873=3?o7p};c;293~;60:0=:6P;c:?25<<2m27:=84:e:?254<2m27:<i4:e:?24<<2m2wx=:l50;0x943228o014l516a8yv70i3:18v3l9;4b?8`52?k01kl56`9>=g<>92wx5i4?:3y>=g<2n272o787;|q234<72;q6=8;55e9>500=0;1v<9;:181872=39?70?:7;:1?xu6?<0;6>u2f385=>;aj3<270?;5;:a?xu6?>0;6?u21469<g=:1k0246s|16:94?4|588365l4=070>3c<uz;>m7>54z?b<?>534n:6;o4=e292d=:nj0=m6s|17394?5|5hl14?52dg85e>;cm3<j7p}>6383>6}:j903>63j1;4b?8c72?k0q~?93;297~;e932970k<:7c89`4=>h1v<8;:1808d521801h;56`9>a1<1i2wx=;;50;1x9g5=0;16i:49a:?f2?0f3ty::;4?:2y>f1<?:27n578n;<g;>3g<uz;=;7>53z?a1?>534oi6;o4=dc92d=z{8<36=4<{<`5>=4<5ln1:l52eb85e>{t9?31<7=t=c59<7=:mo0=m63je;4b?xu6=k0;6>u2a88;6>;c;3<j70j=:7c8yv72k3:1?v3na;:1?8b22?k01i:56`9~w43c2908w0om:9089a1=>h16h;49a:p50c=839p1lm5839>`<<1i27o478n;|q21c<72:q6mi472:?gf?0f34nj6;o4}r354?6=;r7ji76=;<fg>3g<5mi1:l5rs04f>5<59r7i476=;<fg>3d<5mi1:o52dc85f>;ci3<i70j6:7`89a>=>k16h:49b:?g2?0e34n>6;l4=e692g=:l:0=n63k2;4a?8ca2?h01hk56c9>aa<1j27no78m;<ga>3d<5lk1:o52e885f>;b03<i70k8:7`89`0=>k16i849b:?f0?0e34o86;l4=d092g=:m80=n63j0;4a?8ba2?h01ik56c9>`4<1j27o<78m;|q22c<72;q6nh472:?eg?0e3ty::l4?:01x9d6=0k165k4:d:?a<?0>34hm6;74=b292<=:k80=563l2;4:?8e42?301n:5689>g0<1127h:786;<a4>3?<5k31:452b`85=>;ej3<270ll:7;89gb=>016nh499:?216<1k2wx=;l50;308g621h01l>55e9>f=<1i27ij78n;<a3>3g<5j;1:l52c385e>;d;3<j70m;:7c89f3=>h16o;49a:?`3?0f34h26;o4=cc92d=:jk0=m63mc;4b?8dc2?k01ok56`9>505=>k1v<8l:1827~;f:32i70o>:4f89g>=>k16nk49b:?`4?0e34i:6;l4=b092g=:k:0=n63l4;4a?8e22?h01n856c9>g2<1j27i578m;<`b>3d<5kh1:o52bb85f>;el3<i70lj:7`894342?k0q~?9d;2956}:i:03n63n2;7g?8d?2?i01oh56b9>g5<1k27h=78l;<a1>3e<5j91:n52c585g>;d=3<h70m9:7a89f1=>j16n449c:?ae?0d34hi6;m4=ca92f=:jm0=o63me;4`?872;3<27p}>5983>1}:i=03n63i0;4:?8`62?301ko5689~w43>290>w0o::9`89d2==m16j=49a:?e5?0f34lj6;o4}r344?6=<r7:9847b:?213<1027h5786;<372?0?3ty:;44?:3y>502==m16=8=5839~w4142908w0?:7;4;?8?e20:01n65699~w4112909w0?:2;7g?8?e20=0q~mn:18a8g42:>01l<5359>e4<4<27j<7=;;<;e>62<50o1?952a6800>;f>39?70o::2689d2=;=16o5472:pgc<72kq6m>4=0:?b6?4734k:6?>4=`2965=:1o09<636e;03?8g02;:01l85219>e0<5827j87<?;<a:>=4<uzio6=4>2z?b2?>e34k>68j4=`:92<=:io0=563m0;4:?8d62?301o<5689>f6<1127i8786;<`6>3?<5k<1:452b685=>;f13<270on:7;89dd=>016mn499:?b`?0>34kn6;74}raf>5<6:r7j;76m;<c5>0b<5h21:l52ag85e>;e83<j70l>:7c89g4=>h16n>49a:?a0?0f34h>6;o4=c492d=:j>0=m63n9;4b?8gf2?k01ll56`9>ef<1i27jh78n;<cf>3g<uzii6=4>2z?:a?>e34k<68j4=`:92g=:io0=n63m0;4a?8d62?h01o<56c9>f6<1j27i878m;<`6>3d<5k<1:o52b685f>;f13<i70on:7`89dd=>k16mn49b:?b`?0e34kn6;l4}ra`>5<6:r72j76m;<;f>0b<5h21:n52ag85g>;e83<h70l>:7a89g4=>j16n>49c:?a0?0d34h>6;m4=c492f=:j>0=o63n9;4`?8gf2?i01ll56b9>ef<1k27jh78l;<cf>3e<uzl?6=4>1z?e5?>534nh6;m4=ec92f=:l10=o63k6;4`?8b32?i01i<56b9>a`<1k27no78l;<gb>3e<5l21:n52e785g>;b<3<h70k=:7a89`6=>j16hh49c:?g4?0d3tym?7>510y>b5<?:27oh78l;<fa>3e<5m31:n52d685g>;c=3<h70j<:7a89``=>j16ii49c:?ff?0d34o26;m4=d592f=:m<0=o63j3;4`?8c62?i01ih56b9>`4<1k2wxj84?:33x9c4=0;16hi499:?gg?0>34ni6;74=ec92<=:l00=563k8;4:?8b02?301i85689>`0<1127o8786;<f0>3?<5m81:452eg85=>;bm3<270kk:7;89`e=>016io499:?fe?0>34o26;74=d:92<=:m>0=563j6;4:?8c22?301h:5689>a6<1127n>786;<g2>3?<5l:1:452dg85=>;cm3<270j>:7;89a6=>01v<=n:18187503?o70?=9;:1?xu6;00;6?u21359<7=:9;31:45rs01;>5<5s4;9:76=;<31=?0f3ty:>n4?:3y>b3<?:27:>:499:p57b=838p1k95839>571=>h1v<<j:1818`?21801<<9:7;8yv75n3:1>v3i9;:1?875>3<j7p}>3083>2}:nm03>63>1e8;g>;69h03o63>178;g>;69;03o63>0d8;g>;68h03o6s|13c94?4|5oi1:n52f`8;6>{t9::1<7<t=ga9<7=:nm0=46s|13`94?4|5oh14?52fb85=>{t9:=1<7=t=00:>=`<58;o65l4=03f>3?<uz;8:7>54z?263<?n27:>:47f:?25d<?j27:=o499:p563=83<p1k756b9>b=<1k27m;78l;<d5>3e<58;=65l4=034>3?<uz;887>56z?e=?0e34l36;l4=g592g=:n?0=n63>138;f>;69:0=56s|12194?0|5o31:l52f985e>;a?3<j70h9:7c8946b21h01<>i:7;8yv74:3:1:v3i9;4:?8`?2?301k95689>b3<1127:<l47b:?24g<112wx===50;0x946f2<n01<>l:908yv`b2908w0??d;01?876838970??9;57?xu68=0;6?u211g91a=:98:14?5rsgd94?5|58;:6?<4=037>74<58:o6::4}r331?6=:r7:=?4:d:?251<?:2wx==>50;1x94722;801<?7:30894762>>0q~??6;296~;69?0>h63>198;6>{t99;1<7=t=03:>74<58;h6?<4=036>22<uz;;;7>52z?25d<2l27:=n472:p554=838p1<?i:308947>2>>0q~??8;296~;69m0>h63>1g8;6>{t9;:1<7=t=02a>=4<58:265h4=02`>25<uz;9=7>53z?24c<?:27:<i47f:?255<0;2wx=?<50;1x947421801<?>:9d894732>90q~?=3;297~;69>03>63>148;b>;6910<?6s|13694?5|58;i65<4=03:>=`<58;h6:=4}r311?6=:r7:=h472:?25c<0;2wx=9o50;0x94252?301<:;:908yv7303:1>v3>44814>;6<;03>6s|15594??|58>:65m4=063>=e<589m65m4=01f>=e<589o65m4=01`>=e<589i65m4=066>=e<58>=65<4}r37f?6=:r7:?o47b:?201<112wx=9m50;1x945d21h01<=m:4f894232?k0q~?;d;297~;6;m03n63>3b86`>;6<=0=n6s|15g94?5|589n65l4=01g>0b<58>?6;m4}r37b?6=;r7:?k47b:?27`<2l27:8>499:p506=839p1<:?:9`8945a2<n01<:<:7c8yv7313:1>v3>428;6>;6<;0=m6s|14394?5|58>:65l4=063>0b<58>86;l4}|l04g<728qC=:j4}o13g?6=9rB:;i5rn22g>5<6sA;<h6sa31g94?7|@8=o7p`<0g83>4}O9>n0qc=>0;295~N6?m1vb>?>:182M70l2we?<<50;3xL41c3td8=>4?:0yK52b<ug9:87>51zJ23a=zf:;>6=4>{I34`>{i;8<1<7?tH05g?xh49>0;6<uG16f8yk5603:1=vF>7e9~j67>290:wE?8d:m74g=83;pD<9k;|l05g<728qC=:j4}o12g?6=9rB:;i5rn23g>5<6sA;<h6sa30g94?7|@8=o7p`<1g83>4}O9>n0qc==0;295~N6?m1vb><>:182M70l2we??<50;3xL41c3td8>>4?:0yK52b<ug9987>51zJ23a=zf:8>6=4>{I34`>{i;;<1<7?tH05g?xh4:>0;6<uG16f8yk5503:1=vF>7e9~j64>290:wE?8d:m77g=83;pD<9k;|l06g<728qC=:j4}o11g?6=9rB:;i5rn20g>5<6sA2:7E6?;I34`>{i;;o1<7?tH05g?xh4:o0;6<uG16f8yk5483:1=vF71:J;4>N6?m1vb>=>:182M>63A2;7E?8d:m764=83;pD<9k;|l076<728qC=:j4}o100?6=9rB:;i5rn216>5<6sA;<h6sa32494?7|@8=o7p`<3683>4}O9>n0qc=<8;295~N6?m1vb>=6:182M70l2we?>o50;3xL41c3td8?o4?:0yK52b<ug98o7>51zJ23a=zf:9o6=4>{I34`>{i;:o1<7?tH05g?xh4;o0;6<uG16f8yk5383:1=vF>7e9~j626290:wE?8d:m714=83;pD<9k;|l006<728qC=:j4}o170?6=9rB:;i5rn266>5<6sA;<h6sa35494?7|@8=o7p`<4683>4}O9>n0qc=;8;295~N6?m1vb>:6:182M70l2we?9o50;3xL41c3td88o4?:0yK52b<ug9?o7>51zJ23a=zf:>o6=4>{I34`>{i;=o1<7?tH05g?xh4<o0;6<uG16f8yk5283:1=vF>7e9~j636290:wE?8d:m704=83;pD<9k;|l016<728qC=:j4}o160?6=9rB:;i5rn276>5<6sA;<h6sa34494?7|@8=o7p`<5683>4}O9>n0qc=:8;295~N6?m1vb>;6:182M70l2we?8o50;3xL41c3td89o4?:0yK52b<ug9>o7>51zJ23a=zf:?o6=4>{I34`>{i;<o1<7?tH05g?xh4=o0;6<uG16f8yk5183:1=vF>7e9~j606290:wE?8d:m734=83;pD<9k;|l026<728qC=:j4}o150?6=9rB:;i5rn246>5<6sA;<h6sa37494?7|@8=o7p`<6683>4}O9>n0qc=98;295~N6?m1vb>86:182M70l2we?;o50;3xL41c3td8:o4?:0yK52b<ug9=o7>51zJ23a=zf:<o6=4>{I34`>{i;?o1<7?tH05g?xh4>o0;6<uG16f8yk5083:1=vF>7e9~j616290:wE?8d:m724=83;pD<9k;|l036<728qC=:j4}o140?6=9rB:;i5rn256>5<6sA;<h6sa36494?7|@8=o7p`<7683>4}O9>n0qc=88;295~N6?m1vb>96:182M70l2we?:o50;3xL41c3td8;o4?:0yK52b<ug9<o7>51zJ23a=zf:=o6=4>{I34`>{i;>o1<7?tH05g?xh4?o0;6<uG16f8yk5?83:1=vF>7e9~j6>6290:wE?8d:m7=4=83;pD<9k;|l0<6<728qC=:j4}o1;0?6=9rB:;i5rn2:6>5<6sA;<h6sa39494?7|@8=o7p`<8683>4}O9>n0qc=78;295~N6?m1vb>66:182M70l2wvqpNOCz63=?548:?<;8sO@Cy3yEFWstJK
\ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v new file mode 100644 index 000000000..24282de22 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v @@ -0,0 +1,27 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.v +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_icon( + CONTROL0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo new file mode 100644 index 000000000..094dbf8de --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo @@ -0,0 +1,28 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.veo +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_icon YourInstanceName ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco new file mode 100644 index 000000000..211cf181f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco @@ -0,0 +1,56 @@ +############################################################## +# +# Xilinx Core Generator version 14.6 +# Date: Wed Apr 15 00:05:36 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a +# END Select +# BEGIN Parameters +CSET component_name=chipscope_icon +CSET constraint_type=external +CSET enable_jtag_bufg=true +CSET example_design=false +CSET number_control_ports=1 +CSET use_ext_bscan=false +CSET use_softbscan=false +CSET use_unused_bscan=false +CSET user_scan_chain=USER1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-06-08T17:28:33Z +# END Extra information +GENERATE +# CRC: b52cbe62 diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt new file mode 100644 index 000000000..bf55dc492 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt @@ -0,0 +1,15 @@ +# Output products list for <chipscope_icon> +chipscope_icon.asy +chipscope_icon.constraints/chipscope_icon.ucf +chipscope_icon.constraints/chipscope_icon.xdc +chipscope_icon.gise +chipscope_icon.ngc +chipscope_icon.ucf +chipscope_icon.v +chipscope_icon.veo +chipscope_icon.xco +chipscope_icon.xdc +chipscope_icon.xise +chipscope_icon_flist.txt +chipscope_icon_readme.txt +chipscope_icon_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt new file mode 100644 index 000000000..304eb5252 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'chipscope_icon' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_icon.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_icon.constraints/chipscope_icon.ucf + * chipscope_icon.constraints/chipscope_icon.xdc + * chipscope_icon.ngc + * chipscope_icon.ucf + * chipscope_icon.v + * chipscope_icon.veo + * chipscope_icon.xdc + * chipscope_icon_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_icon.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * chipscope_icon.gise + * chipscope_icon.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_icon_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_icon_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl new file mode 100755 index 000000000..241f4d5ae --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl @@ -0,0 +1,88 @@ +# The package naming convention is <core_name>_xmdf +package provide chipscope_icon_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is <core_name>_xmdf +namespace eval ::chipscope_icon_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_icon_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: <module_name> +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon +} +# ::chipscope_icon_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy new file mode 100644 index 000000000..4b7848a2a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[127:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc new file mode 100644 index 000000000..3cb2c112f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc @@ -0,0 +1,144 @@ +#ChipScope Core Generator Project File Version 3.0 +#Tue Jun 30 11:48:11 PDT 2015 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerChannel<0000><0032>=TRIG0[32] +SignalExport.triggerChannel<0000><0033>=TRIG0[33] +SignalExport.triggerChannel<0000><0034>=TRIG0[34] +SignalExport.triggerChannel<0000><0035>=TRIG0[35] +SignalExport.triggerChannel<0000><0036>=TRIG0[36] +SignalExport.triggerChannel<0000><0037>=TRIG0[37] +SignalExport.triggerChannel<0000><0038>=TRIG0[38] +SignalExport.triggerChannel<0000><0039>=TRIG0[39] +SignalExport.triggerChannel<0000><0040>=TRIG0[40] +SignalExport.triggerChannel<0000><0041>=TRIG0[41] +SignalExport.triggerChannel<0000><0042>=TRIG0[42] +SignalExport.triggerChannel<0000><0043>=TRIG0[43] +SignalExport.triggerChannel<0000><0044>=TRIG0[44] +SignalExport.triggerChannel<0000><0045>=TRIG0[45] +SignalExport.triggerChannel<0000><0046>=TRIG0[46] +SignalExport.triggerChannel<0000><0047>=TRIG0[47] +SignalExport.triggerChannel<0000><0048>=TRIG0[48] +SignalExport.triggerChannel<0000><0049>=TRIG0[49] +SignalExport.triggerChannel<0000><0050>=TRIG0[50] +SignalExport.triggerChannel<0000><0051>=TRIG0[51] +SignalExport.triggerChannel<0000><0052>=TRIG0[52] +SignalExport.triggerChannel<0000><0053>=TRIG0[53] +SignalExport.triggerChannel<0000><0054>=TRIG0[54] +SignalExport.triggerChannel<0000><0055>=TRIG0[55] +SignalExport.triggerChannel<0000><0056>=TRIG0[56] +SignalExport.triggerChannel<0000><0057>=TRIG0[57] +SignalExport.triggerChannel<0000><0058>=TRIG0[58] +SignalExport.triggerChannel<0000><0059>=TRIG0[59] +SignalExport.triggerChannel<0000><0060>=TRIG0[60] +SignalExport.triggerChannel<0000><0061>=TRIG0[61] +SignalExport.triggerChannel<0000><0062>=TRIG0[62] +SignalExport.triggerChannel<0000><0063>=TRIG0[63] +SignalExport.triggerChannel<0000><0064>=TRIG0[64] +SignalExport.triggerChannel<0000><0065>=TRIG0[65] +SignalExport.triggerChannel<0000><0066>=TRIG0[66] +SignalExport.triggerChannel<0000><0067>=TRIG0[67] +SignalExport.triggerChannel<0000><0068>=TRIG0[68] +SignalExport.triggerChannel<0000><0069>=TRIG0[69] +SignalExport.triggerChannel<0000><0070>=TRIG0[70] +SignalExport.triggerChannel<0000><0071>=TRIG0[71] +SignalExport.triggerChannel<0000><0072>=TRIG0[72] +SignalExport.triggerChannel<0000><0073>=TRIG0[73] +SignalExport.triggerChannel<0000><0074>=TRIG0[74] +SignalExport.triggerChannel<0000><0075>=TRIG0[75] +SignalExport.triggerChannel<0000><0076>=TRIG0[76] +SignalExport.triggerChannel<0000><0077>=TRIG0[77] +SignalExport.triggerChannel<0000><0078>=TRIG0[78] +SignalExport.triggerChannel<0000><0079>=TRIG0[79] +SignalExport.triggerChannel<0000><0080>=TRIG0[80] +SignalExport.triggerChannel<0000><0081>=TRIG0[81] +SignalExport.triggerChannel<0000><0082>=TRIG0[82] +SignalExport.triggerChannel<0000><0083>=TRIG0[83] +SignalExport.triggerChannel<0000><0084>=TRIG0[84] +SignalExport.triggerChannel<0000><0085>=TRIG0[85] +SignalExport.triggerChannel<0000><0086>=TRIG0[86] +SignalExport.triggerChannel<0000><0087>=TRIG0[87] +SignalExport.triggerChannel<0000><0088>=TRIG0[88] +SignalExport.triggerChannel<0000><0089>=TRIG0[89] +SignalExport.triggerChannel<0000><0090>=TRIG0[90] +SignalExport.triggerChannel<0000><0091>=TRIG0[91] +SignalExport.triggerChannel<0000><0092>=TRIG0[92] +SignalExport.triggerChannel<0000><0093>=TRIG0[93] +SignalExport.triggerChannel<0000><0094>=TRIG0[94] +SignalExport.triggerChannel<0000><0095>=TRIG0[95] +SignalExport.triggerChannel<0000><0096>=TRIG0[96] +SignalExport.triggerChannel<0000><0097>=TRIG0[97] +SignalExport.triggerChannel<0000><0098>=TRIG0[98] +SignalExport.triggerChannel<0000><0099>=TRIG0[99] +SignalExport.triggerChannel<0000><0100>=TRIG0[100] +SignalExport.triggerChannel<0000><0101>=TRIG0[101] +SignalExport.triggerChannel<0000><0102>=TRIG0[102] +SignalExport.triggerChannel<0000><0103>=TRIG0[103] +SignalExport.triggerChannel<0000><0104>=TRIG0[104] +SignalExport.triggerChannel<0000><0105>=TRIG0[105] +SignalExport.triggerChannel<0000><0106>=TRIG0[106] +SignalExport.triggerChannel<0000><0107>=TRIG0[107] +SignalExport.triggerChannel<0000><0108>=TRIG0[108] +SignalExport.triggerChannel<0000><0109>=TRIG0[109] +SignalExport.triggerChannel<0000><0110>=TRIG0[110] +SignalExport.triggerChannel<0000><0111>=TRIG0[111] +SignalExport.triggerChannel<0000><0112>=TRIG0[112] +SignalExport.triggerChannel<0000><0113>=TRIG0[113] +SignalExport.triggerChannel<0000><0114>=TRIG0[114] +SignalExport.triggerChannel<0000><0115>=TRIG0[115] +SignalExport.triggerChannel<0000><0116>=TRIG0[116] +SignalExport.triggerChannel<0000><0117>=TRIG0[117] +SignalExport.triggerChannel<0000><0118>=TRIG0[118] +SignalExport.triggerChannel<0000><0119>=TRIG0[119] +SignalExport.triggerChannel<0000><0120>=TRIG0[120] +SignalExport.triggerChannel<0000><0121>=TRIG0[121] +SignalExport.triggerChannel<0000><0122>=TRIG0[122] +SignalExport.triggerChannel<0000><0123>=TRIG0[123] +SignalExport.triggerChannel<0000><0124>=TRIG0[124] +SignalExport.triggerChannel<0000><0125>=TRIG0[125] +SignalExport.triggerChannel<0000><0126>=TRIG0[126] +SignalExport.triggerChannel<0000><0127>=TRIG0[127] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=128 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf new file mode 100644 index 000000000..6be9051a2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc new file mode 100644 index 000000000..1c4da9412 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$ed27=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?0122<>6789::<=:401270>67;O>0<<>>1193547788;;==??0137?54?I8:0<?6N47AF56?E=>NM86>8F1684C4592;?7<??F04857>=AGZ^X7Z]IF2?571=87;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ<FLMXI0<=50?37?40=AGZ^X7JFAEK?50<768>0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766<281EC^ZT;FJTDBNX5;1<3?;;38JJUSS2MC[NIG_<083:45<:3CE\XZ5WDCTAI:6294:?6<5IORVP?QBJ^O^0<4?>0786?IR\Y__6IA_AEMS84<768?0>7AZTQWW>AIWJME[0<4?>00877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>586>29=6D@@UU8@KKRUGE6897>11:67?17788;087GAPTV9@LVF4:0;2<?44;KMTPR=L@ZI0>4?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281177??9999??5533a>3=AGZ^X7O34;2=5>>53H:97L?=;@0;?D4A:H=M;?5N359B85833H6:295N<3<7?D:46?1J094?>59B818?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=a>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d<IMNYN1?<:1<:?DBCZK6:?364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?9m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040>0:@EFGGBIH9<?>>;01:8FPUXAGLD=6M=;BC1?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN2<KEAI96MCKC3:?FJLJ[NH@F;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EEc8GJHSZFF7<3l4CNLWVJJ;994i7NAATSMO8479j2IDBY\@L=31:g=DGG^YCA2>3?`8GJHSZFF7=90m;BMMPWIK48?5n6M@NUPLH9716k1HCCZ]OM>23;d<KFD_^BB319<a?FII\[EG0<71a:ALJQTHD5;5n6M@NUPLH9476k1HCCZ]OM>15;d<KFD_^BB323<a?FII\[EG0?=1b:ALJQTHD58?2o5LOOVQKI:5=7h0OB@[RNN?638e3JEEX_AC<35=f>EHF]XD@1<7>c9@KKRUGE6953o4CNLWVJJ;:7h0OB@[RNN?758e3JEEX_AC<23=f>EHF]XD@1==>c9@KKRUGE68?3l4CNLWVJJ;;=4o7NAATSMO863=87h0OB@[RNN?708f3JEEX_AC<2<b?FII\[EG090n;BMMPWIK4<4j7NAATSMO838f3JEEX_AC<6<b?FII\[EG050n;BMMPWIK404:86M@RD]DAKCUI]CDBRGAFN58GWCF\LN:7I64D133G567k2NJXLQXIEVK[De<LH^JSZGKTI]A6>BN>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;1<L@K7=;08;EKB8419?2NBM1?7>69GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1<L@K7>908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>611OELJF<1<:?AOFL@6:<374DHCGM976601OELJF<00==>BNIMC7=>06;EKB@L:6<7h0HDOKI=36>58>3MCJHD2>5?:8@LGCA5;546JFAEK?6;><L@KOE1=18:FJEAO;<720HDOKI=7=<>BNIMC7:364DHCGM91902NBMIG38?:8@LGCA535:6JFB=2=3>BNJ5;;2:5KIC>25;1<L@H7=?08;EKA8459?2NBN1?;>69GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1<L@H7>=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK62255KICFJ858>3MCIHD2>0?;8@LDCA5;:245KICFJ844912NBNIG312<:?AOEL@6:83l4DH@GM97229427IGMDH>21;><L@HOE1?18:FJFAO;:720HDLKI=1=<>BNJMC78364DH@GM93902NBNIG36?:8@LDCA5=546JFBEK?<;><L@HOE1717:FJTD:76>1OE]O31?58@LVF4;427IG_A=194;1<L@ZJ0>0n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=e>BNXKNB\1>1c:FJTGBNX5;1<3o4DHRA@LV;97<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;1<LFK7=908;EMB8439?2NDM1?9>69GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1<LFK7>?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;1<LFK7?=06;EMB867=87=0HBO330<5?AIF4:4=7IAN<5<5?AIF4<4=7IAN<7<5?AIF4>4=7IAN<9<5?AIF40437IANDN>3:<=CGHND0<>19:FLEAI;98427IANDN>26;?<LFKOC1?<>89GKDBH48>5n6J@AEM?50<7601OCLJ@<07=<>BHIME7=364DNCGK94902NDMIA33?:8@JGCG5>546J@AEM?1;><LFKOC1818:FLEAI;?720HBOKO=:=<>BHIME75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?902NDNIA30?;8@JDCG5;;245KOCFL847912NDNIA313<:?AIELF6:?374DN@GK9736k1OCOJ@<0794;?<LFHOC1?:>99GKGBH48437IAMDN>1:==CGKND0>07;EMA@J:3611OCOJ@<4<;?AIELF6=255KOCFL828?3MEIHB27>99GKGBH404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<b?AIWIME[0=0l;EMSEAIW480;2l5KOQCGKU:66>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3?4E39F01=B?9897HH<;DLB3>CII^XNB>5JNC58AKDULLDi7H@PRRVQEHYFj2OES_][R@O\F1=A89=:<6H?D1G3G5D799K;<=:4F7331>@FDZO97KJ>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD:8BA@?0M23?6HKP29E@W2<NMXN?6HKS59EAAE682LMIHHJEDDFA@@BML>0JKH?4:DEB@><NOLM99??119EBC@F:1:J>5>N29224>@ANOLJJ?HIFGD;B5773OLMJKHIF476003288:0JKHIFGDE<D>?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G1<AGC_S=M8;HLJPZ6C?2CEEYQ?E69JJLRX8O=0ECG[_024?LHN\V;:;6GAIU]262=NF@^T=>94IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1<AGC_S<M8;HLJPZ7C?2CEEYQ>E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G1<AGC_S?M8;HLJPZ4C?2CEEYQ=E69JJLRX:O=0ECG[_224?LHN\V9:;6GAIU]062=NF@^T?>94IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1<AGC_S>M8;HLJPZ5C?2CEEYQ<E69JJLRX;O<0ECG[_@48MKOSWK30ECG[_GKOA6=NF_90@IM=;MK1?II13EEHGHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP02<D\^=;6CZXB[\H0=J]QL<96CZXG:1?K743G;<?6@>829M655<F;;<7CK[WNPH0>HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Yi0\#<?123356YK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P18U4343X9:=6\n;SCNF40E_LX27_OBB9@TAW><ZHGTABJJ6:PFCFCF>2XNKNKMb:PFCFCEZLMHI95]SUC7?WUSJ>1Y_YL]SU58VVRSQYOn7_][_QPJKWOSQVKn7_][_QPJKWOSQVH:7^?>;RJQABYBP]XJOYQAGBg8WMTBOVGBBR]FZD30?VOJWJEG@D]FOO]@L@ELi2YBARJJVHAF2>UH][IN46]_ASVBJ33<[[F::;5\RM35A2=TZEI::H94SSN@77C03ZX]MAQN7:QQRDJXJ?1XXLZZS59P]KE43]N[46Z]IF2?4;g<\[CL<1??0?c8PWO@85;;=3o4TSKD4977:7k0X_GH0=337;g<\[CL<1??4?c8PWO@85;;93o4TSKD4977>7k0X_GH0=333;g<\[CL<1??8?c8PWO@85;;5374TSKD49776h1_^DI?<033:d=SZ@M;0<?>>`9WVLA748;92l5[RHE384746h1_^DI?<037:d=SZ@M;0<?:>`9WVLA748;=2l5[RHE384706h1_^DI?<03;:d=SZ@M;0<?6>89WVLA748;5m6Z]IF2?5769i2^YEJ>3133=e>RUAN:7=?<1a:VQMB6;9;95m6Z]IF2?5729i2^YEJ>3137=e>RUAN:7=?81c:VQMB6;9;=1<3o4TSKD4975?730X_GH0=31:<=SZ@M;0<=19:VQMB6;9=427Y\FG1>21;?<\[CL<1?9>89WVLA748=556Z]IF2?5=8>3]XBK=2>9?:8PWO@85;556Z]IF2?658>3]XBK=2=1?;8PWO@8589245[RHE3875912^YEJ>325<:?QTNO9699374TSKD4941601_^DI?<35==>RUAN:7>506;UPJC5:51720X_GH0=0==>RUAN:7?=06;UPJC5:49730X_GH0=11:<=SZ@M;0>=19:VQMB6;;=427Y\FG1>01;?<\[CL<1=9>89WVLA74:=556Z]IF2?7=8>3]XBK=2<9?:8PWO@859556Z]IF2?058>3]XBK=2;1?;8PWO@85>9245[RHE3815912^YEJ>345<:?QTNO96?9374TSKD4921601_^DI?<55==>RUAN:78506;UPJC5:31720X_GH0=6==>RUAN:79=06;UPJC5:29730X_GH0=71:<=SZ@M;08=19:VQMB6;==427Y\FG1>61;?<\[CL<1;9>89WVLA74<=556Z]IF2?1=8>3]XBK=2:9?:8PWO@85?556Z]IF2?258>3]XBK=291?;8PWO@85<9245[RHE3835912^YEJ>365<:?QTNO96=9374TSKD4901601_^DI?<75==>RUAN:7:506;UPJC5:11720X_GH0=4==>RUAN:7;=06;UPJC5:09730X_GH0=51:<=SZ@M;0:=19:VQMB6;?=427Y\FG1>41;?<\[CL<199>89WVLA74>=556Z]IF2?3=8>3]XBK=289?:8PWO@85=556Z]IF2?<58>3]XBK=271?;8PWO@8529245[RHE38=5912^YEJ>385<:?QTNO9639374TSKD49>1601_^DI?<95==>RUAN:74506;UPJC5:?1720X_GH0=:==>RUAN:75=06;UPJC5:>9730X_GH0=;1:<=SZ@M;04=19:VQMB6;1=427Y\FG1>:1;?<\[CL<179>89WVLA740=556Z]IF2?==8>3]XBK=269?:8PWO@853586ZVPD1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T0\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[1_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T112^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY245[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX557Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]688W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\998V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<?S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP===R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>02_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T116^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY241[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX553Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68<W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99<V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<;S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP==9R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>06_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T11:^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24=[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX55?Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]680W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:<P F_RPOPZHBW9$O@T7<e:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<??]/K\WWJSWGOT<#HI419V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>1\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[032Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ326X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_475U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76;T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;8Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:8P F_RPOPZHBW9$O@T7<e:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?;]/K\WWJSWGOT<#HI419V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>5\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[036Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ322X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_471U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76?T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;<Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:4P F_RPOPZHBW9$O@T7<e:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?7]/K\WWJSWGOT<#HI419V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?>9\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[03:Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ32Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX54[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX576Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:9W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;;V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:><S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?<R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>23_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T131^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY266[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX572Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:=W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;?V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:>8S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?8R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>27_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T135^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY262[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX57[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^74U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T16_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T19_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX65[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^46U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR88Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T24_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T27_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ05Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ04Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX62[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?<S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP??S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV==]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV=<]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T32_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T35_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ17Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ16Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX70[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX73[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^51U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^50U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR93Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR92Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?P F_RPOPZHBW9$O@T7<c:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T40_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T43_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ61Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ60Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX06[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX01[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^23U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^22U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<<W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<?W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR>=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR><Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8:S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP85S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:7]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:6]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T48_-MZUUD]UEIR>!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T4\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[5_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T51_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ73Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ72Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX14[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX17[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^35U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^34U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\==W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR??Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP98S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP9;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T56_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T59_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_0[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX25[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^07U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^06U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<9Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<8Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T64_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T67_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ45Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ44Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX22[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;<S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;?S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV9=]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV9<]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T72_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T75_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ57Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ56Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX30[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX33[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^11U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^10U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=3Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=2Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;P F_RPOPZHBW9$O@T7<c:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW:S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T80_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T83_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:1Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:0Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<6[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<1[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>3U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>2U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0<W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0?W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2<Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4:S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP45S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV67]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV66]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T88_-MZUUD]UEIR>!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T8\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[9_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T91_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;3Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;2Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=7[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?5U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?4U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP58S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP5;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV79]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV78]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T96_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T99_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<[)AVYY@YQAE^2-BC453\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw494986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84666;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==<1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24685<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;83<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<026:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;99<5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>06<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt977078?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<>6>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5585<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:<3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<032:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9885>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>12<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976<78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<?:>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5409:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=:0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=32<;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48;22?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~310<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5749:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=310;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw488>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3134=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;?2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~314<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9716;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=:0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=3;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>14;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=2?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8759::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6983<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<37=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>1<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx59:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~333<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9546;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7?90=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=16:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;;?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1=8>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5922?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~33?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8169::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<50=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:3;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{09:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>71;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4=<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2;7?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos81>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?53<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<5<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9376;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz79<0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=71:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;=:49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1;;>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?1085;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5?=2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~356<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt93?6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7940=2:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=7=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:187887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>56;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4?95>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}294?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8339::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6=:3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<75=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:107887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;71239V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>5:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?949?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|19>>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3785;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5=82?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~375<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9126;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7;;0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=54:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?149?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|196>308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw41:5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}271?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=49::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey63?3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<96=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:?=7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0581229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>;3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4125>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}279?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx53;2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~390<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?56;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz75>0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=;7:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;1<49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|179>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?=285;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5332?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~398<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?9>:1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ I^UJ@QNX0'Txn9:;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*OX_@N_DR6!u^v`[5)^MZUGYY8!U^NVP3023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"GPWHFWLZ>)}V~hS<;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*tt|<o0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/ua\r`e;87?n7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.v`[scd484=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC7=38?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2=>728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=1=25=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.AJ818182_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+FO;=7<;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6=2;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%HE1915g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J30?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=3=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?6;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@959=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;<7?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(L5?59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7:3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1916c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,DjM(RWD_SOT89;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV>R.T]E@WC1=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_T@XZ97:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU?]/W\\JTDQ?h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S8W%KcF!U^OV\F_1>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TJI\J649V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT1\,V[ISS>>1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\9T$^SUA]CX4a?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S;W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ^HZJS=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ8Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S:W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ1^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR9V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ6^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY7Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW8S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX1X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP9P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX2X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW;S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VRD^NW96:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU8]/W\BATB><1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\?T$^SA[[669V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT7\,V[]IUKP?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr([5:59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&Y7=3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$_1<15g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"]33?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz S=6=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.Q?1;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W909=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*U;?7?j7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\vvr1k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W=S!U^DGV@0d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P=P Z_GFQA3e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNYSIBV/F]QABYEA]Q9Q#[PFEPF2f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMXTHAW G^PFCZDN\R9V"XQIDSG5g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[UO@T!H_SGD[GOSS=W%YRHKRD4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\=T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]1U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^1Z&\UMH_K6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R:V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S9W%yR|nmc3;+PYUIDH:4Rgastnbp`7f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z2^*pYuidh:4"[PR@OA5=Ynfzgmyk99b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5Qfnrwoeqc5i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y2Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj58a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>P z_scnf4>(]VXJAO?7a79V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb;h<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X6X(rW{kfn<6 U^PBIG7?W`dxyao{e5;`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W>S!u^pbig7?'\UYM@L>8`48Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P?P z_scnf4>(]VXJAO?7_hlpqigsm:k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_6[)}Vxjao?7/T]QEHD60Vcexbntd6:g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V:R.t]qehd60&_T^LCM19c5?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W9S!u^pbig7?'\UYM@L>8^kmwpjf|l8j:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^2Z&|Uym`l>8.W\VDKE91Ubb~{caug6=f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U:]/w\vdke91%^S_OBB0:b2>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V;R.t]qehd60&_T^LCM19]jjvski}o:m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]2U'T~lcm19-V[WGJJ82Tec}zl`vf2<e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T6\,v[wgjj82$YR\NMC3;e3=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U9]/w\vdke91%^S_OBB0:\mkurdh~n<l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\>T$~Sobb0:,QZTFEK;3Sd`|umcwa2>c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB8IDP5(rW{kfn4!Z_SCNF<?03\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB8IDP5(rW{kfn4!Z_SCNF<Ynfzgmyk?969V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH2GJZ?.t]qehd>'\UYM@L6_hlpqigsm>?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY68Vl39k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8;Tj:;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:=Rh7619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<?Pf9354>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP10]e<7063\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]25Z`?W9<:7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY69Vl3S<;l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:>8h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;9Sk990:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=?Qi7043?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>2^d4636<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^31[c14>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[44Xn>U;:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj:Q>609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<<Pf6]11c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_00\b=073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]26Z`?9?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ75Wo29:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj5Q?609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<<Pf9]21f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_016`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1236`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1206`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1216`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1266`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1276b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e336<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^30[c16>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>8=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9:Um;>8?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?Rh84728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?<_g5624=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y7>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>U::<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW89Tj:Q=609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<=Pf6]024=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y3=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn1?h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<<n0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ739<o0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ7399?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<;?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<:?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<=?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<<?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<??o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<>?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<1?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<0?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<Vl<:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8>Tj:?90:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=9Qi7343?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>4^d4737<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37[c1X8?;0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ73Wo=T=;?4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;?Sk9P24a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;>5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;=5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;<5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;;5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;95e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;85e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;75e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;65g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;Pf67`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>64f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?914f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?924f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?934f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?944a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?84e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1?14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1<14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1=14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1:14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1;14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1814e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1914e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1614e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1714b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%O0=0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&N7=3:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6929m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L5958n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)C4=4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;=7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:16=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@919<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G8=83k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?=;3?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY3Y+Ai@'_TAXVLY418Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV>R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%YRV@RB[6<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT1\,DjM(RWD_SOT;<;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#[PFEPF17=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZJR\<>0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\USC_MV599V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW?S!GoJ-QZKRPJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\:T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV<R.T]OQQ333\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+SXPFXHU864U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR9V"J`G.T]NQ]E^=:1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_6[)]VLO^H;=;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ8Q#[PLTV60>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,V[]IUKP?37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]3U'MeD#[PMTZ@]05<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*PYAL[O>>6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\<T$^SA[[559V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!U^ZLVF_202_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX1X(@fA$^S@[WCX70?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU:]/W\BATB=;1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_0[)]VF^X8:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR?V"XQWOSAZ1==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[7_-CkN)]VG^TNW:3:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP:P Z_GFQA04<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PYK]]??7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_TTB\LY4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV9R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ3Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-QZ^HZJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\1T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]OQQ333\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXPFXHU9m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5:58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T484?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;:7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:46=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W929<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-P8083k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.Q?2;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>4:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=:=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<8<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmx7<3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`w:66=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=0=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0>0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;<7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>6:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~181499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4>4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds]gh|:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr^fo}949<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXkffTmij}_enz8683k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|Pdm{?0;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>6:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<6<7`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?37`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?07`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?17`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?67`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?770>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;87>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>01<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<021:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84616=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6814?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo311;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<033:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84736=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>5?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3105=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;32984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=32=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>26583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84456=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:=4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3137=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf488=2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=313;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>50;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?57?9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84576=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?<1?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3121=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=30:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=36:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=34:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3::12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<32=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<30=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;95885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<36=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<34=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;=5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3:=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;35895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;94?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo330<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;;4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo332<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;=4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo334<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;?4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo336<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;14?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo338<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;0?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:397>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;2?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;4?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3=7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;6?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;8?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:317>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9376=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9356=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9316=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;8>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe93?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;6>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe939<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8379<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8359<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8339<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;81449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8319<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;61449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb83?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;<0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3783=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;>0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3183=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;80;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3383=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;:0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3=83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;40;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63<3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;5;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;7;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6383::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;1;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63:3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6343::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;=;233\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;3:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53:29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;1:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53829;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;7:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53>29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;5:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53<29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;;:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53229:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXimny0=0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;97>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>1:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1=1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu4=4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?1;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh29>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5=5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq8=8302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|39?60?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZci9?1^<"GPNN]G*PYNDH%^SIAZT3`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*EJXVXN_HZ<5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZOI\JK[SK Z_BCSS@64=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ERGATBCS[C(RWJK[[H?:b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/K\JJYUXD$BSTK\_NPFC+IR\VXNK8>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&\UFYUH8539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\E04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)AVDDS_^B.T]PVI55WK><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="^\C_LW[02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUZ?<:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.RPO[T:76=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TOIAAE.K\]@UK]]<%__BPQ=3=16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDEYXN_HZ I^[FWISS>'CTBBQ]PL,V[HS_N>?>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UX^A==_@76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]PVI55WK>j7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!SSN\U673j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIF\_K\EU-J[\CTD\^="^\C_P>3:1d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^<0<6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"DQVER]LV@A)G\^T^HI:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&\UFYUH8_@@65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQBUYD4[FB3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)AVDDS_^B.T]NQ]@?=91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&@UECR\_M/W\WWJ4:VK><6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%ER@@_SRN*PYTZE99SO;?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VYY@><PC428Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S^\C33]G0<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWD_S;1>1489V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[HS_?5;58;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_LW[<1><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+UUDV[8=1>1499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56484?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPQ23?6;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ0=0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\U979<?1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&ZXGS\2=>548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;;7?27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-MZ_B[VEYIJ @UU]QAB363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(NWGET^]C!U^OV\C1XIK?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZKRPO=TOI:j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c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*UDF]UHA]<k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-PGKRXKDZTOH<l;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-PGKRXKDZT]?m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.Q@JQYUMZO_8=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0=0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz31?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>1:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p959<91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%HM]QNDEP\j`~s4=4?<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"MNP^CG@WYimq~793:?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/BCS[DBCZVdnty29>528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,GDVXIMNYSckwt=5=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw8=8382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;17>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V>R.T]PAI2f3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;;Q#[PSDN7e>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]69T$^S^KC4`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#HPSDNX57[)]VYN@9o4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^2\PJY1<B$MS^KC[01^*PYTME>j7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V?;]/W\W@J3i2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT<RZ@_76H*CYTMEQ:9P Z_RGO0<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\9T$^S^KC489V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#HPSDNX6X(RWZOG845Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT3\,V[VCK<01^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP8P Z_RGO0<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\=T$^S^KC489V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#HPSDNX2X(RWZOG845Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT7\,V[VCK<01^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP4P Z_RGO0<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\1T$^S^KC469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#GPSSN-QZUBDQ?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][1_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_5[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW=S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ3^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^7Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V?R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY1Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]5U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U=]/W\mFGWWHNO^8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX7X(RWJK[SLJKR478Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\;T$^SNO__@FGVZKRP<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T3\,V[lEFXVKOH_;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_1[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS=W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][5_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^3Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR?V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ7^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]1U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q=Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY5Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\?T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP;P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX3X(RW`IJ\ROKDS72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS1W%YRMNP^CG@W323\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW5S!U^ABTZGCL[UFYU;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_=[)]VcHM]QNDEP65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR3V"XQLAQ]B@AT2=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V7R.T]@EUYFLMXTAXV:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^?Z&\UbOL^PAEFQ17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:66<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC7>3;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<2<66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-@M929=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(K@6>28<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#NG36?71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8282:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5259?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$OD26>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>3:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:66<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6928?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I2<>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>7:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:26<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6=28?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I28>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>;:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:>6<n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ;Q#IaH/W\IP^DQ<20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ;Q#[PFEPF12=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^6Z&\UGYY;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT0\,V[]IUKP?o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[0_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ0^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY0Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR>V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX0X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_0[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-CkN)]VG^TNW:8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ@CZL?<7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P Z_MWW1<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^0Z&\USC_MV5e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*BhO&\UFYUMV599V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*PYAL[O>;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!U^NVP0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]0U'_TTB\LY4f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+Ai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`G.T]NQ]E^<l1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT0\,V[CBUM=n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[1_-QZJR\=l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[1_-QZ^HZJS>?6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY2Y+Ai@'_TAXVLY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S8W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQWOSAZ16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP>P HnI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ0^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_6[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ8Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV:R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX0X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]2U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW8S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT6\,DjM(RWD_SOT:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^0Z&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]1U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]1U'_TTB\LY418Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S>W%KcF!U^OV\F_3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU8]/W\BATB<m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT7\,V[ISS<o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT7\,V[]IUKP?87X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ:^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\0T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRV@RB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ2Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_YMQG\203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1>1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;97><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=0=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7?3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W929<>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]35?64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5<58:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?3;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_161469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;179=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQMRJ202>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A:ok5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#DQFS^VFVHOII]CDBR]CIBG\J@6)]VG^TKl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPMh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJEj=1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMm4:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAdf3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1<ae>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692o74U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76k30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:g?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>c;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682oo4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87hj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>e28Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZEf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZGf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGfg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979jh1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^J@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQGCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa33?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd585no5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7n;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\HFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$ERG\_UGQILHF\@EES^BFCD]MA5(RWD_SJo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>cc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UGO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4:4in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:gd<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<0f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxl2?>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0<0<b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|h692>l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4:48n6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpd:36=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48:58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7=<0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2>2?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=30:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8429<91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc\r`e;9<4?<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj6::3=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1?13g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm32?1e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=1=7c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?0;5a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg939;o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc\r`e;>79m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5=5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li743=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1713b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jGDVXIMNY0=0<c:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7=3=l;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[692>m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ595?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4=48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;=79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:16:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV919;j1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%bOL^PAEFQ8=84k2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cHM]QNDEP?=;5?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2?>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5;5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW878402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ33?1;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6?2>64U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iRAMP939;11^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%b_N@[<7<0<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7;3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:?6:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=;=6`=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UXOCZPCLR\U7><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TX_GH289V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M;>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]WVLA6:o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQXCOV\LFKWWX8m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZJDEYUZ>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZEB:k1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#ZMAT^J@IU4b3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPHBOS[FC5l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_IANTZW5j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_MANT7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQCCLR\G@4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPLBOS[T4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?4;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?5;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?6;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?7;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?0;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?1;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?2;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?3;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?<;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?=;4d3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%bOL^PWS]FJ7b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]JJ7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]LQQ433\:$ER@@_E,V[LJF'\UY_Y!H_SQW_5[)]VXXX?:4U1-J[KIXL'_TEAO U^PPP*AXZZ^P=P Z_SQW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY1Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R9V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[5_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\=T$^S_][259V4*OXFFUO"XQFL@-V[WUS'NUY_YU9]/W\VVR5<2_;#DQAO^F-QZOKI&_T^^Z G^PPP^1Z&\UY_Y<?;T2,MZHHWM$^SDBN/T]QWQ)OIE^T|xb|e0;8Q5)NWGETH#[PIMC,QZTT\&ZD^?>4U1-J[KIXL'_TEAO U^PPP*VUMVXN_HZ?219V4*OXFFUO"XQFL@-V[WUS'YXNS_K\EU31<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWJFNM_<8;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS:11^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$YRJ@UU21<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X<=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV?R.T]E@62<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\ULICQKEMCZ_7[)]VLO?95Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^EFJZBBDHSP?P Z_GF00>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWNOESIKCAXY7Y+SXNM837X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PRGFQA7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\U_JI\J2b9V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,`lhXdh~hdhj=5:W3+LYIGVN%YRGCA.W\VVR(]VKYARVIES-jGIT502_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%bHD@30?0;?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*oCAG6:2?84U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hFLQQ4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?4;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?5;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?6;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?0;4>3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SNBJAS0;?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)RWME^X?74U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\@JSS8;30Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"[PDNWW563<]9%BSCAPD/W\MIG(]VXXX"[PH@NW[]@BZ&_TKH@PDDNB]^7Z&\UMH>;4U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\C@HXLLFJUV<R.T]E@7?<]9%BSCAPD/W\MIG(]VXXX"[PH@NW[]@BZ&_T^KJ]E3;8Q5)NWGETH#[PIMC,QZTT\&_TDLB[_YDFV*SX\ONYI?j4U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.fjjZjf|jbnh?84U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.k@HW4>3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%bHD@30?0:?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)nL@D7=3<8;T2,MZHHWM$^SDBN/T]QWQ)RWAKGXRVIES-j@JSS:l1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dJ@UU]gh|:76;o0Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"gKOTV\`i;:7;i7X> I^LL[A(RW@FJ#XQ]SU-V[UIU9m1^<"GPNN]G*PYNDH%^S_][/T]SVVR79m1^<"GPNN]G*PYNDH%^S_][/T]SVVR69j1^<"GPNN]G*PYNDH%^S_][/T]QWQ66n2_;#DQAO^F-QZOKI&_T^^Z iSGPAQ:76;?0Y=!F_OM\@+SXAEK$YR][AU-BGQTB[L^T|xb|e328Q5)NWGETH#[PIMC,QZUSI]%JOYQksucw65=R8&CTBBQK.T]JHD)RWZ^JX"O]M^fppdr5=2_;#DQAO^F-QZOKI&_T_YO[/BCS[WCTM]Uo`t?>f:W3+LYIGVN%YRGCA.W\WQGS'MCYXTQK00d8Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_E314>S7'@UECRJ!U^KOE*SX[]K_#IG]TX]PAI453\:$ER@@_E,V[LJF'\UXXLZ DHPW]Zbt|h~986[?/H]MKZB)]VCGM"[PSUCW+AUSI]UnbRjcy007?P6(AVDDSI Z_HNB+PYT\H^$H^ZNT^gm[aj~:;>0Y=!F_OM\@+SXAEK$YR][AU-GWQGSWldThaw<209V4*OXFFUO"XQFL@-V[VRF\&NXXLZPlncg6<=R8&CTBBQK.T]JHD)RWZ^JX"KWTBCS[WCFLPUoyo{209V4*OXFFUO"XQFL@-V[VRF\&L^@AQksucw6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^6Z&@UXXLZ!U^QWEQ573\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\99W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q:Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W?S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[5_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY6Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_3[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS1W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q2Q#GPSUCW*PYT\H^986[?/H]MKZB)]VCGM"[PSUCW+BYI[R:V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[0_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\:T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U<]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^2Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW8S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX2X(RWGYZ>95Z0.K\JJYC&\UB@L!Z_RVBP*AXFZQ<Q#[PNRS10>S7'@UECRJ!U^KOE*SX[]K_#JQASZ:^*PYI[X8?7X> I^LL[A(RW@FJ#XQ\T@V,CZHTS0W%YR@\Q3;8Q5)NWGETH#[PIMC,QZUSI]%FaxvPDHPW]Zbt|h~:=?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz30?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8485:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|585>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2<>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?0;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4<49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx181239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>4:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;07897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k040>e:W3+LYIGVN%YRGCA.W\WQGS'GYT`bok249V4*OXFFUO"XQFL@-V[VRF\&Y_MYKPdrvbp969:<1^<"GPNN]G*PYNDH%^S^ZNT.QWEQCXlz~jx1?11g9V4*OXFFUO"XQFL@-V[VRF\&^OCR`jxu07?P6(AVDDSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8i0Y=!F_OM\@+SXAEK$YR][AU-V[DTJ:<1^<"GPNN]G*PYNDH%^S^ZNT.W\EWKXoenS~k{1c9V4*OXFFUO"XQFL@-V[VRF\&_TO_<<;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPFEAF66=R8&CTBBQK.T]JHD)RWZ^JX"[PDHPW]Z@CXL897X> I^LL[A(RW@FJ#XQ\T@V,QZBNZ]ST@IM<a:W3+LYIGVN%YRGCA.W\WQGS'\UOAXV;/T]@WZKRP&C8"XQBUY:,IhsWFU8?l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLD_S8"[PCR]NQ])N;'_TAXV7/Lov|ZIX<8i0Y=!F_OM\@+SXAEK$YR][AU-V[AUK:<1^<"GPNN]G*PYNDH%^S^ZNT.W\@VJ6'\UH@HO]259V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/T]GKPR5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VNDYY>=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^FLQQ7492_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VMNBRJJL@[X5X(RWON996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZRAL[O956[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$hd`Pl`v`l`b5:2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aJFY>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=60=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBNF5;5>l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:76;k0Y=!F_OM\@+SXAEK$YR][AU-V[AUK9&cOCXZPdm{?5;7c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH77c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH67d3\:$ER@@_E,V[LJF'\UXXLZ U^FPV4e<]9%BSCAPD/W\MIG(]VY_MY!Z_DAQ60=R8&CTBBQK.T]JHD)RWZ^JX"[PEBP\cisbWzo=i5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FG>;5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FGSjbze^qfp61<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH98927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2555>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101a?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=<QI3c9V4*OXFFUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8;:SJ=6;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI69;927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2565>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>151:?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=8=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI6::20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N307==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<;<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9?9h7X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M22ZUP8:i0Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N35[VQ6;11^<"GPNN]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO050<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=5=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI618i0Y=!F_OM\@+SXAEK$YR][AU-V[KUK;91^<"GPNN]G*PYNDH%^S^ZNT.W\V@UB\VOOKH!F_I0O*PYCG\^9n6[?/H]MKZB)]VCGM"[PSUCW+PYUMZO_SHJHE.W\@JSS8;h0Y=!F_OM\@+SXAEK$YR][AU-V[WCTM]UNHJK U^FLQQ75k2_;#DQAO^F-QZOKI&_T_YO[/T]QAVCSWLNLI"gKOTV?4;4d3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>2:4`<]9%BSCAPD/W\MIG(]VY_MY!Z_SKPMKA582_;#DQAO^F-QZOKI&_T_YO[/T]PPDRDEM897X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFHR`>f:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZJ00d8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNTD313>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@6:2?94U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.AJ8785?2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$OD2<>358Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*EN4=49;6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>6:71<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&IB0;0=7:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,GL:06;=0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"MF<9<13>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@622?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?4;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%O0<0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,@949:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2<>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;<78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<4<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5<5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>4:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N743<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8<84:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ2^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP>P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^2Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT6\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UGYY<j;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_2[)]VRD^NW<2:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&NdC"XQBUYAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR2V"XQWOSAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR3V"XQWOSAZ63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6;2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?5;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%X0?0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W959:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2;>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;=78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<7<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5=5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>;:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y753?l;T2,MZHHWM$^SDBN/T]PPDR(]V^OC?>4U1-J[KIXL'_TEAO U^QWEQ)RW]XBKJK]289V4*OXFFUO"XQFL@-V[VRF\&_TX_GHGDP\cisbWzo=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7<3<?;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1??>0d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<0<2b>S7'@UECRJ!U^KOE*SX[]K_#d][AU>1:4`<]9%BSCAPD/W\MIG(]VY_MY!fSUCW8686n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:368l0Y=!F_OM\@+SXAEK$YR][AU-jWQGS4<4:j6[?/H]MKZB)]VCGM"[PSUCW+lUSI]6=2<h4U1-J[KIXL'_TEAO U^QWEQ)n[]K_0:0>f:W3+LYIGVN%YRGCA.W\WQGS'`Y_MY27>0d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<8<16>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEB:=1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRDEMUHIR`=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>3:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4849?6[?/H]MKZB)]VCGM"[PSUCW+lUSI]UHBY2=>318Q5)NWGETH#[PIMC,QZUSI]%b_YO[_BLW8685;2_;#DQAO^F-QZOKI&_T_YO[/hQWEQYDF]6?2?=4U1-J[KIXL'_TEAO U^QWEQ)n[]K_SN@[<4<17>S7'@UECRJ!U^KOE*SX[]K_#d][AU]@JQ:16;90Y=!F_OM\@+SXAEK$YR][AU-jWQGSWJD_0:0=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>;:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4049:6[?/H]MKZB)]VCGM"[PTSKD+CYIGV^HAN Z_GFQ77=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXJ:>0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWJK[SIBV7d9V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_RVLVDABWX_J@#[PSUMQEBCXY\KG#DQ\RMV\J@Y6&@UEAXQ>_UM\0+SX\JF$ER@BU^GR5+SXaME^X"GPYDQOQQ0)]VYY@N?9E7:8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^QWKWG@MV[^MA Z_RVLVDABWX_J@"GPSSNW[KCX9'CTB@[P1^VL[1(RW]IG#DQ@UUPFC+SXLF__9h5Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(aME^X8o4U1-J[KIXL'_TEAO U^VQMB)RW]I$ER][OSCDAZWRIE$^S^Z@R@EF[TSFD&CT__B[_OG\5+OXFD_T=RZ@_5,V[QTNOX>97X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@UXXB\NGD]RQDJ)]VY_C_OHE^SVEI)n\[CLKH\8a:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPTRGR[KCV:'_TXNQJQTCWMJH(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WGI)NWGG^SH_>.T]j@JSS'@URI^BZT7,V[VTKK8<N:95Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BSY]JQ^LFU7(RW]ITI\[NTHMM+LYTZE^TBHQ>.H]MIPY6W]ET8#[PTBN,MZIR\[OL"XQKOTV6e>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWGOZ>#[PTB]FUPGSAFD$ER]]LU]MAZ7)AVDFYR?PTN]7*PYSKE%bHB[[579V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'@UX^AZPND]2*LYIE\U:SYAP4/W\PWO@Y:o0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.kWVLA@M[8?7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'`IJ\Y[]E3:8Q5)NWGETH#[PIMC,QZRUAN%^SYM iBDD[AGSI5:5?n5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(AVGHSCA!U^LL[HEXZLM8:6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$HB[[_uosef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_467U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^779T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\999V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8:?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;;9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:<;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P==9R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<>7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V??9\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>11_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T103^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[031Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z327X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y251[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X543Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_471U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^76?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]691W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\983V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S88;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;9=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<<;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?=5\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>27_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T135^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[00^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[01^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[06^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[07^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[04^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[05^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0:^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0;^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T21_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T20_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T23_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T22_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T25_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T24_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T27_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T26_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T29_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T28_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ol;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T2\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<1\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<2\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<3\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<4\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<5\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<6\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<7\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<8\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<9\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lm4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:?]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:>]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V::]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:9]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:8]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:6]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8?R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8<R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W88R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W89R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W86R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W87R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:<S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P::S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<8P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<4P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<5P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R29Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R28Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R23Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R22Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0:V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S08V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S09V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0?V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0<V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0=V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S02V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S03V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fk2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\8T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y245[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T113^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<>=]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;;?P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]68=W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X553Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[025Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??7\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<5S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\993V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_46Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[033Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:=?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\989V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_473U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z321X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>17_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<9R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8;3Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^761T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y25X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>21_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=??R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S889Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^75;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y261[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T137^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<<9]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;9;P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6:T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y27X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>4\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:9P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6>T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y23X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>8\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:5P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z03Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R89Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^44U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z07Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^40U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z0;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<6]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_66Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[23^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W><R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S:9V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_62Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[27^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>8R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S:=V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_6>Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[2;^*LYNFVXJBJK!U^EBJAYCDP8246[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<9W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X04[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T43_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<=W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X00[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T47_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8:S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<1W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X0<[)AVCES_OAGD,V[BGILVNGU?77;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T4\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q><P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]29T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y66X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:3\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>8P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]2=T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y62X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:7\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>4P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]21T$BSD@PR@LDA+SXOHDOSIBV28:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y6Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V8?]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<:Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^05U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z40Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V8;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^01U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z44Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V87]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<2Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^0Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[62^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:?R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S>8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_25Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[66^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S><V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_21Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[6:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S>W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<5[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T80_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4?S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0:W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<1[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T84_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4;S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0>W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<=[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T88_-MZOIW[KEKH Z_FCM@ZBKQ;337X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]>8T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:5X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U62\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2?P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]><T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:1X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U66\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2;P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]>0T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:=X(NW@DT^L@HE/W\CDHCWMFR>464U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U6]/K\MKYUIGMN"XQHAOF\@I_5lj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^6Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Gck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_5[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BKe39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<f5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_5[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY24X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT11_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=<S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>ef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_44Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ31Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_45Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:?P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6<T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX51[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595==j4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/K\QVCXG\^[YYQ]EF]MA5(RWFXNKk64U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/W\IP^Alm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9<W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7?3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<5<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_4[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]4U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_6[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR>V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\<T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_1[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_0[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[4_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP:P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR<V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\>T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_3[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY4Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU8]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_2[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_2[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR2V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Kmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^>Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_=[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX<X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY;Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3<f5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_=[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[8_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW4S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~48:5mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6:=3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0<<1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2>3?ce?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<06=ec=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>21;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz848fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}949il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:46ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;<7kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4<4ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5<5mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6<2lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s743oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr040na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv48:5ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9766hk0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>26;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R312<be>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0<:1a`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5;>2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:66h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>1:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2<>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6?2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:26h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>5:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|28>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx632l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64943=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<=071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>00<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2478?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:68:43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<9071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>04<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2438?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:68>43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<5071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>08<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>24;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=327;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=323;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><003:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><007:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><00=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?568?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?316<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64843<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><30=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?668?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:5<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?326<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><20=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?768?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:4<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~959>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0>81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?336<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>0<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86?<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1:>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><50=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?068?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:3<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95>>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0981819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?346<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>7<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86><36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><40=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?168?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:2<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95?>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0881819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?356<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>6<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=18>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><70=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?268?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:1<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0;81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?366<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>5<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=19>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><60=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?368?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:0<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95=>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0:81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?376<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>4<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=16>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><90=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:?<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~952>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0581819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?386<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>;<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64143<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=17>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><80=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:><72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~953>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0481819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?396<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>:<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6404<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<022:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<026:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:<25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>7>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<02::=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18469081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3100=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5459081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3104=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5419081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<310<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2658?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6:843=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:>?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>22<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2618?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6:<43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:>;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>26<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>26;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=34:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{184>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;904<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<320<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>16;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=04:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{187>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6925>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<330<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>06;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz695461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54:>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87?8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2<6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=14:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{186>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6825>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles909>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<340<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>76;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz692461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54=>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8788070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2;6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=64:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{181>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6?25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles908>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<350<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>66;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz693461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54<>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8798070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=74:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{180>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<360<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>56;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz690461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54?>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87:8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?296?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=44:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{183>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<370<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>46;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz691461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54>>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87;8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?286?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=54:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{182>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?04<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6<25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles905>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<380<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i541>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8748070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?276?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=:4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18=>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;004<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6325>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles904>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<390<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i540>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8758070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?266?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=;4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18<>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;104<j6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;622984U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPOSGD*LY^MZUD^HI!U^MQAB563\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.flqqYsey8:7X> I^LL[A(RW@FJ#XQ[RHE,pwo`KfdoEc<=;T2,MZHHWM$^SDBN/T]WVLA(|{clOb`kOtv20>S7'@UECRJ!U^KOE*oFZD;37X> I^LL[A(RW@FJ#dMNPUWQA4?<]9%BSCAPD/W\MIG(aJK[SIAAE318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8585;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6:2?=4U1-J[KIXL'_TEAO iBCS[KSJWZKF\AK\<3<17>S7'@UECRJ!U^KOE*oDIYUEY@Q\ALROAV:46;90Y=!F_OM\@+SXAEK$eNO__OWN[VGJXEOX090=3:W3+LYIGVN%YRGCA.k@EUYI]DUXM@^CER>6:75<]9%BSCAPD/W\MIG(aJK[SC[B_RCNTICT4?49?6[?/H]MKZB)]VCGM"gLAQ]MQHYTIDZGI^28>318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8=85;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ622<j4U1-J[KIXL'_TEAO iBCS[VRF\L6;2<j4U1-J[KIXL'_TEAO iBCS[VRF\L6:2?>4U1-J[KIXL'_TEAO iBCS[QTNONOYSB[[1g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\30?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=3=5c=R8&CTBBQK.T]JHD)nKHZT[_QNDEP?6;7a3\:$ER@@_E,V[LJF'`IJ\RY]_@FGV9599o1^<"GPNN]G*PYNDH%bOL^PWS]B@AT;<7;m7X> I^LL[A(RW@FJ#dMNP^UQ[DBCZ5?5=k5Z0.K\JJYC&\UB@L!fC@R\SWYFLMX7:3?i;T2,MZHHWM$^SDBN/hABTZQUWHNO^1911g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\38?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=;=5d=R8&CTBBQK.T]JHD)nKHZT[_QJN0:8Q5)NWGETH#[PIMC,mAGSI5:5=l5Z0.K\JJYC&\UB@L!fD@VB846768k0Y=!F_OM\@+SXAEK$eIO[A=335;7f3\:$ER@@_E,V[LJF'`NJXL2>03<2e>S7'@UECRJ!U^KOE*oCI]K7===11`9V4*OXFFUO"XQFL@-j@DRF48:?2<o4U1-J[KIXL'_TEAO iECWE977=7;j7X> I^LL[A(RW@FJ#dJNT@>24386i2_;#DQAO^F-QZOKI&cOMYO3115=5d=R8&CTBBQK.T]JHD)nLH^J0<>7>0c8Q5)NWGETH#[PIMC,mAGSI5;;53?6;T2,MZHHWM$^SDBN/hFBPD:687;j7X> I^LL[A(RW@FJ#dJNT@>25586i2_;#DQAO^F-QZOKI&cOMYO3103=5d=R8&CTBBQK.T]JHD)nLH^J0<?=>0c8Q5)NWGETH#[PIMC,mAGSI5;:?3?n;T2,MZHHWM$^SDBN/hFBPD:69=4:m6[?/H]MKZB)]VCGM"gKAUC?54399h1^<"GPNN]G*PYNDH%bHLZN<035:4g<]9%BSCAPD/W\MIG(aMK_M1?>7?3b?P6(AVDDSI Z_HNB+lBF\H6:=50>a:W3+LYIGVN%YRGCA.kGEQG;9835=45Z0.K\JJYC&\UB@L!fD@VB84799h1^<"GPNN]G*PYNDH%bHLZN<003:4g<]9%BSCAPD/W\MIG(aMK_M1?=1?3b?P6(AVDDSI Z_HNB+lBF\H6:>?0>a:W3+LYIGVN%YRGCA.kGEQG;9;95=l5Z0.K\JJYC&\UB@L!fD@VB844368k0Y=!F_OM\@+SXAEK$eIO[A=311;7f3\:$ER@@_E,V[LJF'`NJXL2>27<2e>S7'@UECRJ!U^KOE*oCI]K7=?91189V4*OXFFUO"XQFL@-j@DRF4885=45Z0.K\JJYC&\UB@L!fD@VB8459901^<"GPNN]G*PYNDH%bHLZN<06=5<=R8&CTBBQK.T]JHD)nLH^J0<;1189V4*OXFFUO"XQFL@-j@DRF48<5=45Z0.K\JJYC&\UB@L!fD@VB8419901^<"GPNN]G*PYNDH%bHLZN<0:=5<=R8&CTBBQK.T]JHD)nLH^J0<71199V4*OXFFUO"XQFL@-j@DRF484:56[?/H]MKZB)]VCGM"gKAUC?658612_;#DQAO^F-QZOKI&cOMYO320<2=>S7'@UECRJ!U^KOE*oCI]K7>?0>9:W3+LYIGVN%YRGCA.kGEQG;::4:56[?/H]MKZB)]VCGM"gKAUC?618612_;#DQAO^F-QZOKI&cOMYO324<2=>S7'@UECRJ!U^KOE*oCI]K7>;0>9:W3+LYIGVN%YRGCA.kGEQG;:>4:56[?/H]MKZB)]VCGM"gKAUC?6=8612_;#DQAO^F-QZOKI&cOMYO328<2<>S7'@UECRJ!U^KOE*oCI]K7>3?6;T2,MZHHWM$^SDBN/hFBPD:487;27X> I^LL[A(RW@FJ#dJNT@>05;7>3\:$ER@@_E,V[LJF'`NJXL2<2?3:?P6(AVDDSI Z_HNB+lBF\H68?3?6;T2,MZHHWM$^SDBN/hFBPD:4<7;27X> I^LL[A(RW@FJ#dJNT@>01;7>3\:$ER@@_E,V[LJF'`NJXL2<6?3:?P6(AVDDSI Z_HNB+lBF\H68;3?6;T2,MZHHWM$^SDBN/hFBPD:407;27X> I^LL[A(RW@FJ#dJNT@>0=;7?3\:$ER@@_E,V[LJF'`NJXL2<>0;8Q5)NWGETH#[PIMC,mAGSI5>;2<74U1-J[KIXL'_TEAO iECWE9266830Y=!F_OM\@+SXAEK$eIO[A=61:4?<]9%BSCAPD/W\MIG(aMK_M1:<>0;8Q5)NWGETH#[PIMC,mAGSI5>?2<74U1-J[KIXL'_TEAO iECWE9226830Y=!F_OM\@+SXAEK$eIO[A=65:4?<]9%BSCAPD/W\MIG(aMK_M1:8>0;8Q5)NWGETH#[PIMC,mAGSI5>32<74U1-J[KIXL'_TEAO iECWE92>6820Y=!F_OM\@+SXAEK$eIO[A=6=5<=R8&CTBBQK.T]JHD)nLH^J08>1189V4*OXFFUO"XQFL@-j@DRF4<;5=45Z0.K\JJYC&\UB@L!fD@VB8049901^<"GPNN]G*PYNDH%bHLZN<41=5<=R8&CTBBQK.T]JHD)nLH^J08:1189V4*OXFFUO"XQFL@-j@DRF4<?5=45Z0.K\JJYC&\UB@L!fD@VB8009901^<"GPNN]G*PYNDH%bHLZN<45=5<=R8&CTBBQK.T]JHD)nLH^J0861189V4*OXFFUO"XQFL@-j@DRF4<35=55Z0.K\JJYC&\UB@L!fD@VB808612_;#DQAO^F-QZOKI&cOMYO361<2=>S7'@UECRJ!U^KOE*oCI]K7:<0>9:W3+LYIGVN%YRGCA.kGEQG;>;4:56[?/H]MKZB)]VCGM"gKAUC?268612_;#DQAO^F-QZOKI&cOMYO365<2=>S7'@UECRJ!U^KOE*oCI]K7:80>9:W3+LYIGVN%YRGCA.kGEQG;>?4:56[?/H]MKZB)]VCGM"gKAUC?228612_;#DQAO^F-QZOKI&cOMYO369<2=>S7'@UECRJ!U^KOE*oCI]K7:40>8:W3+LYIGVN%YRGCA.kGEQG;>7;27X> I^LL[A(RW@FJ#dJNT@>44;7>3\:$ER@@_E,V[LJF'`NJXL281?3:?P6(AVDDSI Z_HNB+lBF\H6<>3?6;T2,MZHHWM$^SDBN/hFBPD:0;7;27X> I^LL[A(RW@FJ#dJNT@>40;7>3\:$ER@@_E,V[LJF'`NJXL285?3:?P6(AVDDSI Z_HNB+lBF\H6<:3?6;T2,MZHHWM$^SDBN/hFBPD:0?7;27X> I^LL[A(RW@FJ#dJNT@>4<;7>3\:$ER@@_E,V[LJF'`NJXL289?3;?P6(AVDDSI Z_HNB+lBF\H6<2<74U1-J[KIXL'_TEAO iECWE9>76830Y=!F_OM\@+SXAEK$eIO[A=:2:4?<]9%BSCAPD/W\MIG(aMK_M16=>0;8Q5)NWGETH#[PIMC,mAGSI5282<74U1-J[KIXL'_TEAO iECWE9>36830Y=!F_OM\@+SXAEK$eIO[A=:6:4?<]9%BSCAPD/W\MIG(aMK_M169>0;8Q5)NWGETH#[PIMC,mAGSI52<2<74U1-J[KIXL'_TEAO iECWE9>?6830Y=!F_OM\@+SXAEK$eIO[A=:::4><]9%BSCAPD/W\MIG(aMK_M161189V4*OXFFUO"XQFL@-j@DRF40:5=45Z0.K\JJYC&\UB@L!fD@VB8<79901^<"GPNN]G*PYNDH%bHLZN<80=5<=R8&CTBBQK.T]JHD)nLH^J04=1189V4*OXFFUO"XQFL@-j@DRF40>5=45Z0.K\JJYC&\UB@L!fD@VB8<39901^<"GPNN]G*PYNDH%bHLZN<84=5<=R8&CTBBQK.T]JHD)nLH^J0491189V4*OXFFUO"XQFL@-j@DRF4025=45Z0.K\JJYC&\UB@L!fD@VB8<?9911^<"GPNN]G*PYNDH%bHLZN<8<2e>S7'@UECRJ!U^KOE*oCI]KTHB[[189V4*OXFFUO"XQFL@-jV@UB\5:5=45Z0.K\JJYC&\UB@L!fRDQFP979901^<"GPNN]G*PYNDH%b^H]JT=0=5<=R8&CTBBQK.T]JHD)nZLYNX1=1189V4*OXFFUO"XQFL@-jV@UB\5>5=45Z0.K\JJYC&\UB@L!fRDQFP939901^<"GPNN]G*PYNDH%b^H]JT=4=5<=R8&CTBBQK.T]JHD)nZLYNX1911`9V4*OXFFUO"XQFL@-jWQGSWME^X<64U1-J[KIXL'_TEAO i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o0Y=!fTSKD[LH;87;;7X> iUPJCZOI48:;2<>4U1-jPWO@W@D7==?1119V4*oSZ@MTEC2>03<24>S7'`^YEJQFN=337;773\:$eY\FG^KM846368:0Y=!fTSKD[LH;99?5==5Z0.kWVLAXAG6:<;0>0:W3+lRUANUBB1??7?33?P6(a]XBKRGA<02;:46<]9%bX_GH_HL?55?9n2_;#dZ]IF]JJ97768:0Y=!fTSKD[LH;98:5==5Z0.kWVLAXAG6:=<0>0:W3+lRUANUBB1?>2?33?P6(a]XBKRGA<030:46<]9%bX_GH_HL?5429991^<"g[RHE\MK:69<4:<6[?/hVQMBYNF5;::3??;T2,mQTNOVCE0<?8>028Q5)n\[CLSD@310:=55=R8&c_^DIPIO>25<8a3\:$eY\FG^KM8479991^<"g[RHE\MK:6:94:<6[?/hVQMBYNF5;9=3??;T2,mQTNOVCE0<<=>028Q5)n\[CLSD@3131=55=R8&c_^DIPIO>2618682_;#dZ]IF]JJ975=7;;7X> iUPJCZOI488=2<>4U1-jPWO@W@D7=?91f:W3+lRUANUBB1?=>g9V4*oSZ@MTEC2>3?d8Q5)n\[CLSD@315<e?P6(a]XBKRGA<07=b>S7'`^YEJQFN=35:c=R8&c_^DIPIO>23;`<]9%bX_GH_HL?5=8a3\:$eY\FG^KM84?9m2_;#dZ]IF]JJ979n2_;#dZ]IF]JJ9476o1^<"g[RHE\MK:597l0Y=!fTSKD[LH;:;4m7X> iUPJCZOI4;95j6[?/hVQMBYNF58?2k5Z0.kWVLAXAG6993h4U1-jPWO@W@D7>;0i;T2,mQTNOVCE0?91f:W3+lRUANUBB1<7>g9V4*oSZ@MTEC2=9?g8Q5)n\[CLSD@32?d8Q5)n\[CLSD@331<e?P6(a]XBKRGA<23=b>S7'`^YEJQFN=11:c=R8&c_^DIPIO>07;`<]9%bX_GH_HL?718a3\:$eY\FG^KM8639n2_;#dZ]IF]JJ9516o1^<"g[RHE\MK:4?7l0Y=!fTSKD[LH;;14m7X> iUPJCZOI4:35i6[?/hVQMBYNF595j6[?/hVQMBYNF5>;2k5Z0.kWVLAXAG6?=3h4U1-jPWO@W@D78?0i;T2,mQTNOVCE09=1f:W3+lRUANUBB1:;>g9V4*oSZ@MTEC2;5?d8Q5)n\[CLSD@347<e?P6(a]XBKRGA<55=b>S7'`^YEJQFN=6;:c=R8&c_^DIPIO>7=;c<]9%bX_GH_HL?0;`<]9%bX_GH_HL?158a3\:$eY\FG^KM8079n2_;#dZ]IF]JJ9356o1^<"g[RHE\MK:2;7l0Y=!fTSKD[LH;==4m7X> iUPJCZOI4<?5j6[?/hVQMBYNF5?=2k5Z0.kWVLAXAG6>;3h4U1-jPWO@W@D7950i;T2,mQTNOVCE0871e:W3+lRUANUBB1;1f:W3+lRUANUBB18?>g9V4*oSZ@MTEC291?d8Q5)n\[CLSD@363<e?P6(a]XBKRGA<71=b>S7'`^YEJQFN=47:c=R8&c_^DIPIO>51;`<]9%bX_GH_HL?238a3\:$eY\FG^KM8319n2_;#dZ]IF]JJ90?6o1^<"g[RHE\MK:117o0Y=!fTSKD[LH;>7l0Y=!fTSKD[LH;?94m7X> iUPJCZOI4>;5j6[?/hVQMBYNF5=92k5Z0.kWVLAXAG6<?3h4U1-jPWO@W@D7;90i;T2,mQTNOVCE0:;1f:W3+lRUANUBB199>g9V4*oSZ@MTEC287?d8Q5)n\[CLSD@379<e?P6(a]XBKRGA<6;=a>S7'`^YEJQFN=5=b>S7'`^YEJQFN=:3:c=R8&c_^DIPIO>;5;`<]9%bX_GH_HL?<78a3\:$eY\FG^KM8=59n2_;#dZ]IF]JJ9>36o1^<"g[RHE\MK:?=7l0Y=!fTSKD[LH;0?4m7X> iUPJCZOI41=5j6[?/hVQMBYNF5232k5Z0.kWVLAXAG6353k4U1-jPWO@W@D743h4U1-jPWO@W@D75=0i;T2,mQTNOVCE04?1f:W3+lRUANUBB17=>g9V4*oSZ@MTEC263?d8Q5)n\[CLSD@395<e?P6(a]XBKRGA<87=b>S7'`^YEJQFN=;5:c=R8&c_^DIPIO>:3;`<]9%bX_GH_HL?==8a3\:$eY\FG^KM8<?9m2_;#dZ]IF]JJ9?9k2_XI_QYIRKAH@5<^JI27[GJW^VZT@e<_HXEECIPOONZ2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?374WDCTAI:76k1\ILYJL=394;?<_LK\IA2>>79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:427ZKMWDW?4;d<_LH\IX2>:1<:?RCE_L_7=3l4WSKWAZ@NZZ^h7Z\FTD]NKACXIj1\^DZJ_LMGAZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn?o4_^][HKKXWV:TSR[?/H]MKZB)]VCGM"[PSUCW+PYI[E>?7RQPXMLN[ZY6WVU^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SO\D03g8[ZY_DGGTSR<P_^W3+LYIGVN%YRGCA.W\WQGS'\UXXLZLME0a?ZYXPEDFSRQ<_^]V4*OXFFUO"XQFL@-V[WUS'\U[^^Z?259\[Z^KFDUTS9QP_T2,MZHHWM$^SDBN/T]GKPRe3hyrbnQbuy]2f>gtqgiTaxvP2c9bw|hdWdsS>??;`qzjfYszhdxjh|P1028evikV~ymc}ies]155=f{pdhSy|nnrdfvZ5c3jbb|~m`pd]jhd4c3jbb|~m`pd]jhd*d``zxob~j_hnb[s7X8<Uj CAAE-LLJ@750=1hdd~|cnrf[ljf$jbb|~m`pd]jhdYq9V:>Sl"tc^z`kZjn{}7Hc`~`ndlw[Kgjm4ice}}loqg\mig<F|giiQ@f^VqmbabzVZd~y}21:Ob|ZUby|oeohQCewgow87<]zoT^]C|=gcow`=BfhhgiRZ}ifefvZIr|yS]a}t<dbhvc<[hg{`hQ@n<Pjwlh`3Zkf|akPD`vb[Acw|a7:<?:4Eocah`YT|fxjkhQ^u`njblef|`ee1y|ze:FbpdYTidoTM~Q[rhedaw;sz|o0Hlzn_QmqpZQnl}b6<6Z}ifefvZVhz}U\eizg_0?26==Ji}icSX`ftr]294=Dg|diQXievk[4;Cazki`hj4M`v`lZR~xlU:1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX94lj`~k4Tskdc`tXXfxSZgkti]19==Ji}icSX`ftr]194=Dg|diQXievk[7;Cazki`hj4M`v`lZR~xlU91oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX:4lj`~k4Tskdc`tXXfxSZgkti]09==Ji}icSX`ftr]094=Dg|diQXievk[6;Cazki`hj4M`v`lZR~xlU81oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX;4lj`~k4Tskdc`tXXfxSZgkti]79==Ji}icSX`ftr]794=Dg|diQXievk[1;Cazki`hj4M`v`lZR~xlU?1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX<4lj`~k4Tskdc`tXXfxSZgkti]69==Ji}icSX`ftr]694=Dg|diQXievk[0;Cazki`hj4M`v`lZR~xlU>1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4lj`~k4Tskdc`tXXfxSZgkti]59==Ji}icSX`ftr]594=Dg|diQXievk[3;Cazki`hj4M`v`lZR~xlU=1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX>4lj`~k4Tskdc`tXXfxSZgkti]49==Ji}icSX`ftr]494=Dg|diQXievk[2;Cazki`hj4M`v`lZR~xlU<1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX?4lj`~k4Tskdc`tXXfxSZgkti];9==Ji}icSX`ftr];94=Dg|diQXievk[=;Cazki`hj4M`v`lZR~xlU31oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX04lj`~k4Tskdc`tXXfxSZgkti]:9==Ji}icSX`ftr]:94=Dg|diQXievk[<;Cazki`hj4M`v`lZR~xlU21oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX14lj`~k4Tskdc`tXXfxSZgkti]248><Eh~hdR[aiuq\55;63Je~byk}_VkgpmY684Nbllcee9NeqeoW]s{iR??=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT==3iamqf?QtnonoyS]a}t^Uj`qnX98737@o{ci]VjlrtW8;6=6M`uovfvZQnl}bT=<3Kircah`b<Eh~hdRZvpd]258df{`iT{dzg_dfdav=Bpjf~hhQIrno\@drfWZ~d~lij_03>bdjtm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755okgh5[rhedawYWg{~T[dj{h^309==Ji}icSX`ftr]2787<Kfexh|PWhfwlZ745Mcxmobjd:ObpfnX\pznS<=2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU:?0hnlrg8Pwo`olxT\b|{_VkgpmY6<420Alzlh^WmmquX9=7:7Naznugq[Roc|aU:80Jfs``oaa=Ji}icSYwe^379ggtajU|eyfPeeefw>CkeoiRH}ol]GeqgX[}eymjkP15?eeiub3]xbkjk}_QmqpZQnl}bT=837;LcwgmYRf`~xS<;21:AlqkrbzV]bhyfP14?Gmvgedln0Alzlh^Vzt`Y6=4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[43:nhfxi6Z}ifefvZVhz}U\eizg_04><>Kf|jbTYcg{s^3594=Dg|diQXievk[40:L`yjnakk;LcwgmYSqyoT=;3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;=1kocsd.`[}bb~`injlcflx?ptdtsig<'oRm`rd]w}uc::%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh:?%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=5.`[fiumVgbbb|PamrkeZpbz43< nQ}al]w}uc:9%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQ}pl?3(fYr{lU~diPotv>4)eX}zoTmymPcmijj86+kVxiRjnt`?3(fYr{lU~di?=0.`[pubW}xbk<3?,b]vw`Ysz`m91="l_tqf[qtno:7; nQzsd]wvla359&hSx}j_upjc0;7$jU~hQ{rhe595*dW|ynSy|fg6?3(fYr{lU~di7=1.`[pubW}xbk43?,b]vw`Ysz`m:<0>#c^wpaZruan;:1="l_tqf[qtno886<!mPurg\pwo`9:7; nQzsd]wvla6<4:'oR{|e^vqmb7259&hSx}j_upjcagsi97: nQzsd]wvlaci}k:1="l_tqf[qtnomkm?3?,b]vw`Ysz`momyo<=1.`[pubW}xbkio{a5?3(fYr{lU~dikauc695*dW|ynSy|fgecwe3;7$jU~hQ{rhegeqg059&hSx}j_upjcagsi17; nQzsd]wvlaci}k21="l_tqf[qtnomkm<>20-a\qvcX|{clhlzn10?3(fYr{lU~dikauc2686+kVxiRz}iffbpd7459&hSx}j_upjcagsi8>6<!mPurg\pwo`lh~j=83?,b]geqgX`nd0?#c^fbpdYcmy~c1<>=4-a\qvcXohz6<!mPthofwqgjxV~r|h3?,b]wmhct|hg{Szgkti?06)eX|`gnyobp^fftqn:=88'oR{|e^qwkwg`mV{~ma3>,b]ww`wX|pzn1="l_own[qubyViex0>#c^vpatYdf};T{dj{h<3/gZrtmxUhby>Pwhfwl87+kVd~aRz|ep]ppdrb{4;'oR{|e^v`[hei|4:'oRzl_lampZqnl}b6=!mPeyv\gdvXzh~nS`ake<2/gZc|Vij|R~fn^ol``;7$jUey`Qjxu]`euYwagy64!mPeyv\gdvX}zoT~hi21-a\jpkXeh~hdR{aiuq>5)eX|{cl<Ryfduj>57>+kV~yej?Pwhfwl87+kV~yej<Pwhfwl87+kV~yej=Pwhfwl87+kV~yej:Pwhfwl87+kV~yej;Pwhfwl87+kV~yej8Pwhfwl87+kV~yej9Pwhfwl87+kV~yej6Pwhfwl87+kV~yej7Pwhfwl87+kV~yej??_vkgpm;6$jU~di>1^uj`qn:9%iTxgh13]tmaro58&hSy|fg01\slbs`4;'oRz}if37[roc|a7: nQ{rhe21Zqnl}b6=!mPm1]wtlb:8%iTa<Q{phf>5)eXe;U|dj22-a\i6Ysx`n6?!mPm5]wtlb:<%iTa8Q{phf>1)eXe?U|dj26-a\i2Ysx`n6;!mPm9]wtlb:0%iTa4Q{phf>=)eXe8:Tx}gk=02/gZk69V~{ei3>1-a\i44X|yco1<<#c^o27Zrwam7:?!mPm06\puoc58>'oRc>5^vsma;6=%iTa=Q{yqg>5)eXe8Uu}k20-a\i7Ysqyo6<!mPm2]w}uc:8%iTa9Q{yqg>4)eXe<Uu}k20-a\i3Ysqyo6<!mPm6]w}uc:8%iTa5Q{yqg>4)eXe0Uu}k20-a\i46X|pzn1="l_l32[qwm4:'oRc>2^vzt`;7$jUf=>Q{yqg>4)eXe8>Txt~j=1.`[h72W}s{i0>#c^wpaZkdf}:6<!mPurg\ifhs94:'oR{|e^o`jq4:8%iTy~kPmblw786+kVxiRclnu6>4)eX}zoTan`{5<2/gZstmVghby820-a\qvcXejd;0>#c^wpaZkdf}26<!mPurg\ifhs14:'oR{|e^o`jq7759&hSx}j_lamp47:8%iTy~kPmblw57;7$jU~hQbcov2786+kVxiRclnu3795*dW|ynS`mat07>4)eXejd<Ryfduj>5)eXejd=Ryfduj>5)eXejd>Ryfduj>5)eXejd?Ryfduj>5)eXejd8Ryfduj>5)eXejd9Ryfduj>5)eXejd:Ryfduj>5)eXejd;Ryfduj>5)eXejd4Ryfduj>5)eXejd5Ryfduj>5)eXejd==Qxievk94*dWdiex<?Pwhfwl87+kVghby?=_vkgpm;6$jUfocz>3^uj`qn:9%iTan`{15]tmaro58&hS`mat07\slbs`4;'oRm`nrvqelhsW}s{i0kwtdpmei*dWlrja}bj_egpmbh:nhfxi!s<1:akmuudgyoTeaoPv0]31Zg+(Qcgecv/CNPF$Bcim{kc.>4/5:?firf}oyS<74cnwmp`tX:o1hRl}al]`evefll;97n}Pbscn[fgtkhnnS~8>1:ap[gtfeVyba}bj_r42<>etWkxjaR}fmqnf[v0Xzhgi=5?8;bq\fwgjWzcf|akPs7]qehd>i2ixSnhhltv7e>etWjll`xz:c:ap[f``d|~=S<m4cr]`bbjr|?U9m6m|_bddhpr09k1hRm`nuplhgstWqy{b|dasmvja`<kzUhcx`{es]nkame3jyTkl`k_rpo55=d{VmjbiQ|rm]p2Z7682ixSjoad^qqhZu1W;;?7n}Pg`lg[vtkWz<ThzP1068gvY`ignTbPs7]paqY59m1hRinne]pviYt>VygenkPnn]qthY69l1hRinne]pviYt>VygenkPnn]qthY688o0o~Qhaof\wwjX{?Ux`dmj_om\vukX98;n7n}Pg`lg[vtkWz<Tagle^ll[wvjW88:i6m|_fcm`ZuudVy=S~bfcd]mkZtweV;8=h5ls^ebjaYtzeUx:R}cibg\jjYuxdU:8<k4cr]dekbX{{fT;Q|lhaf[kiXzygT=8?j;bq\cdhcWzxgS~8Psmk`aZhhW{zfS<8>e:ap[bgilVyy`R}9_rnjg`YigVx{aR?81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q=1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q<1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q;1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q:1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q91e9`wZaffmUx~aQ|6^qomfcXffUy|`Q81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q71e9`wZaffmUx~aQ|6^qomfcXffUy|`Q6c:ap[bgilqUx~ah4cr]dekbWzxgS~88;bq\hpr1?2ixS`{w289`wZkrp;?=46m|_lw{211<kzUfyu67;bq\ip~X911hRczx^0;?fuXe|rT?55ls^ov|Z2e3jyT~hifsugq7>bcem1nhjkPddvfgqiun2cgmRmnp^cg`wabf880eaoPc`r\gqtkWn8T|h4imc\gdvX{}eymjk7;hnb[fium01b`lQbauak54=ndhUfmymg_bmnflhbn2cgmRcntbj\gjkegl1b`lQbauak[bgilo1b`lQbauak[bgilqo0eaoPrdqfpZeszek0eaoPsucwqv773`fjSy|ncd]aqc`bzl1b`lQ{rhe\idrd`j1b`lQ{rhe`kkb682cgmRz}ifaljaYk}}h0eaoPtskdc`t53ge:>6~}ofpbihgedlUoiaov109{g3ukp>?&o~i;85/0yEFw:lki7MNwd`:9B?4=9rYii7j6b;00`?74;>3=:7<k1c0xja?>281eh4o56:&g==<c1;1v_495d8`966b=9:9<5;852e3a2>bci10;6<4>{R`f>a?e2;9o6<=<7845>7b6j:1}X?;6:182>4<1=lqXnh4k9c817a<6;:=2:;4=d0`0?!b0l38956*=3d8gb5=elh21<7o::5d4>33bsAn<n6*=e48ge==]?h09w<=5298~ a?02mki7)9j:ec:?!dc2mkj7)<:6;28 7322m3h7d<73;29 7272;297c<<f;28?l4?93:1(?:?:3:1?k44n3;07d<70;29 7272;297c<<f;08?l40n3:1(?:?:3:1?k44n3907d<8e;29 7272;297c<<f;68?l40l3:1(?:?:3:1?k44n3?07d<8c;29 7272;297c<<f;48?l40j3:1(?:?:3:1?k44n3=07d<89;29 7272;297c<<f;:8?l4003:1(?:?:3:1?k44n3307d<87;29 7272;297c<<f;c8?l40>3:1(?:?:3:1?k44n3h07d<85;29 7272;297c<<f;a8?l40<3:1(?:?:3:1?k44n3n07d<83;29 7272;297c<<f;g8?l40:3:1(?:?:3:1?k44n3l07d<81;29 7272;297c<<f;33?>o5?90;6)<;0;0;6>h5;o0:=65f27g94?"5<9094?5a22d957=<a;<o6=4+25296=4<f;9m6<=4;h05g?6=,;>;6?6=;o00b?7332c9:o4?:%074?4?:2d9?k4>5:9j63g=83.98=4=839m66`=9?10e?86:18'616=:180b?=i:058?l4103:1(?:?:3:1?k44n3;376g=6683>!438383>6`=3g82=>=n:?<1<7*=4181<7=i::l1=l54i346>5<#:=:1>5<4n31e>4d<3`8n?7>5$363>7>53g88j7?l;:k1a7<72-8?<7<72:l17c<6l21b>h?50;&105<50;1e>>h51d98m7c7290/>9>52908j75a28l07d<kf;29 7272;297c<<f;03?>o5ll0;6)<;0;0;6>h5;o09=65f2ef94?"5<9094?5a22d967=<a;nh6=4+25296=4<f;9m6?=4;h0gf?6=,;>;6?6=;o00b?4332c9hl4?:%074?4?:2d9?k4=5:9j6a>=83.98=4=839m66`=:?10e?j8:18'616=:180b?=i:358?l4c>3:1(?:?:3:1?k44n38376g=d483>!438383>6`=3g81=>=n:m>1<7*=4181<7=i::l1>l54i3f0>5<#:=:1>5<4n31e>7d<3`8o>7>5$363>7>53g88j7<l;:k1`4<72-8?<7<72:l17c<5l21b>i>50;&105<50;1e>>h52d98m7ea290/>9>52908j75a2;l07d<ld;29 7272;297c<<f;13?>o5kj0;6)<;0;0;6>h5;o08=65f2b`94?"5<9094?5a22d977=<a;ij6=4+25296=4<f;9m6>=4;h0`=?6=,;>;6?6=;o00b?5332c9o54?:%074?4?:2d9?k4<5:9j6f1=83.98=4=839m66`=;?10e?m9:18'616=:180b?=i:258?l4d=3:1(?:?:3:1?k44n39376g=c583>!438383>6`=3g80=>=n:j81<7*=4181<7=i::l1?l54i3a2>5<#:=:1>5<4n31e>6d<3`8h<7>5$363>7>53g88j7=l;:k1fc<72-8?<7<72:l17c<4l21b>ok50;&105<50;1e>>h53d98m7dc290/>9>52908j75a2:l07d<mc;29 7272;297c<<f;63?>o5jk0;6)<;0;0;6>h5;o0?=65f2cc94?"5<9094?5a22d907=<a;h26=4+25296=4<f;9m69=4;h0a3?6=,;>;6?6=;o00b?2332c9n;4?:%074?4?:2d9?k4;5:9j6g3=83.98=4=839m66`=<?10e?l;:18'616=:180b?=i:558?l4e;3:1(?:?:3:1?k44n3>376g=b383>!438383>6`=3g87=>=n:k;1<7*=4181<7=i::l18l54i3`3>5<#:=:1>5<4n31e>1d<3`8jj7>5$363>7>53g88j7:l;:k1e`<72-8?<7<72:l17c<3l21b>lm50;&105<50;1e>>h54d98m7ge290/>9>52908j75a2=l07d<na;29 7272;297c<<f;73?>o5i00;6)<;0;0;6>h5;o0>=65f2`:94?"5<9094?5a22d917=<a;k<6=4+25296=4<f;9m68=4;h0b2?6=,;>;6?6=;o00b?3332c9m84?:%074?4?:2d9?k4:5:9j6d2=83.98=4=839m66`==?10e?o<:18'616=:180b?=i:458?l4f93:1(?:?:3:1?k44n3?376g=a183>!438383>6`=3g86=>=n:0l1<7*=4181<7=i::l19l54i3;f>5<#:=:1>5<4n31e>0d<3`82h7>5$363>7>53g88j7;l;:k1=f<72-8?<7<72:l17c<2l21b>4l50;&105<50;1e>>h55d98m7?f290/>9>52908j75a2<l07d<69;29 7272;297c<<f;43?>o5110;6)<;0;0;6>h5;o0==65f28494?"5<9094?5a22d927=<a;3>6=4+25296=4<f;9m6;=4;h0:0?6=,;>;6?6=;o00b?0332c95>4?:%074?4?:2d9?k495:9j6<4=83.98=4=839m66`=>?10e?7>:18'616=:180b?=i:758?l4>83:1(?:?:3:1?k44n3<376g=8g83>!438383>6`=3g85=>=n:1o1<7*=4181<7=i::l1:l54i3:g>5<#:=:1>5<4n31e>3d<3`83n7>5$363>7>53g88j78l;:k1<d<72-8?<7<72:l17c<1l21b>5750;&105<50;1e>>h56d98m7>?290/>9>52908j75a2?l07d<77;29 7272;297c<<f;53?>o50?0;6)<;0;0;6>h5;o0<=65f29794?"5<9094?5a22d937=<a;2?6=4+25296=4<f;9m6:=4;h04e?6=,;>;6?6=;o00b?1332c9:k4?:%074?4?:2d9?k485:9j6`2=83.98=4=839m66`=??10e?j6:18'616=:180b?=i:658?l4dm3:1(?:?:3:1?k44n3=376g=c283>!438383>6`=3g84=>=n:k21<7*=4181<7=i::l1;l54i3cg>5<#:=:1>5<4n31e>2d<3`8j>7>5$363>7>53g88j79l;:k1=2<72-8?<7<72:l17c<0l21b>5m50;&105<50;1e>>h57d98m703290/>9>52908j75a2>l07&l::18'616=j=1e>>h50:9(f6<72-8?<7l;;o00b?7<3"h96=4+2529f1=i::l1>65$b083>!4383h?7c<<f;18?.d7290/>9>5b59m66`=<21 mk4?:%074?d33g88j7;4;*cg>5<#:=:1n95a22d92>=,ij0;6)<;0;`7?k44n3=07&om:18'616=j=1e>>h58:9(ed<72-8?<7l;;o00b??<3"k26=4+2529f1=i::l1m65$a983>!4383h?7c<<f;`8?.g0290/>9>5b59m66`=k21 m;4?:%074?d33g88j7j4;*c6>5<#:=:1n95a22d9a>=,i=0;6)<;0;`7?k44n3l07&o=:18'616=j=1e>>h51198/d7=83.98=4m4:l17c<6921 m=4?:%074?d33g88j7?=;:):b?6=,;>;6o:4n31e>45<3"3n6=4+2529f1=i::l1=954+8f94?"5<90i86`=3g821>=,1j0;6)<;0;`7?k44n3;=76%6b;29 7272k>0b?=i:058?.?f290/>9>5b59m66`=9110'4750;&105<e<2d9?k4>9:9(ff<72-8?<7l;;o00b?7f32!in7>5$363>g2<f;9m6<l4;*`b>5<#:=:1n95a22d95f=<#k31<7*=418a0>h5;o0:h65$b983>!4383h?7c<<f;3f?>-e?3:1(?:?:c68j75a28l07&oj:18'616=j=1e>>h52098/d5=83.98=4m4:l17c<5:21 554?:%074?d33g88j7<<;:k;4?6=3"h=6=4+2529f1=i::l1>=54be;5>5<6290;w)<j5;f4g>Nc1=1Ch:l4o31`>5<<ukn297>51;294~"5m<0:h=5Gd868La1e3f;hj7>5;|`722<72>0;6=u+2d7967`<@m3?7Ej8b:&17g<cml1/=h>57:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8l<50;194?6|,;o>6?<m;If:0>Nc?k1/>>l5ddg8 4c728kn7)<;1;fb5>o6l;0;66g>d283>>i5;h0;66sm47:94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;6?74i0f1>5<<a8n86=44o31b>5<<uk>=:7>53;294~"5m<09>o5Gd868La1e3-88n7jje:&2a5<4;2c:h?4?::k2`6<722e9?l4?::a<=7=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f7e83>>o?83:17dli:188k7232900c?:::188yg>?>3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<<a1:1<75fbg83>>i5<=0;66a=4483>>{e0>l1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhk4$0g3>47<a8n96=44o31b>5<<uk?m;7>54;294~"5m<09>n5Gd868La1e3-88n7jje:&2a5<0k2.98<4ka09j5a4=831b=i=50;9j5a2=831d>>o50;9~f1ef290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1b0290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1e3290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e<j<:188m7332900c?=n:188yg2c03:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg2b13:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg2dj3:187>50z&1a0<5;81Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<<a;29?xd3k:0;694?:1y'6`3=::80Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e<m31<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e<lk1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e<jl1<7:50;2x 7c22;9:7Ej64:Jg3g=n9m81<75f1e194?=n:<>1<75`22c94?=zj=oh6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=li6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=no6=4;:183!4b=388=6Fk959K`2d<a8n96=44i0f0>5<<a;??6=44o31b>5<<uk>h97>54;294~"5m<09??5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th?hl4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?ii4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?h=4?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8hk50;594?6|,;o>6?<i;If:0>Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8km50;594?6|,;o>6?<i;If:0>Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8h=50;694?6|,;o>6?=>;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9m7:187>5<7s-8n97<<2:Jg=1=Ol>h0e<j=:188m4b42900e?;;:188k75f2900qo:jf;293?6=8r.9i84=2g9K`<2<@m=i7)?j0;g8m4b52900e<j<:188m4b32900e<j::188m4b12900e<j8:188k75f2900qo:id;293?6=8r.9i84=2g9K`<2<@m=i7)?j0;g8m4b52900e<j<:188m4b32900e<j::188m4b12900e<j8:188k75f2900qo:j4;290?6=8r.9i84=309K`<2<@m=i7d?k2;29?l7c;3:17d<:4;29?j44i3:17pl;fd83>2<729q/>h;523d8La?33An<n6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl:0783>2<729q/>h;523d8La?33An<n6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;f583>1<729q/>h;52238La?33An<n6g>d383>>o6l:0;66g=5583>>i5;h0;66sm4e094?2=83:p(?k::311?Mb><2Bo;o5f1e094?=n9m91<75f24694?=h::k1<75rb5fa>5<0290;w)<j5;01b>Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5d3>5<0290;w)<j5;01b>Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5f2>5<3290;w)<j5;005>Nc1=1Ch:l4i0f1>5<<a8n86=44i377>5<<g;9j6=44}c6e5?6=?3:1<v*=e4816c=Ol0>0Di9m;%3f4?c<a8n96=44i0f0>5<<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<g;9j6=44}c6eb?6=?3:1<v*=e4816c=Ol0>0Di9m;%3f4?c<a8n96=44i0f0>5<<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<g;9j6=44}c6f1?6=<3:1<v*=e48174=Ol0>0Di9m;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`7g<<72=0;6=u+2d79664<@m3?7Ej8b:k2`7<722c:h>4?::k111<722e9?l4?::a0c4=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a156=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0`0=83>1<7>t$3g6>7563An286Fk7c9j5a4=831b=i=50;9j602=831d>>o50;9~f066290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f060290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1`?290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e<j<:188m7332900c?=n:188yg2c=3:187>50z&1a0<5;;1Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<<a;29?xd3n:0;6:4?:1y'6`3=:;l0Di7;;If4f>"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd28;0;6:4?:1y'6`3=:;l0Di7;;If4f>"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd3m>0;694?:1y'6`3=::;0Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e=991<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e=921<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e<o31<7:50;2x 7c22;9:7Ej64:Jg3g=n9m81<75f1e194?=n:<>1<75`22c94?=zj=n=6=4;:183!4b=388>6Fk959K`2d<a8n96=44i0f0>5<<a;??6=44o31b>5<<uk?;87>57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk?;57>57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk>mm7>54;294~"5m<09?<5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th><l4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th><o4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th><84?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8h650;694?6|,;o>6?==;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9jl:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:d9j5a4=831b=i=50;9j5a2=831b=i;50;9j5a0=831b=i950;9l66g=831vn9km:185>5<7s-8n97<=e:Jg=1=Ol>h0(<k?:258m4b52900e<j<:188m4b32900e<j::188m4b12900c?=n:188yg>??3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f=>5290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<=2=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;h073?6=3f8?87>5;|`;<<<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c:;4?6=<3:1<v*=e482g6=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75f25594?=h:=>1<75rb9:g>5<3290;w)<j5;3`1>Nc1=1Ch:l4$31a>acb3-;n<7?>;h5a>5<<akl1<75f24694?=h:=>1<75rb95f>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm33094?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`065<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg56m3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:;h6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?<o50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<1983>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c122?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f673290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;8:1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8<h4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=?c;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb22b>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a75>=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd48?0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9;87>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>>=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm31294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`1b`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg4ai3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj;l36=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi>k850;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=f583>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c0e6?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f7`7290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e:lo1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th9in4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo<ja;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb3g;>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7`4=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4m90;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9oi7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>jl:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm3ec94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0`=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5c>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:n?6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?i<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<d183>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1`g?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6ef290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;j21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8o;4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=l4;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb2a1>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7f6=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4jl0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9io7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>ln:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm3c494?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0f1<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5e:3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:h;6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?lk50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<ab83>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1be?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6g?290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;h<1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m94?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=n0;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb2;f>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7<e=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd41h0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9247>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>79:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm38694?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0=7<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5>83:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:2n6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?5o50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<8983>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1;2?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6>3290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;181<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th84=4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=8e;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb25`>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a72g=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4?10;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9<87>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>9=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm36294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`02`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg51k3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:<j6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?;650;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<6783>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c150?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f605290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;<o1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th89n4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=:a;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb27;>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a700=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4==0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9>>7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>;?:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm35g94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`00f<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5303:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:>=6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?9:50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<4383>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c174?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f65b290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;:i1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8?l4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=<8;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb215>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a764=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4;90;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk99i7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn><l:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm33c94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`06=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cml1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg55>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:8?6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?<<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=fb83>2<729q/>h;524`8La?33An<n6*=3c8ga`=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1f0?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6eb290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;k21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m?4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=7c;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb255>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a736=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4<h0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9887>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn?k9:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm33194?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:80;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=>f;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f67c29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?<l50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`05<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9:;7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb236>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;8;1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<0g83>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg57l3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>>m:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a75?=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8<:4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c131?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj::86=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm31394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd5no0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo<ib;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f7`>29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi>k950;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1b0<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk8m?7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb3d2>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e:ll1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl=ee83>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg4bj3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn?k6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7`5=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8i<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1gb?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:no6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm3e`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4l00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=k7;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f6b229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?i=50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0`4<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9hh7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2aa>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;j31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<c683>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg5d=3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>m<:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7f7=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8nk4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1a`?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:hi6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm3c594?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4j<0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=m3;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f6d629086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?lh50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0ea<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9jn7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2c:>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;h=1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<a483>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg5f93:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>7i:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7<b=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th85o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1:=?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:3<6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm38794?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd41:0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=61;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f6>a29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?5l50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0<<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk93;7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2:6>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;191<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<8083>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg50n3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>9k:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a72d=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8;44?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c141?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:=86=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm36394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4>o0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=9d;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f60e29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?;750;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`022<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9=97>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb240>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;<l1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<5e83>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg52j3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>;6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a701=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8984?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c167?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:?:6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm35d94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4<m0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=;9;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f62029086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?9;50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`006<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9?=7>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb21e>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;:n1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<3c83>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg5413:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>=8:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a765=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th8?<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c11b?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj:8o6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm33`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo==7;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831d>9:50;9~f64229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?<=50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1ba<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44o367>5<<uk9n97>53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2ae>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;k31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<a283>6<729q/>h;51ca8La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900c?:;:188yg5?l3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>98:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a737=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;n070?6=3th88o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c101?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75`25694?=zj;o<6=4<:183!4b=3;io6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>i5<=0;66sm99d94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e10:1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98394?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo764;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi54;50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk32:7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim<=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae42=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim<<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae43=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}cc22?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sma0594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoo>8;29=?6=8r.9i84=5e9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`=o6=44i9294?=njo0;66a=4583>>i5<<0;66sma0394?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei;?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3494?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei;>1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoo=8;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wim?750;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<ukk9m7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim?=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae61=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae60=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>750;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<ukk8m7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{ei:h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598ygg4k3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<<a1:1<75fbg83>>i5<=0;66a=4483>>{ei:?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei=k1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5:94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei=h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598ygg3k3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae1b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}cc7a?6=13:1<v*=e4811a=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae11=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0e=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8o50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}cc6a?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sma4d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoo90;29=?6=8r.9i84=5e9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`=o6=44i9294?=njo0;66a=4583>>i5<<0;66sma4;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei?n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7g94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei?i1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoo80;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wim:?50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<ukk<>7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim;l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5>50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2c=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5?50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<ukk3>7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{ei191<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598ygg?<3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<<a1:1<75fbg83>>i5<=0;66a=4483>>{ei>n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8394?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8294?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{ei091<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598ygg><3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae<3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}cc:2?6=13:1<v*=e4811a=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae=`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}ccb2?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sma`594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoon8;29=?6=8r.9i84=5e9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`=o6=44i9294?=njo0;66a=4583>>i5<<0;66sma`394?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1h91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`694?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1h81<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo7n6;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi5l950;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk3j47>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5l?50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o850;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o950;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk3i47>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e1k31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg?ei3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<<a1:1<75fbg83>>i5<=0;66a=4483>>{e1k91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b594?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1j21<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b494?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1j31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg?di3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a=fd=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}c;`g?6=13:1<v*=e4811a=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a=f3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ag=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ad=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}c;gg?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sm9ef94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo7ke;29=?6=8r.9i84=5e9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`=o6=44i9294?=njo0;66a=4583>>i5<<0;66sm9e594?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1lh1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9da94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1lk1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9df94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo7je;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi5hh50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk3m<7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5h750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ce=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kh50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae56=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kk50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae57=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}cc36?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sma1194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qoo?4;29=?6=8r.9i84=5e9K`<2<@m=i7)<<b;ffa>"6m9097d=;:188m63=831b?;4?::k0<?6=3`=o6=44i9294?=njo0;66a=4583>>i5<<0;66sm9gf94?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e1oh1<7:50;2x 7c228i>7Ej64:Jg3g=#::h1hhk4$0g3>47<a>h1<75fbg83>>o5==0;66a=4583>>{e?lo1<7=50;2x 7c22;8i7Ej64:Jg3g=#::h1hhk4$0g3>4e<,;>:6i7i;h3g6?6=3`;o?7>5;n00e?6=3th<o=4?:483>5}#:l?1>?j4He;7?Mb0j2.9?o4ked9'5`6=j2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f4=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(<k?:0`3?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c283>0<729q/>h;523f8La?33An<n6*=3c8ga`=#9l:1>l5f1e094?=n9m91<75f1e694?=n9m?1<75`22c94?=zj>i?6=4::183!4b=389h6Fk959K`2d<,;9i6ikj;%3f4?7ei2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f3=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(<k?:0`;?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c783>0<729q/>h;523f8La?33An<n6*=3c8ga`=#9l:1m6g>d383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e?j=1<7;50;2x 7c22;8o7Ej64:Jg3g=#::h1hhk4$0g3>7=n9m81<75f1e194?=n9m>1<75f1e794?=h::k1<75rb6a;>5<2290;w)<j5;01`>Nc1=1Ch:l4$31a>acb3-;n<774i0f1>5<<a8n86=44i0f7>5<<a8n>6=44o31b>5<<uk=h57>55;294~"5m<09>i5Gd868La1e3-88n7jje:&2a5<6k11b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f2ef290>6=4?{%0f1?45l2Bo595Gd6`8 75e2mon7)?j0;31?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c083>0<729q/>h;523f8La?33An<n6*=3c8ga`=#9l:1j6g>d383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e08n1<7<50;2x 7c22;8?7Ej64:Jg3g=#::h1hhk4i0f2>5<<g;9j6=44}c5e`?6=;3:1<v*=e4816g=Ol0>0Di9m;%00f?bbm2.:i=4>c:k2`7<722c:h>4?::m17d<722wi;hj50;194?6|,;o>6?<m;If:0>Nc?k1/>>l5ddg8 4c72;30(?:>:e;g?l7c:3:17d?k3;29?j44i3:17pl71b83>6<729q/>h;523`8La?33An<n6*=3c8ga`=#9l:1=lk4$362>a?a3`;o>7>5;h3g7?6=3f88m7>5;|`;50<72<0;6=u+2d7967b<@m3?7Ej8b:&17g<cml1/=h>5119'617=l0n0e<j=:188m4b42900e<j;:188m4b22900c?=n:188yg1bj3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83;ih6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e?li1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1=oj4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk2:;7>54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e0821<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg>6>3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2`3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<<uk=nj7>54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj>l86=4::183!4b=3;ij6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>o>>3:17dli:188k7232900qo9i2;290?6=8r.9i84>c19K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188m2b=831bnk4?::m101<722wi4;:50;694?6|,;o>6<m?;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<<uk=nm7>54;294~"5m<09>85Gd868La1e3-88n7jje:&2a5<63`3=6=44icd94?=n9jl1<75`25694?=zj1;n6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl71g83>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f2ee290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th<on4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb6ag>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0kl0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:mi:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`5<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj>n:6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8d383>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f2b4290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th<h94?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb6g4>5<3290;w)<j5;3`4>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl8fb83>1<729q/>h;51b28La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9l612=831vn:hm:187>5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th3?;4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi4>=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a<6g=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f=50290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn5=j:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo6<b;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffa>o0n3:17dm<:188m7332900c?<8:188yg>3:3:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nni6g8f;29?l45>3:17b<<a;29?xd?;o0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl74783>6<729q/>h;5d818La?33An<n6*=3c8ga`=n?o0;66g=2783>>i5;h0;66sm85194?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e0=k1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj1><6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<<aj91<75f24694?=h:;=1<75rb96f>5<4290;w)<j5;f:7>Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<<g;9j6=44}c:7f?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbm2c<j7>5;ha0>5<<a;??6=44o304>5<<uk2>>7>53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th38k4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`;13<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cml1b;k4?::k163<722e9?l4?::a<05=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi48750;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn5=::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl73883>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb91g>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`;04<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f=2229096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<<a;29?xd?<00;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj1>o6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th39<4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn5;::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl75983>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb917>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn5=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`;05<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj1>?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl74983>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f=2d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th39=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb977>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?=>0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8<=:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo;>f;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffa>o0n3:17dm<:188m7332900c?<8:188yg35>3:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nni6g8f;29?l45>3:17b<<a;29?xd2::0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl:2`83>6<729q/>h;5d818La?33An<n6*=3c8ga`=n?o0;66g=2783>>i5;h0;66sm53594?2=83:p(?k::313?Mb><2Bo;o5+22`9``c<a>l1<75fc283>>o5==0;66a=2683>>{e=;o1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj<8i6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<<aj91<75f24694?=h:;=1<75rb411>5<4290;w)<j5;f:7>Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<<g;9j6=44}c71b?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbm2c<j7>5;ha0>5<<a;??6=44o304>5<<uk?8:7>53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th>?>4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`67d<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cml1b;k4?::k163<722e9?l4?::a161=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi9>k50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn8=m:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f02529086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg34n3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo;;5;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffa>o0n3:17d<=6;29?j44i3:17pl:2083>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb406>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`66<<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f04c29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<<a;29?xd2;80;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj<9>6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th>?44?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn8=k:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl:4083>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb467>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`665<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<8?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl:2983>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f04d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th>?=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb417>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd2;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`605<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<>86=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8b183>6<729q/>h;523`8La?33An<n6*=3c8ga`=#9l:1>45f1e094?=n9m91<75`22c94?=zj>km6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?7e92c:h?4?::k2`6<722c:h94?::m17d<722wi;lk50;794?6|,;o>6?<k;If:0>Nc?k1/>>l5ddg8 4c728i27)<;1;fb4>o6l;0;66g>d283>>o6l=0;66g>d483>>i5;h0;66sm79494?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79794?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79694?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79194?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79094?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79394?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm79294?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm76d94?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6<?4i6`94?=njo0;66g=5583>>i5<=0;66sm78g94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;6<m4i0f1>5<<a8n86=44o31b>5<<uk=j?7>54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e?h81<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg1f<3:197>50z&1a0<6jo1Ch4:4He5a?!44j3nni6*>e182?l1e2900e:j50;9j=3<722cij7>5;n070?6=3th<5k4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<a;><6=44o367>5<<uk=j97>55;294~"5m<0:nk5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=n1?0;66gmf;29?j43<3:17pl88c83>6<729q/>h;523`8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=n9m91<75`22c94?=zj>396=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17b<;4;29?xd0180;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f2?7290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`4<c<72=0;6=u+2d795f6<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=h:=>1<75rb6;0>5<2290;w)<j5;3ab>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66g66;29?lda2900c?:;:188yg1?k3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2?3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<<uk<9i7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e>:=1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg04>3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a1cc=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}c7e`?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sm5ga94?2=83:p(?k::312?Mb><2Bo;o5+22`9``c<a8n96=44i0f0>5<<a;??6=44o31b>5<<uk<8=7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e>::1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg05n3:187>50z&1a0<5;81Ch4:4He5a?!44j3nni6g>d383>>o6l:0;66g=5583>>i5;h0;66sm61794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo8?4;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi:==50;694?6|,;o>6?=>;If:0>Nc?k1/>>l5ddg8m4b52900e<j<:188m7332900c?=n:188yg05:3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a277=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<<b;ffa>"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=<a:i1<7*=4180`>h5;o0:76g<b;29 7272:n0b?=i:398m6g=83.98=4<d:l17c<432c857>5$363>6b<f;9m6954}c414?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sm60d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo8>c;290?6=8r.9i84=309K`<2<@m=i7)<<b;ffa>o6l;0;66g>d283>>o5==0;66a=3`83>>{e>8n1<7:50;2x 7c22;9:7Ej64:Jg3g=#::h1hhk4i0f1>5<<a8n86=44i377>5<<g;9j6=44}c42a?6=<3:1<v*=e48177=Ol0>0Di9m;%00f?bbm2c:h?4?::k2`6<722c9994?::m17d<722wi:<>50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk<;j7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=llo0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e>9o1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg07l3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a25g=83>1<7>t$3g6>7563An286Fk7c9'66d=llo0e<j=:188m4b42900e?;;:188k75f2900qo8?b;290?6=8r.9i84=309K`<2<@m=i7)<<b;ffa>o6l;0;66g>d283>>o5==0;66a=3`83>>{e>9i1<7:50;2x 7c22;997Ej64:Jg3g=#::h1hhk4i0f1>5<<a8n86=44i377>5<<g;9j6=44}c401?6=13:1<v*=e4811a=Ol0>0Di9m;%00f?bbm2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a27b=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(<k?:39j71<722c897>5;h15>5<<a:21<75f7e83>>o?83:17dli:188k7232900c?:::188yg11>3:187>50z&1a0<5:j1Ch4:4He5a?!44j3nni6*>e182`>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e=oh1<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd19k0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg0713:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f20?290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a331=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;h073?6=3f8?87>5;|`6bd<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c7e=?6=<3:1<v*=e482g6=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75f25594?=h:=>1<75rb646>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0>h0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:86:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5ea<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?kj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9a783>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f3g5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=5h4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb7;b>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd11?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;7=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5<`<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?2j6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9ad83>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb7ca>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5e2<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f3g429096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<<a;29?xd11o0;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj?3i6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=5:4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;7<:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl98g83>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb7:a>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ec<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cml1b;k4?::k163<722e9?l4?::a2d?=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi:lm50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn;o::187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f3g?29086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0f93:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8n4;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffa>o0n3:17d<=6;29?j44i3:17pl99e83>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd1i90;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>031<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm68a94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``c<a>l1<75f23494?=h::k1<75rb7;6>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?336=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<<a;8=6=44o31b>5<<uk<2=7>54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<<g;8<6=44}c4:0?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbm2c<j7>5;h012?6=3f88m7>5;|`5<a<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cml1b;k4?::k`7?6=3`8>87>5;n013?6=3th=5=4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:5750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2=e=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3`6290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=ih4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb7gb>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd1m?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;k=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5``<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?nj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9d783>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f3b5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=oh4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb7d1>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ac<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f3ce29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<<a;29?xd1m>0;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj?o86=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=hk4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;jm:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl9d683>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb7f0>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5gc<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f3`429086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0bl3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8i0;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffa>o0n3:17d<=6;29?j44i3:17pl9e883>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd1mj0;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>l?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm6d:94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``c<a>l1<75f23494?=h::k1<75rb7g2>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?o?6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<<a;8=6=44o31b>5<<uk<oh7>54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<<g;8<6=44}c4f4?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbm2c<j7>5;h012?6=3f88m7>5;|`5`<<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cml1b;k4?::k`7?6=3`8>87>5;n013?6=3th=hn4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:i;50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2a>=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3b6290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn;j;:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo8ld;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffa>o0n3:17dm<:188m7332900c?<8:188yg0c83:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nni6g8f;29?l45>3:17b<<a;29?xd1?=0;694?:1y'6`3=:;i0Di7;;If4f>"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj?=:6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=:h4?:583>5}#:l?1>?m4He;7?Mb0j2.9?o4ked9'5`6=?j1b=i<50;9j5a5=831b=i:50;9l66g=831vn;8m:187>5<7s-8n97<=c:Jg=1=Ol>h0(?=m:egf?!7b83=h7d?k2;29?l7c;3:17d?k4;29?j44i3:17pl96983>1<729q/>h;523a8La?33An<n6*=3c8ga`=#9l:1;n5f1e094?=n9m91<75f1e694?=h::k1<75rb746>5<3290;w)<j5;01g>Nc1=1Ch:l4$31a>acb3-;n<79l;h3g6?6=3`;o?7>5;h3g0?6=3f88m7>5;|`527<72=0;6=u+2d7967e<@m3?7Ej8b:&17g<cml1/=h>57b9j5a4=831b=i=50;9j5a2=831d>>o50;9~f33a290?6=4?{%0f1?45k2Bo595Gd6`8 75e2mon7)?j0;5`?l7c:3:17d?k3;29?l7c<3:17b<<a;29?xd1=j0;694?:1y'6`3=:;i0Di7;;If4f>"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj??26=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=jk4?:283>5}#:l?1>?l4He;7?Mb0j2.9?o4ked9'5`6=;:1/>9?5d8g8m4b52900e<j<:188k75f2900qo8ie;297?6=8r.9i84=2c9K`<2<@m=i7)<<b;ffa>"6m908?6*=408g=`=n9m81<75f1e194?=h::k1<75rb774>5<?290;w)<j5;06g>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3th=8i4?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75`25694?=zj?>h6=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17b<;4;29?xd1<k0;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f32f290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`50<<72=0;6=u+2d795f6<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76;>5<3290;w)<j5;3`4>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl95783>1<729q/>h;51b28La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9l612=831vn;;::187>5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=994?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75`25694?=zj??86=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17b<;4;29?xd1=;0;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f336290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`515<72=0;6=u+2d795f6<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76e>5<3290;w)<j5;3`4>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl94d83>1<729q/>h;51b28La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9l612=831vn;:8:187>5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=;>4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<a;><6=44o367>5<<uk<<97>54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?=;6=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>o5<>0;66a=4583>>{e>>81<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd1>m0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg01n3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f30f290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a23e=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(<k?:09j3g<722cij7>5;h073?6=3f8?87>5;|`522<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c45=?6=<3:1<v*=e482g6=Ol0>0Di9m;%00f?bbm2.:i=4>;h5a>5<<akl1<75f25594?=h:=>1<75rb747>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm67494?2=83:p(?k::0a0?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl96083>1<729q/>h;51b18La?33An<n6*=3c8ga`=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo893;290?6=8r.9i84>c29K`<2<@m=i7)<<b;ffa>"6m90:7d9m:188mg`=831b>9950;9l612=831vn;;j:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi:;>50;694?6|,;o>6<m<;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=9o4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<a;><6=44o367>5<<uk<>h7>54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj??36=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75fbg83>>o5<>0;66a=4583>>{e><k1<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd0:10;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82883>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd0:h0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82c83>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd0:j0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82e83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6ikj;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn:<j:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oih5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th<>k4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mon7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj>9;6=46:183!4b=38>h6Fk959K`2d<,;9i6ikj;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th<=94?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`450<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cml1b;k4?::k`7?6=3`8>87>5;n013?6=3th<=;4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`452<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cml1b;k4?::k`7?6=3`8>87>5;n013?6=3th<=54?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`45<<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb63b>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4ked9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl81c83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6ikj;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn:?l:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a>n1<75f8183>>oen3:17b<;4;29?j43=3:17pl80183>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd0880;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80383>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd08:0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80583>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd08<0;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>acb3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f261290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8ga`=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`442<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb62;>5<>290;w)<j5;06`>Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`73`<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cml1b;k4?::k163<722e9?l4?::a02d=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi85<50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn99i:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f1>129086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg2?;3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo:7a;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffa>o0n3:17d<=6;29?j44i3:17pl;8683>1<729q/>h;52228La?33An<n6*=3c8ga`=n?o0;66gl3;29?l42<3:17b<=7;29?xd30l0;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e<1h1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm48094?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``c<a>l1<75f23494?=h::k1<75rb5:e>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj=3=6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<<a;8=6=44o31b>5<<uk>2?7>54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<<g;8<6=44}c6:=?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbm2c<j7>5;h012?6=3f88m7>5;|`73a<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cml1/=h>51b9j5a4=831d>>o50;9~f1>629096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<<a;29?xd30<0;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj=226=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th?4i4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn97>:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl;9483>7<729q/>h;523c8La?33An<n6*=3c8ga`=#9l:1=n5f1e094?=h::k1<75rb5;;>5<5290;w)<j5;01e>Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`73f<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=2;6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;8583>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f1>?290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?4n4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb5;3>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd31=0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn978:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`7e6<72<0;6=u+2d795f2<@m3?7Ej8b:&17g<cml1/=h>51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=k?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7<a>h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;a483>0<729q/>h;51b68La?33An<n6*=3c8ga`=#9l:1=6g8b;29?l1c2900eoh50;9j611=831d>9:50;9~f1g1290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?m:4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h5g>5<<akl1<75f25594?=h:=>1<75rb5c;>5<2290;w)<j5;3`0>Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd3i00;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn9on:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`0<72=0;6=u+2d7967e<@m3?7Ej8b:&2a5<6io1b=i<50;9j5a5=831b=i:50;9l66g=831vn88?:185>5<7s-8n97<=e:Jg=1=Ol>h0(<k?:99j5a4=831b=i=50;9j5a2=831b=i;50;9j5a0=831d>>o50;9~f006290>6=4?{%0f1?45l2Bo595Gd6`8 4c72m1b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f005290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f004290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f003290=6=4?{%0f1?45m2Bo595Gd6`8 4c728ij7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?j44i3:17pl70283>2<729q/>h;523d8La?33An<n6*>e182g2=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92`>5<4290;w)<j5;01f>Nc1=1Ch:l4$0g3>47<a8n96=44i0f0>5<<g;9j6=44}c:3`?6=?3:1<v*=e4816c=Ol0>0Di9m;%3f4?7e12c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a<44=83<1<7>t$3g6>74b3An286Fk7c9'5`6=k91b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9l66g=831vn5?<:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:0af?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl71583>2<729q/>h;523d8La?33An<n6*>e18`?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl70983>2<729q/>h;523d8La?33An<n6*>e182ga=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92:>5<3290;w)<j5;01g>Nc1=1Ch:l4$0g3>4ga3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th3<o4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=489:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi;kk50;694?6|,;o>6<m<;If:0>Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th3<=4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c<n7>5;h`e>5<<a;><6=44o367>5<<uk2;=7>53;294~"5m<09>o5Gd868La1e3-;n<7?ne:&104<ci91b=i<50;9j5a5=831d>>o50;9~f=02290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<30=8391<7>t$3g6>74e3An286Fk7c9'5`6=9ho0e<j=:188m4b42900c?=n:188yg1b=3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2c129086=4?{%0f1?45j2Bo595Gd6`8 4c728kn7d?k2;29?l7c;3:17b<<a;29?xd?990;6;4?:1y'6`3=:;o0Di7;;If4f>"6m90:o<5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=h::k1<75rb932>5<2290;w)<j5;01`>Nc1=1Ch:l4$0g3>4e13`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`;4c<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<6kj1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~fgb6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~ff?>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn77:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn79:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7;:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7=:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6i:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>b29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6k:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>d29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6m:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn66:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn68:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6;:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6=:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff>629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6?:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff1a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9j:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff1c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9l:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh7:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh9:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh;:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh=:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh?:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffcb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkk:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffcd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkm:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffcf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk6:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffc?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk8:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffc129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk::180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffc429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk=:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffc629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk?:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffba29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjj:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffbc29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjl:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffbe29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjn:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffb?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj8:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffb129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj::180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffb329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj<:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffb529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj>:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffb729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmi:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffec29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnml:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffee29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmn:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffe>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm7:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffe029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm9:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffe229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm;:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffe529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm>:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffe729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnli:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffdb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlk:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffdd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlm:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffdf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl6:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffd029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl9:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffd229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl;:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffd429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl=:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffd629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl?:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffga29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnoj:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffgd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnom:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffgf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno6:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffg?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno8:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffg129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno::180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffg329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno<:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffg629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno?:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7j:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7l:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?e29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7n:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff?629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn69:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ff`f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnki:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffc329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj6:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffeb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm<:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffd?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnok:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~ffg529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9m:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~f<6a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<51290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<26290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<30290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<05290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<42290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<57290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<56290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<55290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<54290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<53290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<52290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<50290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<27290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<25290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<24290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<23290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<22290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<21290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<20290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<37290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<36290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<35290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<34290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<33290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<32290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<31290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<07290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<06290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<04290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<03290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<02290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<01290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<00290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<17290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<16290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<15290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<14290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<13290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<12290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<11290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<10290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<77290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<76290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<75290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<74290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<73290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<72290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<71290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<70290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<47290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<46290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<45290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<44290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<43290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<41290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<40290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=>a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ed290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<64290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ga290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=df290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=de290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=db290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=da290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ef290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ee290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ec290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=eb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ea290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=be290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ba290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ce290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ca290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<67290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<66290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<65290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<63290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<62290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<61290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<60290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=??290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ge290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f2`7290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4b4<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6g:>5<3290;w)<j5;3`6>Nc1=1Ch:l4$0g3>47<,;9i6ikj;h5a>5<<akl1<75f25094?=h:=>1<75rb6g;>5<3290;w)<j5;3aa>Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl71c83>1<729q/>h;51cg8La?33An<n6*>e182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn:ok:180>5<7s-8n97?mc:Jg=1=Ol>h0(<k?:038 75e2mon7d9m:188mg`=831d>9:50;9~f2g6290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4e5<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6:f>5<3290;w)<j5;3aa>Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl88e83>1<729q/>h;51cg8La?33An<n6*>e182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn5>n:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:238m4b52900e<j<:188m4b32900e<j::188m4b12900e<j8:188k75f2900qo6?5;290?6=8r.9i84=309K`<2<@m=i7d?k2;29?l7c;3:17d<:4;29?j44i3:17pl70783>2<729q/>h;523d8La?33An<n6*>e182gg=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb924>5<1290;w)<j5;01a>Nc1=1Ch:l4$0g3>4e63`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;n00e?6=3th?o=4?:7g94?6|,;o>6?:6;If:0>Nc?k1Q;l4l{06950<5:3886<h51d814?4628k1=44>8;3a>xhd9330bn<59:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>e085?!7b:3<0(<k<:79'5`2=>2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(<h<:79'5c2=>2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=2080?!45:390(?<<:31:?!43i38=?6*=508127=#:<81;i5+24193a=#:<=1h:k4$37e>6=#:?:1?6*k918g<c=#l0;1h5h4i373>5<<a8h>6=44i36a>5<<a8h86=44i9a94?=n0o0;66g=4e83>>o5<l0;66gk8783>!4383n396`=3g83?>oc0=0;6)<;0;f;1>h5;o0:76a>6183>!4383;>j6`=3g83?>i6=l0;6)<;0;36b>h5;o0:76a>5e83>!4383;>j6`=3g81?>i6=j0;6)<;0;36b>h5;o0876a>5c83>!4383;>j6`=3g87?>i6=h0;6)<;0;36b>h5;o0>76a>6883>!4383;>j6`=3g85?>i6>10;6)<;0;36b>h5;o0<76a>6683>!4383;>j6`=3g8;?>i6>?0;6)<;0;36b>h5;o0276a>6483>!4383;>j6`=3g8b?>i6>=0;6)<;0;36b>h5;o0i76a>6283>!4383;>j6`=3g8`?>i6>;0;6)<;0;36b>h5;o0o76a>6083>!4383;>j6`=3g8f?>i6=00;6)<;0;36b>h5;o0m76a>a483>!4383;j86`=3g83?>i6i:0;6)<;0;3b0>h5;o0:76a>ae83>!4383;jo6`=3g83?>i6ik0;6)<;0;3bg>h5;o0:76gk8d83>!4383n3h6`=3g83?>oc0j0;6)<;0;f;`>h5;o0:76g:2;29 7272<;0b?=i:198m06=83.98=4:1:l17c<632c?j7>5$363>07<f;9m6?54i4`94?"5<90>=6`=3g80?>o2i3:1(?:?:438j75a2=10e8750;&105<292d9?k4:;:k6<?6=,;>;68?4n31e>3=<a<=1<7*=41865>h5;o0<76g:6;29 7272<;0b?=i:998m03=83.98=4:1:l17c<>32c>87>5$363>07<f;9m6l54i4194?"5<90>=6`=3g8a?>o3m3:1(?:?:438j75a2j10e<=8:18'616=9:<0b?=i:198m452290/>9>51248j75a2810e<?j:18'616=98n0b?=i:198m47d290/>9>510f8j75a2810e<?m:18'616=98n0b?=i:398m47f290/>9>510f8j75a2:10e<?6:18'616=98n0b?=i:598m47?290/>9>510f8j75a2<10e<<8:18'616=98n0b?=i:798m441290/>9>510f8j75a2>10e<<::18'616=98n0b?=i:998m443290/>9>510f8j75a2010e<<<:18'616=98n0b?=i:`98m445290/>9>510f8j75a2k10e<<>:18'616=98n0b?=i:b98m447290/>9>510f8j75a2m10e<?i:18'616=98n0b?=i:d98m470290/>9>510f8j75a2o10ehm50;&105<bj2d9?k4?;:kfe?6=,;>;6hl4n31e>4=<al31<7*=418ff>h5;o0976gj8;29 7272lh0b?=i:298m`1=83.98=4jb:l17c<332cn:7>5$363>`d<f;9m6854ig794?"5<90nn6`=3g85?>oa<3:1(?:?:d`8j75a2>10ek=50;&105<bj2d9?k47;:ke6?6=,;>;6hl4n31e><=<ao;1<7*=418ff>h5;o0j76gi0;29 7272lh0b?=i:c98m``=83.98=4jb:l17c<d32cni7>5$363>`d<f;9m6i54idf94?"5<90nn6`=3g8f?>ob=3:1(?:?:d`8j75a2o10e;h50;&105<1m2d9?k4?;:k5`?6=,;>;6;k4n31e>4=<a?i1<7*=4185a>h5;o0976g88;29 7272?o0b?=i:298m21=83.98=49e:l17c<332c<:7>5$363>3c<f;9m6854i6794?"5<90=i6`=3g85?>o0<3:1(?:?:7g8j75a2>10e:=50;&105<1m2d9?k47;:k46?6=,;>;6;k4n31e><=<a>;1<7*=4185a>h5;o0j76g80;29 7272?o0b?=i:c98m3d=83.98=49e:l17c<d32e:5>4?:%074?7>:2d9?k4?;:m2=4<72-8?<7?62:l17c<632e:5=4?:%074?7>:2d9?k4=;:m2<c<72-8?<7?62:l17c<432e:4h4?:%074?7>:2d9?k4;;:m2<a<72-8?<7?62:l17c<232e:5n4?:%074?7>:2d9?k49;:m2=g<72-8?<7?62:l17c<032e:5l4?:%074?7>:2d9?k47;:m2=<<72-8?<7?62:l17c<>32e:554?:%074?7>:2d9?k4n;:m2=2<72-8?<7?62:l17c<e32e:5;4?:%074?7>:2d9?k4l;:m2=0<72-8?<7?62:l17c<c32e:594?:%074?7>:2d9?k4j;:m2<f<72-8?<7?62:l17c<a32c:>k4?:%074?75m2d9?k4?;:k26a<72-8?<7?=e:l17c<632wi8ll50;``>5<7s-8n97<;8:Jg=1=Ol>h0V:o5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#::h1hhk4nb3957=ik;0:>6`>6`83?k7>l3:0(<l9:0`a?!7e?3;in6*>d985?!7c13<0(<jn:79'5ad=>2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m80=7)?j2;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(<km:79'5`e=>2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(<hm:79'5ce=>2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)<?2;48 7642?1/>=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9<i49;%03a?0<,;:m6;5+20292>"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80>7)<=2;78 7442;927)<:1;056>"5=;0<h6*=5284`>"5=>0o;h5+24:960g<,;?m685+27291>"c190o4k5+d839`=`<a;>i6=44i9594?=n9k>1<75f25d94?=n0m0;66g=4b83>>o5<l0;66g>b383>>o6:j0;6)<;0;31f>h5;o0;76g>2`83>!4383;9n6`=3g82?>o6:00;6)<;0;31f>h5;o0976g>2983>!4383;9n6`=3g80?>oc0:0;6)<;0;f;6>h5;o0;76gk8083>!4383n3>6`=3g82?>oc090;6)<;0;f;6>h5;o0976gk7g83>!4383n3>6`=3g80?>i6=80;6)<;0;364>h5;o0;76a>4g83>!4383;><6`=3g82?>i6<m0;6)<;0;364>h5;o0976a>4b83>!4383;><6`=3g80?>i6<k0;6)<;0;364>h5;o0?76a>4`83>!4383;><6`=3g86?>i6<00;6)<;0;364>h5;o0=76a>4983>!4383;><6`=3g84?>i6<>0;6)<;0;364>h5;o0376a>4783>!4383;><6`=3g8:?>i6<<0;6)<;0;364>h5;o0j76a>4583>!4383;><6`=3g8a?>i6<;0;6)<;0;364>h5;o0h76a>4083>!4383;><6`=3g8g?>i6<90;6)<;0;364>h5;o0n76a>3g83>!4383;><6`=3g8e?>i6;l0;6)<;0;364>h5;o0:<65`12f94?"5<90:9=5a22d954=<g89h6=4+2529506<f;9m6<<4;n30f?6=,;>;6<;?;o00b?7432e:?l4?:%074?7282d9?k4>4:9l56?=83.98=4>519m66`=9<10c<;7:18'616=9<:0b?=i:048?j72?3:1(?:?:073?k44n3;<76a>5783>!4383;><6`=3g82<>=h9<?1<7*=418215=i::l1=454o077>5<#:=:1=8>4n31e>4g<3f;>?7>5$363>4373g88j7?m;:m217<72-8?<7?:0:l17c<6k21d=9k50;&105<6=91e>>h51e98k424290/>9>51428j75a28o07b?<8;29 72728?;7c<<f;3e?>o3<3:1(?:?:518j75a2910e9<50;&105<3;2d9?k4>;:k75?6=,;>;69=4n31e>7=<a=:1<7*=41877>h5;o0876g;d;29 7272=90b?=i:598m1e=83.98=4;3:l17c<232c?n7>5$363>15<f;9m6;54i5c94?"5<90??6`=3g84?>o313:1(?:?:518j75a2110e9650;&105<3;2d9?k46;:k73?6=,;>;69=4n31e>d=<a=<1<7*=41877>h5;o0i76g;5;29 7272=90b?=i:b98m6`=83.98=4;3:l17c<c32c==7>5$363>36<f;9m6=54i4d94?"5<90=<6`=3g82?>o2m3:1(?:?:728j75a2;10e8j50;&105<182d9?k4<;:k5e?6=,;>;6;>4n31e>1=<a?31<7*=41854>h5;o0>76g98;29 7272?:0b?=i:798m31=83.98=490:l17c<032c=:7>5$363>36<f;9m6554i7794?"5<90=<6`=3g8:?>o1<3:1(?:?:728j75a2h10e;=50;&105<182d9?k4m;:k56?6=,;>;6;>4n31e>f=<a<i1<7*=41854>h5;o0o76g>0g83>!4383;;i6`=3g83?>o68m0;6)<;0;33a>h5;o0:76g>0c83>!4383;;i6`=3g81?>o68h0;6)<;0;33a>h5;o0876g>0883>!4383;;i6`=3g87?>o6810;6)<;0;33a>h5;o0>76g>0683>!4383;;i6`=3g85?>o68?0;6)<;0;33a>h5;o0<76g>0483>!4383;;i6`=3g8;?>o68=0;6)<;0;33a>h5;o0276g>0283>!4383;;i6`=3g8b?>o68;0;6)<;0;33a>h5;o0i76g>0183>!4383;;i6`=3g8`?>oan3:1(?:?:02f?k44n3n07dhj:18'616=99o0b?=i:d98mcb=83.98=4>0d9m66`=n21bjn4?:%074?77m2d9?k4>0:9jbg<72-8?<7??e:l17c<6921bjl4?:%074?77m2d9?k4>2:9jb<<72-8?<7??e:l17c<6;21bj54?:%074?77m2d9?k4>4:9jb2<72-8?<7??e:l17c<6=21b=<850;&105<68l1e>>h51798m472290/>9>511g8j75a28=07d?>4;29 72728:n7c<<f;3;?>o69:0;6)<;0;33a>h5;o0:565f10094?"5<90:<h5a22d95d=<a8;:6=4+252955c<f;9m6<l4;h324?6=,;>;6<>j;o00b?7d32c:<n4?:%074?77m2d9?k4>d:9j557=83.98=4>0d9m66`=9l10ek850;&105<68l1e>>h51g98k4g5290/>9>51`38j75a2910c<o?:18'616=9h;0b?=i:098k4?a290/>9>51`38j75a2;10c<7j:18'616=9h;0b?=i:298m453290/>9>51218j75a2910e<==:18'616=9:90b?=i:098m456290/>9>51218j75a2;10e<=?:18'616=9:90b?=i:298k4gf290/>9>51`;8j75a2910c<o7:18'616=9h30b?=i:098k4g0290/>9>51`;8j75a2;10c<o9:18'616=9h30b?=i:298k4>3290/>9>51918j75a2910c<6=:18'616=9190b?=i:098k4>7290/>9>51918j75a2;10c<9i:18'616=9190b?=i:298k41b290/>9>51918j75a2=10c<9k:18'616=9190b?=i:498k41d290/>9>51918j75a2?10c<9m:18'616=9190b?=i:698k41f290/>9>51918j75a2110c<96:18'616=9190b?=i:898k41?290/>9>51918j75a2h10c<98:18'616=9190b?=i:c98k412290/>9>51918j75a2j10c<9;:18'616=9190b?=i:e98k414290/>9>51918j75a2l10c<9=:18'616=9190b?=i:g98k416290/>9>51918j75a28:07b?80;29 72728287c<<f;32?>i6>o0;6)<;0;3;7>h5;o0:>65`17g94?"5<90:4>5a22d956=<g8<o6=4+25295=5<f;9m6<:4;n35g?6=,;>;6<6<;o00b?7232e:4o4?:%074?7?;2d9?k4>6:9l5=g=83.98=4>829m66`=9>10c<66:18'616=9190b?=i:0:8?j7?03:1(?:?:0:0?k44n3;276a>8683>!4383;3?6`=3g82e>=h91<1<7*=4182<6=i::l1=o54o0:6>5<#:=:1=5=4n31e>4e<3f;3=7>5$363>4>43g88j7?k;:m233<72-8?<7?73:l17c<6m21d=;l50;&105<60:1e>>h51g98ma>e290/>9>5d9c8j75a2910ei66:18'616=l1k0b?=i:098ma>?290/>9>5d9c8j75a2;10ei68:18'616=l1k0b?=i:298mab=83.98=4kc:l17c<732con7>5$363>ae<f;9m6<54ie;94?"5<90oo6`=3g81?>oc03:1(?:?:ea8j75a2:10ei950;&105<ck2d9?k4;;:kg2?6=,;>;6im4n31e>0=<am?1<7*=418gg>h5;o0=76gk4;29 7272mi0b?=i:698ma5=83.98=4kc:l17c<?32co>7>5$363>ae<f;9m6454ie394?"5<90oo6`=3g8b?>oc83:1(?:?:ea8j75a2k10enk50;&105<ck2d9?k4l;:k``?6=,;>;6im4n31e>a=<aji1<7*=418gg>h5;o0n76glb;29 7272mi0b?=i:g98mfg=83.98=4kc:l17c<6821bo44?:%074?bd3g88j7?>;:k`<?6=,;>;6im4n31e>44<3`i<6=4+2529`f=i::l1=>54ib494?"5<90oo6`=3g820>=nk<0;6)<;0;f`?k44n3;>76gj4;29 7272mi0b?=i:048?lc4290/>9>5db9m66`=9>10eh<50;&105<ck2d9?k4>8:9ja4<72-8?<7jl;o00b?7>32cn<7>5$363>ae<f;9m6<o4;hfe>5<#:=:1hn5a22d95g=<amo1<7*=418gg>h5;o0:o65fd`83>!4383nh7c<<f;3g?>odn3:1(?:?:ea8j75a28o07dm;:18'616=lj1e>>h51g98yg2fm3:1nn4?:1y'6`3=:=20Di7;;If4f>\0i3ip=;4>7;0a>7`=:j08<7<k:34960<5?38n6?:5}%00f?bbm2dh=7?=;oa1>44<f8<j6=5a18f94>"6j?0:no5+1c595gd<,8n36;5+1e;92>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g2>3=#9l81:6*>e285?!7b<3<0(<k::79'5`0=>2.:i:49;%3f<?0<,8o26;5+1dc92>"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(<h::79'5c0=>2.:j:49;%3e<?0<,8l26;5+1gc92>"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03<?0<,;:26;5+21c92>"58k0=7)<?c;48 76c2?1/>=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02<?0<,;;26;5+20c92>"59k0=7)<>c;48 77c2?1/><k56:&15c<13-89<784$302>0=#:;8196*=22817<=#:<;1>;<4$371>2b<,;?86:j4$374>a1b3-8>47<:a:&11c<23-8=<7;4$e;3>a>a3-n2=7j7f:k10g<722c3;7>5;h3a0?6=3`8?j7>5;h:g>5<<a;>h6=44i36f>5<<a8h96=44i00`>5<#:=:1=?l4n31e>5=<a88j6=4+252957d<f;9m6<54i00:>5<#:=:1=?l4n31e>7=<a8836=4+252957d<f;9m6>54ie:0>5<#:=:1h5<4n31e>5=<am2:6=4+2529`=4<f;9m6<54ie:3>5<#:=:1h5<4n31e>7=<am=m6=4+2529`=4<f;9m6>54o072>5<#:=:1=8>4n31e>5=<g8>m6=4+2529506<f;9m6<54o06g>5<#:=:1=8>4n31e>7=<g8>h6=4+2529506<f;9m6>54o06a>5<#:=:1=8>4n31e>1=<g8>j6=4+2529506<f;9m6854o06:>5<#:=:1=8>4n31e>3=<g8>36=4+2529506<f;9m6:54o064>5<#:=:1=8>4n31e>==<g8>=6=4+2529506<f;9m6454o066>5<#:=:1=8>4n31e>d=<g8>?6=4+2529506<f;9m6o54o061>5<#:=:1=8>4n31e>f=<g8>:6=4+2529506<f;9m6i54o063>5<#:=:1=8>4n31e>`=<g89m6=4+2529506<f;9m6k54o01f>5<#:=:1=8>4n31e>46<3f;8h7>5$363>4373g88j7?>;:m27f<72-8?<7?:0:l17c<6:21d=>l50;&105<6=91e>>h51298k45f290/>9>51428j75a28>07b?<9;29 72728?;7c<<f;36?>i6=10;6)<;0;364>h5;o0::65`14594?"5<90:9=5a22d952=<g8?=6=4+2529506<f;9m6<64;n361?6=,;>;6<;?;o00b?7>32e:994?:%074?7282d9?k4>a:9l505=83.98=4>519m66`=9k10c<;=:18'616=9<:0b?=i:0a8?j73m3:1(?:?:073?k44n3;o76a>4283>!4383;><6`=3g82a>=h9:21<7*=418215=i::l1=k54i5694?"5<90??6`=3g83?>o3:3:1(?:?:518j75a2810e9?50;&105<3;2d9?k4=;:k74?6=,;>;69=4n31e>6=<a=n1<7*=41877>h5;o0?76g;c;29 7272=90b?=i:498m1d=83.98=4;3:l17c<132c?m7>5$363>15<f;9m6:54i5;94?"5<90??6`=3g8;?>o303:1(?:?:518j75a2010e9950;&105<3;2d9?k4n;:k72?6=,;>;69=4n31e>g=<a=?1<7*=41877>h5;o0h76g<f;29 7272=90b?=i:e98m37=83.98=490:l17c<732c>j7>5$363>36<f;9m6<54i4g94?"5<90=<6`=3g81?>o2l3:1(?:?:728j75a2:10e;o50;&105<182d9?k4;;:k5=?6=,;>;6;>4n31e>0=<a?21<7*=41854>h5;o0=76g97;29 7272?:0b?=i:698m30=83.98=490:l17c<?32c=97>5$363>36<f;9m6454i7694?"5<90=<6`=3g8b?>o1;3:1(?:?:728j75a2k10e;<50;&105<182d9?k4l;:k6g?6=,;>;6;>4n31e>a=<a8:m6=4+252955c<f;9m6=54i02g>5<#:=:1==k4n31e>4=<a8:i6=4+252955c<f;9m6?54i02b>5<#:=:1==k4n31e>6=<a8:26=4+252955c<f;9m6954i02;>5<#:=:1==k4n31e>0=<a8:<6=4+252955c<f;9m6;54i025>5<#:=:1==k4n31e>2=<a8:>6=4+252955c<f;9m6554i027>5<#:=:1==k4n31e><=<a8:86=4+252955c<f;9m6l54i021>5<#:=:1==k4n31e>g=<a8:;6=4+252955c<f;9m6n54igd94?"5<90:<h5a22d9`>=nnl0;6)<;0;33a>h5;o0n76gid;29 72728:n7c<<f;d8?l`d290/>9>511g8j75a28:07dhm:18'616=99o0b?=i:038?l`f290/>9>511g8j75a28807dh6:18'616=99o0b?=i:018?l`?290/>9>511g8j75a28>07dh8:18'616=99o0b?=i:078?l76>3:1(?:?:02f?k44n3;=76g>1483>!4383;;i6`=3g823>=n98>1<7*=41824`=i::l1=554i030>5<#:=:1==k4n31e>4?<3`;:>7>5$363>46b3g88j7?n;:k254<72-8?<7??e:l17c<6j21b=<>50;&105<68l1e>>h51b98m46d290/>9>511g8j75a28n07d??1;29 72728:n7c<<f;3f?>oa>3:1(?:?:02f?k44n3;m76a>a383>!4383;j=6`=3g83?>i6i90;6)<;0;3b5>h5;o0:76a>9g83>!4383;j=6`=3g81?>i61l0;6)<;0;3b5>h5;o0876g>3583>!4383;8?6`=3g83?>o6;;0;6)<;0;307>h5;o0:76g>3083>!4383;8?6`=3g81?>o6;90;6)<;0;307>h5;o0876a>a`83>!4383;j56`=3g83?>i6i10;6)<;0;3b=>h5;o0:76a>a683>!4383;j56`=3g81?>i6i?0;6)<;0;3b=>h5;o0876a>8583>!4383;3?6`=3g83?>i60;0;6)<;0;3;7>h5;o0:76a>8183>!4383;3?6`=3g81?>i6?o0;6)<;0;3;7>h5;o0876a>7d83>!4383;3?6`=3g87?>i6?m0;6)<;0;3;7>h5;o0>76a>7b83>!4383;3?6`=3g85?>i6?k0;6)<;0;3;7>h5;o0<76a>7`83>!4383;3?6`=3g8;?>i6?00;6)<;0;3;7>h5;o0276a>7983>!4383;3?6`=3g8b?>i6?>0;6)<;0;3;7>h5;o0i76a>7483>!4383;3?6`=3g8`?>i6?=0;6)<;0;3;7>h5;o0o76a>7283>!4383;3?6`=3g8f?>i6?;0;6)<;0;3;7>h5;o0m76a>7083>!4383;3?6`=3g824>=h9>:1<7*=4182<6=i::l1=<54o04e>5<#:=:1=5=4n31e>44<3f;=i7>5$363>4>43g88j7?<;:m22a<72-8?<7?73:l17c<6<21d=;m50;&105<60:1e>>h51498k4>e290/>9>51918j75a28<07b?7a;29 72728287c<<f;34?>i6000;6)<;0;3;7>h5;o0:465`19:94?"5<90:4>5a22d95<=<g82<6=4+25295=5<f;9m6<o4;n3;2?6=,;>;6<6<;o00b?7e32e:484?:%074?7?;2d9?k4>c:9l5=7=83.98=4>829m66`=9m10c<99:18'616=9190b?=i:0g8?j71j3:1(?:?:0:0?k44n3;m76gk8c83>!4383n3m6`=3g83?>oc000;6)<;0;f;e>h5;o0:76gk8983>!4383n3m6`=3g81?>oc0>0;6)<;0;f;e>h5;o0876gkd;29 7272mi0b?=i:198mad=83.98=4kc:l17c<632co57>5$363>ae<f;9m6?54ie:94?"5<90oo6`=3g80?>oc?3:1(?:?:ea8j75a2=10ei850;&105<ck2d9?k4:;:kg1?6=,;>;6im4n31e>3=<am>1<7*=418gg>h5;o0<76gk3;29 7272mi0b?=i:998ma4=83.98=4kc:l17c<>32co=7>5$363>ae<f;9m6l54ie294?"5<90oo6`=3g8a?>odm3:1(?:?:ea8j75a2j10enj50;&105<ck2d9?k4k;:k`g?6=,;>;6im4n31e>`=<ajh1<7*=418gg>h5;o0m76gla;29 7272mi0b?=i:028?le>290/>9>5db9m66`=9810en650;&105<ck2d9?k4>2:9jg2<72-8?<7jl;o00b?7432ch:7>5$363>ae<f;9m6<:4;ha6>5<#:=:1hn5a22d950=<al>1<7*=418gg>h5;o0::65fe283>!4383nh7c<<f;34?>ob:3:1(?:?:ea8j75a28207dk>:18'616=lj1e>>h51898m`6=83.98=4kc:l17c<6i21bhk4?:%074?bd3g88j7?m;:kga?6=,;>;6im4n31e>4e<3`nj6=4+2529`f=i::l1=i54ibd94?"5<90oo6`=3g82a>=nk=0;6)<;0;f`?k44n3;m76sm4c394?dd290;w)<j5;07<>Nc1=1Ch:l4Z6c9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>>l5ddg8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>db85?!7cl3<0(<jj:79'5a`=>2.:i<49;%3f6?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(<ki:79'5c6=>2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(<hi:79'656=>2.9<<49;%036?0<,;:86;5+21692>"58<0=7)<?6;48 7602?1/>=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><4:;%016?3<,;886?=6;%065?41:2.99?48d:&116<0l2.99:4k7d9'60>=:<k0(?;i:49'636==2.o5=4k8g9'`<7=l1l0e?:m:188m=1=831b=o:50;9j61`=831b4i4?::k10f<722c98h4?::k2f7<722c:>n4?:%074?75j2d9?k4?;:k26d<72-8?<7?=b:l17c<632c:>44?:%074?75j2d9?k4=;:k26=<72-8?<7?=b:l17c<432co4>4?:%074?b?:2d9?k4?;:kg<4<72-8?<7j72:l17c<632co4=4?:%074?b?:2d9?k4=;:kg3c<72-8?<7j72:l17c<432e:9<4?:%074?7282d9?k4?;:m20c<72-8?<7?:0:l17c<632e:8i4?:%074?7282d9?k4=;:m20f<72-8?<7?:0:l17c<432e:8o4?:%074?7282d9?k4;;:m20d<72-8?<7?:0:l17c<232e:844?:%074?7282d9?k49;:m20=<72-8?<7?:0:l17c<032e:8:4?:%074?7282d9?k47;:m203<72-8?<7?:0:l17c<>32e:884?:%074?7282d9?k4n;:m201<72-8?<7?:0:l17c<e32e:8?4?:%074?7282d9?k4l;:m204<72-8?<7?:0:l17c<c32e:8=4?:%074?7282d9?k4j;:m27c<72-8?<7?:0:l17c<a32e:?h4?:%074?7282d9?k4>0:9l56b=83.98=4>519m66`=9810c<=l:18'616=9<:0b?=i:008?j74j3:1(?:?:073?k44n3;876a>3`83>!4383;><6`=3g820>=h9:31<7*=418215=i::l1=854o07;>5<#:=:1=8>4n31e>40<3f;>;7>5$363>4373g88j7?8;:m213<72-8?<7?:0:l17c<6021d=8;50;&105<6=91e>>h51898k433290/>9>51428j75a28k07b?:3;29 72728?;7c<<f;3a?>i6=;0;6)<;0;364>h5;o0:o65`15g94?"5<90:9=5a22d95a=<g8>86=4+2529506<f;9m6<k4;n30<?6=,;>;6<;?;o00b?7a32c?87>5$363>15<f;9m6=54i5094?"5<90??6`=3g82?>o393:1(?:?:518j75a2;10e9>50;&105<3;2d9?k4<;:k7`?6=,;>;69=4n31e>1=<a=i1<7*=41877>h5;o0>76g;b;29 7272=90b?=i:798m1g=83.98=4;3:l17c<032c?57>5$363>15<f;9m6554i5:94?"5<90??6`=3g8:?>o3?3:1(?:?:518j75a2h10e9850;&105<3;2d9?k4m;:k71?6=,;>;69=4n31e>f=<a:l1<7*=41877>h5;o0o76g91;29 7272?:0b?=i:198m0`=83.98=490:l17c<632c>i7>5$363>36<f;9m6?54i4f94?"5<90=<6`=3g80?>o1i3:1(?:?:728j75a2=10e;750;&105<182d9?k4:;:k5<?6=,;>;6;>4n31e>3=<a?=1<7*=41854>h5;o0<76g96;29 7272?:0b?=i:998m33=83.98=490:l17c<>32c=87>5$363>36<f;9m6l54i7194?"5<90=<6`=3g8a?>o1:3:1(?:?:728j75a2j10e8m50;&105<182d9?k4k;:k24c<72-8?<7??e:l17c<732c:<i4?:%074?77m2d9?k4>;:k24g<72-8?<7??e:l17c<532c:<l4?:%074?77m2d9?k4<;:k24<<72-8?<7??e:l17c<332c:<54?:%074?77m2d9?k4:;:k242<72-8?<7??e:l17c<132c:<;4?:%074?77m2d9?k48;:k240<72-8?<7??e:l17c<?32c:<94?:%074?77m2d9?k46;:k246<72-8?<7??e:l17c<f32c:<?4?:%074?77m2d9?k4m;:k245<72-8?<7??e:l17c<d32cmj7>5$363>46b3g88j7j4;hdf>5<#:=:1==k4n31e>`=<aon1<7*=41824`=i::l1j65ffb83>!4383;;i6`=3g824>=nnk0;6)<;0;33a>h5;o0:=65ff`83>!4383;;i6`=3g826>=nn00;6)<;0;33a>h5;o0:?65ff983>!4383;;i6`=3g820>=nn>0;6)<;0;33a>h5;o0:965f10494?"5<90:<h5a22d953=<a8;>6=4+252955c<f;9m6<94;h320?6=,;>;6<>j;o00b?7?32c:=>4?:%074?77m2d9?k4>9:9j544=83.98=4>0d9m66`=9h10e<?>:18'616=99o0b?=i:0`8?l7683:1(?:?:02f?k44n3;h76g>0b83>!4383;;i6`=3g82`>=n99;1<7*=41824`=i::l1=h54ig494?"5<90:<h5a22d95c=<g8k96=4+25295d7<f;9m6=54o0c3>5<#:=:1=l?4n31e>4=<g83m6=4+25295d7<f;9m6?54o0;f>5<#:=:1=l?4n31e>6=<a89?6=4+2529565<f;9m6=54i011>5<#:=:1=>=4n31e>4=<a89:6=4+2529565<f;9m6?54i013>5<#:=:1=>=4n31e>6=<g8kj6=4+25295d?<f;9m6=54o0c;>5<#:=:1=l74n31e>4=<g8k<6=4+25295d?<f;9m6?54o0c5>5<#:=:1=l74n31e>6=<g82?6=4+25295=5<f;9m6=54o0:1>5<#:=:1=5=4n31e>4=<g82;6=4+25295=5<f;9m6?54o05e>5<#:=:1=5=4n31e>6=<g8=n6=4+25295=5<f;9m6954o05g>5<#:=:1=5=4n31e>0=<g8=h6=4+25295=5<f;9m6;54o05a>5<#:=:1=5=4n31e>2=<g8=j6=4+25295=5<f;9m6554o05:>5<#:=:1=5=4n31e><=<g8=36=4+25295=5<f;9m6l54o054>5<#:=:1=5=4n31e>g=<g8=>6=4+25295=5<f;9m6n54o057>5<#:=:1=5=4n31e>a=<g8=86=4+25295=5<f;9m6h54o051>5<#:=:1=5=4n31e>c=<g8=:6=4+25295=5<f;9m6<>4;n344?6=,;>;6<6<;o00b?7632e::k4?:%074?7?;2d9?k4>2:9l53c=83.98=4>829m66`=9:10c<8k:18'616=9190b?=i:068?j71k3:1(?:?:0:0?k44n3;>76a>8c83>!4383;3?6`=3g822>=h91k1<7*=4182<6=i::l1=:54o0::>5<#:=:1=5=4n31e>4><3f;347>5$363>4>43g88j7?6;:m2<2<72-8?<7?73:l17c<6i21d=5850;&105<60:1e>>h51c98k4>2290/>9>51918j75a28i07b?71;29 72728287c<<f;3g?>i6??0;6)<;0;3;7>h5;o0:i65`17`94?"5<90:4>5a22d95c=<am2i6=4+2529`=g<f;9m6=54ie::>5<#:=:1h5o4n31e>4=<am236=4+2529`=g<f;9m6?54ie:4>5<#:=:1h5o4n31e>6=<amn1<7*=418gg>h5;o0;76gkb;29 7272mi0b?=i:098ma?=83.98=4kc:l17c<532co47>5$363>ae<f;9m6>54ie594?"5<90oo6`=3g87?>oc>3:1(?:?:ea8j75a2<10ei;50;&105<ck2d9?k49;:kg0?6=,;>;6im4n31e>2=<am91<7*=418gg>h5;o0376gk2;29 7272mi0b?=i:898ma7=83.98=4kc:l17c<f32co<7>5$363>ae<f;9m6o54ibg94?"5<90oo6`=3g8`?>odl3:1(?:?:ea8j75a2m10enm50;&105<ck2d9?k4j;:k`f?6=,;>;6im4n31e>c=<ajk1<7*=418gg>h5;o0:<65fc883>!4383nh7c<<f;32?>od03:1(?:?:ea8j75a28807dm8:18'616=lj1e>>h51298mf0=83.98=4kc:l17c<6<21bo84?:%074?bd3g88j7?:;:kf0?6=,;>;6im4n31e>40<3`o86=4+2529`f=i::l1=:54id094?"5<90oo6`=3g82<>=nm80;6)<;0;f`?k44n3;276gj0;29 7272mi0b?=i:0c8?lba290/>9>5db9m66`=9k10eik50;&105<ck2d9?k4>c:9j`d<72-8?<7jl;o00b?7c32chj7>5$363>ae<f;9m6<k4;ha7>5<#:=:1hn5a22d95c=<uk>i87>5bb83>5}#:l?1>964He;7?Mb0j2P<m7mt17823?4e2;l1>n4<0;0g>70=:<09;7<j:369y!44j3nni6`l1;31?ke52880b<8n:19m5<b=82.:n;4>bc9'5g1=9kh0(<j7:79'5a?=>2.:hl49;%3gf?0<,8nh6;5+1ef92>"6ll0=7)?kf;48 4c62?1/=h<56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(<k6:79'5`g=>2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(<h6:79'5cg=>2.:jo49;%3eg?0<,8lo6;5+1gg92>"6no0=7)<?0;48 7662?1/>=<56:&146<13-8;8784$326>3=#:9<1:6*=0685?!4703<0(?>6:79'65g=>2.9<o49;%03g?0<,;:o6;5+21g92>"58o0=7)<>0;48 7762?1/><<56:&156<13-8:8784$336>3=#:8<1:6*=1685?!4603<0(??6:79'64g=>2.9=o49;%02g?0<,;;o6;5+20g92>"59o0=7)<=0;48 7462<1/>?<55:&166<5;01/>8?52708 7352>n0(?;<:6f8 7302m=n7)<:8;06e>"5=o0>7)<90;78 a?72m2m7)j61;f;b>o5<k0;66g77;29?l7e<3:17d<;f;29?l>c2900e?:l:188m72b2900e<l=:188m44d290/>9>513`8j75a2910e<<n:18'616=9;h0b?=i:098m44>290/>9>513`8j75a2;10e<<7:18'616=9;h0b?=i:298ma>4290/>9>5d908j75a2910ei6>:18'616=l180b?=i:098ma>7290/>9>5d908j75a2;10ei9i:18'616=l180b?=i:298k436290/>9>51428j75a2910c<:i:18'616=9<:0b?=i:098k42c290/>9>51428j75a2;10c<:l:18'616=9<:0b?=i:298k42e290/>9>51428j75a2=10c<:n:18'616=9<:0b?=i:498k42>290/>9>51428j75a2?10c<:7:18'616=9<:0b?=i:698k420290/>9>51428j75a2110c<:9:18'616=9<:0b?=i:898k422290/>9>51428j75a2h10c<:;:18'616=9<:0b?=i:c98k425290/>9>51428j75a2j10c<:>:18'616=9<:0b?=i:e98k427290/>9>51428j75a2l10c<=i:18'616=9<:0b?=i:g98k45b290/>9>51428j75a28:07b?<d;29 72728?;7c<<f;32?>i6;j0;6)<;0;364>h5;o0:>65`12`94?"5<90:9=5a22d956=<g89j6=4+2529506<f;9m6<:4;n30=?6=,;>;6<;?;o00b?7232e:954?:%074?7282d9?k4>6:9l501=83.98=4>519m66`=9>10c<;9:18'616=9<:0b?=i:0:8?j72=3:1(?:?:073?k44n3;276a>5583>!4383;><6`=3g82e>=h9<91<7*=418215=i::l1=o54o071>5<#:=:1=8>4n31e>4e<3f;?i7>5$363>4373g88j7?k;:m206<72-8?<7?:0:l17c<6m21d=>650;&105<6=91e>>h51g98m12=83.98=4;3:l17c<732c?>7>5$363>15<f;9m6<54i5394?"5<90??6`=3g81?>o383:1(?:?:518j75a2:10e9j50;&105<3;2d9?k4;;:k7g?6=,;>;69=4n31e>0=<a=h1<7*=41877>h5;o0=76g;a;29 7272=90b?=i:698m1?=83.98=4;3:l17c<?32c?47>5$363>15<f;9m6454i5594?"5<90??6`=3g8b?>o3>3:1(?:?:518j75a2k10e9;50;&105<3;2d9?k4l;:k0b?6=,;>;69=4n31e>a=<a?;1<7*=41854>h5;o0;76g:f;29 7272?:0b?=i:098m0c=83.98=490:l17c<532c>h7>5$363>36<f;9m6>54i7c94?"5<90=<6`=3g87?>o113:1(?:?:728j75a2<10e;650;&105<182d9?k49;:k53?6=,;>;6;>4n31e>2=<a?<1<7*=41854>h5;o0376g95;29 7272?:0b?=i:898m32=83.98=490:l17c<f32c=?7>5$363>36<f;9m6o54i7094?"5<90=<6`=3g8`?>o2k3:1(?:?:728j75a2m10e<>i:18'616=99o0b?=i:198m46c290/>9>511g8j75a2810e<>m:18'616=99o0b?=i:398m46f290/>9>511g8j75a2:10e<>6:18'616=99o0b?=i:598m46?290/>9>511g8j75a2<10e<>8:18'616=99o0b?=i:798m461290/>9>511g8j75a2>10e<>::18'616=99o0b?=i:998m463290/>9>511g8j75a2010e<><:18'616=99o0b?=i:`98m465290/>9>511g8j75a2k10e<>?:18'616=99o0b?=i:b98mc`=83.98=4>0d9m66`=l21bjh4?:%074?77m2d9?k4j;:ke`?6=,;>;6<>j;o00b?`<3`lh6=4+252955c<f;9m6<>4;hda>5<#:=:1==k4n31e>47<3`lj6=4+252955c<f;9m6<<4;hd:>5<#:=:1==k4n31e>45<3`l36=4+252955c<f;9m6<:4;hd4>5<#:=:1==k4n31e>43<3`;::7>5$363>46b3g88j7?9;:k250<72-8?<7??e:l17c<6?21b=<:50;&105<68l1e>>h51998m474290/>9>511g8j75a28307d?>2;29 72728:n7c<<f;3b?>o6980;6)<;0;33a>h5;o0:n65f10294?"5<90:<h5a22d95f=<a8:h6=4+252955c<f;9m6<j4;h335?6=,;>;6<>j;o00b?7b32cm:7>5$363>46b3g88j7?i;:m2e7<72-8?<7?n1:l17c<732e:m=4?:%074?7f92d9?k4>;:m2=c<72-8?<7?n1:l17c<532e:5h4?:%074?7f92d9?k4<;:k271<72-8?<7?<3:l17c<732c:??4?:%074?74;2d9?k4>;:k274<72-8?<7?<3:l17c<532c:?=4?:%074?74;2d9?k4<;:m2ed<72-8?<7?n9:l17c<732e:m54?:%074?7f12d9?k4>;:m2e2<72-8?<7?n9:l17c<532e:m;4?:%074?7f12d9?k4<;:m2<1<72-8?<7?73:l17c<732e:4?4?:%074?7?;2d9?k4>;:m2<5<72-8?<7?73:l17c<532e:;k4?:%074?7?;2d9?k4<;:m23`<72-8?<7?73:l17c<332e:;i4?:%074?7?;2d9?k4:;:m23f<72-8?<7?73:l17c<132e:;o4?:%074?7?;2d9?k48;:m23d<72-8?<7?73:l17c<?32e:;44?:%074?7?;2d9?k46;:m23=<72-8?<7?73:l17c<f32e:;:4?:%074?7?;2d9?k4m;:m230<72-8?<7?73:l17c<d32e:;94?:%074?7?;2d9?k4k;:m236<72-8?<7?73:l17c<b32e:;?4?:%074?7?;2d9?k4i;:m234<72-8?<7?73:l17c<6821d=:>50;&105<60:1e>>h51098k40a290/>9>51918j75a28807b?9e;29 72728287c<<f;30?>i6>m0;6)<;0;3;7>h5;o0:865`17a94?"5<90:4>5a22d950=<g82i6=4+25295=5<f;9m6<84;n3;e?6=,;>;6<6<;o00b?7032e:444?:%074?7?;2d9?k4>8:9l5=>=83.98=4>829m66`=9010c<68:18'616=9190b?=i:0c8?j7?>3:1(?:?:0:0?k44n3;i76a>8483>!4383;3?6`=3g82g>=h91;1<7*=4182<6=i::l1=i54o055>5<#:=:1=5=4n31e>4c<3f;=n7>5$363>4>43g88j7?i;:kg<g<72-8?<7j7a:l17c<732co444?:%074?b?i2d9?k4>;:kg<=<72-8?<7j7a:l17c<532co4:4?:%074?b?i2d9?k4<;:kg`?6=,;>;6im4n31e>5=<amh1<7*=418gg>h5;o0:76gk9;29 7272mi0b?=i:398ma>=83.98=4kc:l17c<432co;7>5$363>ae<f;9m6954ie494?"5<90oo6`=3g86?>oc=3:1(?:?:ea8j75a2?10ei:50;&105<ck2d9?k48;:kg7?6=,;>;6im4n31e>==<am81<7*=418gg>h5;o0276gk1;29 7272mi0b?=i:`98ma6=83.98=4kc:l17c<e32chi7>5$363>ae<f;9m6n54ibf94?"5<90oo6`=3g8g?>odk3:1(?:?:ea8j75a2l10enl50;&105<ck2d9?k4i;:k`e?6=,;>;6im4n31e>46<3`i26=4+2529`f=i::l1=<54ib:94?"5<90oo6`=3g826>=nk>0;6)<;0;f`?k44n3;876gl6;29 7272mi0b?=i:068?le2290/>9>5db9m66`=9<10eh:50;&105<ck2d9?k4>6:9ja6<72-8?<7jl;o00b?7032cn>7>5$363>ae<f;9m6<64;hg2>5<#:=:1hn5a22d95<=<al:1<7*=418gg>h5;o0:m65fdg83>!4383nh7c<<f;3a?>ocm3:1(?:?:ea8j75a28i07djn:18'616=lj1e>>h51e98mf`=83.98=4kc:l17c<6m21bo94?:%074?bd3g88j7?i;:a0g1=83hh6=4?{%0f1?4302Bo595Gd6`8^2g=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+22`9``c<fj;1=?5ac3826>h6>h0;7c?6d;28 4d128hi7)?m7;3af>"6l10=7)?k9;48 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e085?!7b:3<0(<k<:79'5`2=>2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(<h<:79'5c2=>2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)<?a;48 76e2?1/>=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/><m56:&15a<13-8:i784$33e>3=#:;:1:6*=2086?!45:3?0(?<<:31:?!42938=>6*=5384`>"5=:0<h6*=568g3`=#:<21>8o4$37e>0=#:?:196*k918g<c=#l0;1h5h4i36a>5<<a1=1<75f1c694?=n:=l1<75f8e83>>o5<j0;66g=4d83>>o6j;0;66g>2b83>!4383;9n6`=3g83?>o6:h0;6)<;0;31f>h5;o0:76g>2883>!4383;9n6`=3g81?>o6:10;6)<;0;31f>h5;o0876gk8283>!4383n3>6`=3g83?>oc080;6)<;0;f;6>h5;o0:76gk8183>!4383n3>6`=3g81?>oc?o0;6)<;0;f;6>h5;o0876a>5083>!4383;><6`=3g83?>i6<o0;6)<;0;364>h5;o0:76a>4e83>!4383;><6`=3g81?>i6<j0;6)<;0;364>h5;o0876a>4c83>!4383;><6`=3g87?>i6<h0;6)<;0;364>h5;o0>76a>4883>!4383;><6`=3g85?>i6<10;6)<;0;364>h5;o0<76a>4683>!4383;><6`=3g8;?>i6<?0;6)<;0;364>h5;o0276a>4483>!4383;><6`=3g8b?>i6<=0;6)<;0;364>h5;o0i76a>4383>!4383;><6`=3g8`?>i6<80;6)<;0;364>h5;o0o76a>4183>!4383;><6`=3g8f?>i6;o0;6)<;0;364>h5;o0m76a>3d83>!4383;><6`=3g824>=h9:n1<7*=418215=i::l1=<54o01`>5<#:=:1=8>4n31e>44<3f;8n7>5$363>4373g88j7?<;:m27d<72-8?<7?:0:l17c<6<21d=>750;&105<6=91e>>h51498k43?290/>9>51428j75a28<07b?:7;29 72728?;7c<<f;34?>i6=?0;6)<;0;364>h5;o0:465`14794?"5<90:9=5a22d95<=<g8??6=4+2529506<f;9m6<o4;n367?6=,;>;6<;?;o00b?7e32e:9?4?:%074?7282d9?k4>c:9l51c=83.98=4>519m66`=9m10c<:<:18'616=9<:0b?=i:0g8?j7403:1(?:?:073?k44n3;m76g;4;29 7272=90b?=i:198m14=83.98=4;3:l17c<632c?=7>5$363>15<f;9m6?54i5294?"5<90??6`=3g80?>o3l3:1(?:?:518j75a2=10e9m50;&105<3;2d9?k4:;:k7f?6=,;>;69=4n31e>3=<a=k1<7*=41877>h5;o0<76g;9;29 7272=90b?=i:998m1>=83.98=4;3:l17c<>32c?;7>5$363>15<f;9m6l54i5494?"5<90??6`=3g8a?>o3=3:1(?:?:518j75a2j10e>h50;&105<3;2d9?k4k;:k55?6=,;>;6;>4n31e>5=<a<l1<7*=41854>h5;o0:76g:e;29 7272?:0b?=i:398m0b=83.98=490:l17c<432c=m7>5$363>36<f;9m6954i7;94?"5<90=<6`=3g86?>o103:1(?:?:728j75a2?10e;950;&105<182d9?k48;:k52?6=,;>;6;>4n31e>==<a??1<7*=41854>h5;o0276g94;29 7272?:0b?=i:`98m35=83.98=490:l17c<e32c=>7>5$363>36<f;9m6n54i4a94?"5<90=<6`=3g8g?>o68o0;6)<;0;33a>h5;o0;76g>0e83>!4383;;i6`=3g82?>o68k0;6)<;0;33a>h5;o0976g>0`83>!4383;;i6`=3g80?>o6800;6)<;0;33a>h5;o0?76g>0983>!4383;;i6`=3g86?>o68>0;6)<;0;33a>h5;o0=76g>0783>!4383;;i6`=3g84?>o68<0;6)<;0;33a>h5;o0376g>0583>!4383;;i6`=3g8:?>o68:0;6)<;0;33a>h5;o0j76g>0383>!4383;;i6`=3g8a?>o6890;6)<;0;33a>h5;o0h76gif;29 72728:n7c<<f;f8?l`b290/>9>511g8j75a2l10ekj50;&105<68l1e>>h5f:9jbf<72-8?<7??e:l17c<6821bjo4?:%074?77m2d9?k4>1:9jbd<72-8?<7??e:l17c<6:21bj44?:%074?77m2d9?k4>3:9jb=<72-8?<7??e:l17c<6<21bj:4?:%074?77m2d9?k4>5:9j540=83.98=4>0d9m66`=9?10e<?::18'616=99o0b?=i:058?l76<3:1(?:?:02f?k44n3;376g>1283>!4383;;i6`=3g82=>=n9881<7*=41824`=i::l1=l54i032>5<#:=:1==k4n31e>4d<3`;:<7>5$363>46b3g88j7?l;:k24f<72-8?<7??e:l17c<6l21b==?50;&105<68l1e>>h51d98mc0=83.98=4>0d9m66`=9o10c<o=:18'616=9h;0b?=i:198k4g7290/>9>51`38j75a2810c<7i:18'616=9h;0b?=i:398k4?b290/>9>51`38j75a2:10e<=;:18'616=9:90b?=i:198m455290/>9>51218j75a2810e<=>:18'616=9:90b?=i:398m457290/>9>51218j75a2:10c<on:18'616=9h30b?=i:198k4g?290/>9>51`;8j75a2810c<o8:18'616=9h30b?=i:398k4g1290/>9>51`;8j75a2:10c<6;:18'616=9190b?=i:198k4>5290/>9>51918j75a2810c<6?:18'616=9190b?=i:398k41a290/>9>51918j75a2:10c<9j:18'616=9190b?=i:598k41c290/>9>51918j75a2<10c<9l:18'616=9190b?=i:798k41e290/>9>51918j75a2>10c<9n:18'616=9190b?=i:998k41>290/>9>51918j75a2010c<97:18'616=9190b?=i:`98k410290/>9>51918j75a2k10c<9::18'616=9190b?=i:b98k413290/>9>51918j75a2m10c<9<:18'616=9190b?=i:d98k415290/>9>51918j75a2o10c<9>:18'616=9190b?=i:028?j7083:1(?:?:0:0?k44n3;:76a>6g83>!4383;3?6`=3g826>=h9?o1<7*=4182<6=i::l1=>54o04g>5<#:=:1=5=4n31e>42<3f;=o7>5$363>4>43g88j7?:;:m2<g<72-8?<7?73:l17c<6>21d=5o50;&105<60:1e>>h51698k4>>290/>9>51918j75a28207b?78;29 72728287c<<f;3:?>i60>0;6)<;0;3;7>h5;o0:m65`19494?"5<90:4>5a22d95g=<g82>6=4+25295=5<f;9m6<m4;n3;5?6=,;>;6<6<;o00b?7c32e:;;4?:%074?7?;2d9?k4>e:9l53d=83.98=4>829m66`=9o10ei6m:18'616=l1k0b?=i:198ma>>290/>9>5d9c8j75a2810ei67:18'616=l1k0b?=i:398ma>0290/>9>5d9c8j75a2:10eij50;&105<ck2d9?k4?;:kgf?6=,;>;6im4n31e>4=<am31<7*=418gg>h5;o0976gk8;29 7272mi0b?=i:298ma1=83.98=4kc:l17c<332co:7>5$363>ae<f;9m6854ie794?"5<90oo6`=3g85?>oc<3:1(?:?:ea8j75a2>10ei=50;&105<ck2d9?k47;:kg6?6=,;>;6im4n31e><=<am;1<7*=418gg>h5;o0j76gk0;29 7272mi0b?=i:c98mfc=83.98=4kc:l17c<d32chh7>5$363>ae<f;9m6i54iba94?"5<90oo6`=3g8f?>odj3:1(?:?:ea8j75a2o10eno50;&105<ck2d9?k4>0:9jg<<72-8?<7jl;o00b?7632ch47>5$363>ae<f;9m6<<4;ha4>5<#:=:1hn5a22d956=<aj<1<7*=418gg>h5;o0:865fc483>!4383nh7c<<f;36?>ob<3:1(?:?:ea8j75a28<07dk<:18'616=lj1e>>h51698m`4=83.98=4kc:l17c<6021bi<4?:%074?bd3g88j7?6;:kf4?6=,;>;6im4n31e>4g<3`nm6=4+2529`f=i::l1=o54ieg94?"5<90oo6`=3g82g>=nlh0;6)<;0;f`?k44n3;o76glf;29 7272mi0b?=i:0g8?le3290/>9>5db9m66`=9o10qo:ma;29ff<729q/>h;525:8La?33An<n6T8a;ax53<6?38i6?h52b804?4c2;<1>84=7;0f>72=u-88n7jje:l`5?753gi96<<4n04b>5=i90n1<6*>b782fg=#9k=1=ol4$0f;>3=#9m31:6*>d`85?!7cj3<0(<jl:79'5ab=>2.:hh49;%3gb?0<,8o:6;5+1d092>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(<kk:79'5`c=>2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(<hk:79'5cc=>2.:jk49;%034?0<,;::6;5+21092>"58:0=7)<?4;48 7622?1/>=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9<k49;%024?0<,;;:6;5+20092>"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:685+23091>"5::09?45+2439634<,;?96:j4$370>2b<,;?<6i9j;%06<?42i2.99k4:;%054?3<,m3;6i6i;%f:5?b?n2c98o4?::k;3?6=3`;i87>5;h07b?6=3`2o6=44i36`>5<<a;>n6=44i0`1>5<<a88h6=4+252957d<f;9m6=54i00b>5<#:=:1=?l4n31e>4=<a8826=4+252957d<f;9m6?54i00;>5<#:=:1=?l4n31e>6=<am286=4+2529`=4<f;9m6=54ie:2>5<#:=:1h5<4n31e>4=<am2;6=4+2529`=4<f;9m6?54ie5e>5<#:=:1h5<4n31e>6=<g8?:6=4+2529506<f;9m6=54o06e>5<#:=:1=8>4n31e>4=<g8>o6=4+2529506<f;9m6?54o06`>5<#:=:1=8>4n31e>6=<g8>i6=4+2529506<f;9m6954o06b>5<#:=:1=8>4n31e>0=<g8>26=4+2529506<f;9m6;54o06;>5<#:=:1=8>4n31e>2=<g8><6=4+2529506<f;9m6554o065>5<#:=:1=8>4n31e><=<g8>>6=4+2529506<f;9m6l54o067>5<#:=:1=8>4n31e>g=<g8>96=4+2529506<f;9m6n54o062>5<#:=:1=8>4n31e>a=<g8>;6=4+2529506<f;9m6h54o01e>5<#:=:1=8>4n31e>c=<g89n6=4+2529506<f;9m6<>4;n30`?6=,;>;6<;?;o00b?7632e:?n4?:%074?7282d9?k4>2:9l56d=83.98=4>519m66`=9:10c<=n:18'616=9<:0b?=i:068?j7413:1(?:?:073?k44n3;>76a>5983>!4383;><6`=3g822>=h9<=1<7*=418215=i::l1=:54o075>5<#:=:1=8>4n31e>4><3f;>97>5$363>4373g88j7?6;:m211<72-8?<7?:0:l17c<6i21d=8=50;&105<6=91e>>h51c98k435290/>9>51428j75a28i07b?;e;29 72728?;7c<<f;3g?>i6<:0;6)<;0;364>h5;o0:i65`12:94?"5<90:9=5a22d95c=<a=>1<7*=41877>h5;o0;76g;2;29 7272=90b?=i:098m17=83.98=4;3:l17c<532c?<7>5$363>15<f;9m6>54i5f94?"5<90??6`=3g87?>o3k3:1(?:?:518j75a2<10e9l50;&105<3;2d9?k49;:k7e?6=,;>;69=4n31e>2=<a=31<7*=41877>h5;o0376g;8;29 7272=90b?=i:898m11=83.98=4;3:l17c<f32c?:7>5$363>15<f;9m6o54i5794?"5<90??6`=3g8`?>o4n3:1(?:?:518j75a2m10e;?50;&105<182d9?k4?;:k6b?6=,;>;6;>4n31e>4=<a<o1<7*=41854>h5;o0976g:d;29 7272?:0b?=i:298m3g=83.98=490:l17c<332c=57>5$363>36<f;9m6854i7:94?"5<90=<6`=3g85?>o1?3:1(?:?:728j75a2>10e;850;&105<182d9?k47;:k51?6=,;>;6;>4n31e><=<a?>1<7*=41854>h5;o0j76g93;29 7272?:0b?=i:c98m34=83.98=490:l17c<d32c>o7>5$363>36<f;9m6i54i02e>5<#:=:1==k4n31e>5=<a8:o6=4+252955c<f;9m6<54i02a>5<#:=:1==k4n31e>7=<a8:j6=4+252955c<f;9m6>54i02:>5<#:=:1==k4n31e>1=<a8:36=4+252955c<f;9m6854i024>5<#:=:1==k4n31e>3=<a8:=6=4+252955c<f;9m6:54i026>5<#:=:1==k4n31e>==<a8:?6=4+252955c<f;9m6454i020>5<#:=:1==k4n31e>d=<a8:96=4+252955c<f;9m6o54i023>5<#:=:1==k4n31e>f=<aol1<7*=41824`=i::l1h65ffd83>!4383;;i6`=3g8f?>oal3:1(?:?:02f?k44n3l07dhl:18'616=99o0b?=i:028?l`e290/>9>511g8j75a28;07dhn:18'616=99o0b?=i:008?l`>290/>9>511g8j75a28907dh7:18'616=99o0b?=i:068?l`0290/>9>511g8j75a28?07d?>6;29 72728:n7c<<f;35?>o69<0;6)<;0;33a>h5;o0:;65f10694?"5<90:<h5a22d95==<a8;86=4+252955c<f;9m6<74;h326?6=,;>;6<>j;o00b?7f32c:=<4?:%074?77m2d9?k4>b:9j546=83.98=4>0d9m66`=9j10e<>l:18'616=99o0b?=i:0f8?l7793:1(?:?:02f?k44n3;n76gi6;29 72728:n7c<<f;3e?>i6i;0;6)<;0;3b5>h5;o0;76a>a183>!4383;j=6`=3g82?>i61o0;6)<;0;3b5>h5;o0976a>9d83>!4383;j=6`=3g80?>o6;=0;6)<;0;307>h5;o0;76g>3383>!4383;8?6`=3g82?>o6;80;6)<;0;307>h5;o0976g>3183>!4383;8?6`=3g80?>i6ih0;6)<;0;3b=>h5;o0;76a>a983>!4383;j56`=3g82?>i6i>0;6)<;0;3b=>h5;o0976a>a783>!4383;j56`=3g80?>i60=0;6)<;0;3;7>h5;o0;76a>8383>!4383;3?6`=3g82?>i6090;6)<;0;3;7>h5;o0976a>7g83>!4383;3?6`=3g80?>i6?l0;6)<;0;3;7>h5;o0?76a>7e83>!4383;3?6`=3g86?>i6?j0;6)<;0;3;7>h5;o0=76a>7c83>!4383;3?6`=3g84?>i6?h0;6)<;0;3;7>h5;o0376a>7883>!4383;3?6`=3g8:?>i6?10;6)<;0;3;7>h5;o0j76a>7683>!4383;3?6`=3g8a?>i6?<0;6)<;0;3;7>h5;o0h76a>7583>!4383;3?6`=3g8g?>i6?:0;6)<;0;3;7>h5;o0n76a>7383>!4383;3?6`=3g8e?>i6?80;6)<;0;3;7>h5;o0:<65`16294?"5<90:4>5a22d954=<g8<m6=4+25295=5<f;9m6<<4;n35a?6=,;>;6<6<;o00b?7432e::i4?:%074?7?;2d9?k4>4:9l53e=83.98=4>829m66`=9<10c<6m:18'616=9190b?=i:048?j7?i3:1(?:?:0:0?k44n3;<76a>8883>!4383;3?6`=3g82<>=h9121<7*=4182<6=i::l1=454o0:4>5<#:=:1=5=4n31e>4g<3f;3:7>5$363>4>43g88j7?m;:m2<0<72-8?<7?73:l17c<6k21d=5?50;&105<60:1e>>h51e98k411290/>9>51918j75a28o07b?9b;29 72728287c<<f;3e?>oc0k0;6)<;0;f;e>h5;o0;76gk8883>!4383n3m6`=3g82?>oc010;6)<;0;f;e>h5;o0976gk8683>!4383n3m6`=3g80?>ocl3:1(?:?:ea8j75a2910eil50;&105<ck2d9?k4>;:kg=?6=,;>;6im4n31e>7=<am21<7*=418gg>h5;o0876gk7;29 7272mi0b?=i:598ma0=83.98=4kc:l17c<232co97>5$363>ae<f;9m6;54ie694?"5<90oo6`=3g84?>oc;3:1(?:?:ea8j75a2110ei<50;&105<ck2d9?k46;:kg5?6=,;>;6im4n31e>d=<am:1<7*=418gg>h5;o0i76gle;29 7272mi0b?=i:b98mfb=83.98=4kc:l17c<c32cho7>5$363>ae<f;9m6h54ib`94?"5<90oo6`=3g8e?>odi3:1(?:?:ea8j75a28:07dm6:18'616=lj1e>>h51098mf>=83.98=4kc:l17c<6:21bo:4?:%074?bd3g88j7?<;:k`2?6=,;>;6im4n31e>42<3`i>6=4+2529`f=i::l1=854id694?"5<90oo6`=3g822>=nm:0;6)<;0;f`?k44n3;<76gj2;29 7272mi0b?=i:0:8?lc6290/>9>5db9m66`=9010eh>50;&105<ck2d9?k4>a:9j`c<72-8?<7jl;o00b?7e32coi7>5$363>ae<f;9m6<m4;hfb>5<#:=:1hn5a22d95a=<ajl1<7*=418gg>h5;o0:i65fc583>!4383nh7c<<f;3e?>{e<kn1<7ll:183!4b=38?46Fk959K`2d<R>k1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'66d=llo0bn?5139mg7<6:2d::l4?;o3:`?6<,8h=6<lm;%3a3?7ej2.:h549;%3g=?0<,8nj6;5+1e`92>"6lj0=7)?kd;48 4bb2?1/=ih56:&2a4<13-;n>784$0g0>3=#9l>1:6*>e485?!7b>3<0(<k8:79'5`>=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(<h8:79'5c>=>2.:j449;%3ee?0<,8li6;5+1ga92>"6nm0=7)?ie;48 4`a2?1/>=>56:&144<13-8;>784$320>3=#:9>1:6*=0485?!47>3<0(?>8:79'65>=>2.9<449;%03e?0<,;:i6;5+21a92>"58m0=7)<?e;48 76a2?1/><>56:&154<13-8:>784$330>3=#:8>1:6*=1485?!46>3<0(??8:79'64>=>2.9=449;%02e?0<,;;i6;5+20a92>"59m0=7)<>e;48 77a2?1/>?>56:&164<23-89>7;4$300>75>3-8>=7<92:&117<0l2.99>48d:&112<c?l1/>86524c8 73a2<1/>;>55:&g=5<c0o1/h4?5d9d8m72e2900e5950;9j5g2=831b>9h50;9j<a<722c98n4?::k10`<722c:n?4?::k26f<72-8?<7?=b:l17c<732c:>l4?:%074?75j2d9?k4>;:k26<<72-8?<7?=b:l17c<532c:>54?:%074?75j2d9?k4<;:kg<6<72-8?<7j72:l17c<732co4<4?:%074?b?:2d9?k4>;:kg<5<72-8?<7j72:l17c<532co;k4?:%074?b?:2d9?k4<;:m214<72-8?<7?:0:l17c<732e:8k4?:%074?7282d9?k4>;:m20a<72-8?<7?:0:l17c<532e:8n4?:%074?7282d9?k4<;:m20g<72-8?<7?:0:l17c<332e:8l4?:%074?7282d9?k4:;:m20<<72-8?<7?:0:l17c<132e:854?:%074?7282d9?k48;:m202<72-8?<7?:0:l17c<?32e:8;4?:%074?7282d9?k46;:m200<72-8?<7?:0:l17c<f32e:894?:%074?7282d9?k4m;:m207<72-8?<7?:0:l17c<d32e:8<4?:%074?7282d9?k4k;:m205<72-8?<7?:0:l17c<b32e:?k4?:%074?7282d9?k4i;:m27`<72-8?<7?:0:l17c<6821d=>j50;&105<6=91e>>h51098k45d290/>9>51428j75a28807b?<b;29 72728?;7c<<f;30?>i6;h0;6)<;0;364>h5;o0:865`12;94?"5<90:9=5a22d950=<g8?36=4+2529506<f;9m6<84;n363?6=,;>;6<;?;o00b?7032e:9;4?:%074?7282d9?k4>8:9l503=83.98=4>519m66`=9010c<;;:18'616=9<:0b?=i:0c8?j72;3:1(?:?:073?k44n3;i76a>5383>!4383;><6`=3g82g>=h9=o1<7*=418215=i::l1=i54o060>5<#:=:1=8>4n31e>4c<3f;847>5$363>4373g88j7?i;:k70?6=,;>;69=4n31e>5=<a=81<7*=41877>h5;o0:76g;1;29 7272=90b?=i:398m16=83.98=4;3:l17c<432c?h7>5$363>15<f;9m6954i5a94?"5<90??6`=3g86?>o3j3:1(?:?:518j75a2?10e9o50;&105<3;2d9?k48;:k7=?6=,;>;69=4n31e>==<a=21<7*=41877>h5;o0276g;7;29 7272=90b?=i:`98m10=83.98=4;3:l17c<e32c?97>5$363>15<f;9m6n54i2d94?"5<90??6`=3g8g?>o193:1(?:?:728j75a2910e8h50;&105<182d9?k4>;:k6a?6=,;>;6;>4n31e>7=<a<n1<7*=41854>h5;o0876g9a;29 7272?:0b?=i:598m3?=83.98=490:l17c<232c=47>5$363>36<f;9m6;54i7594?"5<90=<6`=3g84?>o1>3:1(?:?:728j75a2110e;;50;&105<182d9?k46;:k50?6=,;>;6;>4n31e>d=<a?91<7*=41854>h5;o0i76g92;29 7272?:0b?=i:b98m0e=83.98=490:l17c<c32c:<k4?:%074?77m2d9?k4?;:k24a<72-8?<7??e:l17c<632c:<o4?:%074?77m2d9?k4=;:k24d<72-8?<7??e:l17c<432c:<44?:%074?77m2d9?k4;;:k24=<72-8?<7??e:l17c<232c:<:4?:%074?77m2d9?k49;:k243<72-8?<7??e:l17c<032c:<84?:%074?77m2d9?k47;:k241<72-8?<7??e:l17c<>32c:<>4?:%074?77m2d9?k4n;:k247<72-8?<7??e:l17c<e32c:<=4?:%074?77m2d9?k4l;:keb?6=,;>;6<>j;o00b?b<3`ln6=4+252955c<f;9m6h54igf94?"5<90:<h5a22d9b>=nnj0;6)<;0;33a>h5;o0:<65ffc83>!4383;;i6`=3g825>=nnh0;6)<;0;33a>h5;o0:>65ff883>!4383;;i6`=3g827>=nn10;6)<;0;33a>h5;o0:865ff683>!4383;;i6`=3g821>=n98<1<7*=41824`=i::l1=;54i036>5<#:=:1==k4n31e>41<3`;:87>5$363>46b3g88j7?7;:k256<72-8?<7??e:l17c<6121b=<<50;&105<68l1e>>h51`98m476290/>9>511g8j75a28h07d?>0;29 72728:n7c<<f;3`?>o68j0;6)<;0;33a>h5;o0:h65f11394?"5<90:<h5a22d95`=<ao<1<7*=41824`=i::l1=k54o0c1>5<#:=:1=l?4n31e>5=<g8k;6=4+25295d7<f;9m6<54o0;e>5<#:=:1=l?4n31e>7=<g83n6=4+25295d7<f;9m6>54i017>5<#:=:1=>=4n31e>5=<a8996=4+2529565<f;9m6<54i012>5<#:=:1=>=4n31e>7=<a89;6=4+2529565<f;9m6>54o0cb>5<#:=:1=l74n31e>5=<g8k36=4+25295d?<f;9m6<54o0c4>5<#:=:1=l74n31e>7=<g8k=6=4+25295d?<f;9m6>54o0:7>5<#:=:1=5=4n31e>5=<g8296=4+25295=5<f;9m6<54o0:3>5<#:=:1=5=4n31e>7=<g8=m6=4+25295=5<f;9m6>54o05f>5<#:=:1=5=4n31e>1=<g8=o6=4+25295=5<f;9m6854o05`>5<#:=:1=5=4n31e>3=<g8=i6=4+25295=5<f;9m6:54o05b>5<#:=:1=5=4n31e>==<g8=26=4+25295=5<f;9m6454o05;>5<#:=:1=5=4n31e>d=<g8=<6=4+25295=5<f;9m6o54o056>5<#:=:1=5=4n31e>f=<g8=?6=4+25295=5<f;9m6i54o050>5<#:=:1=5=4n31e>`=<g8=96=4+25295=5<f;9m6k54o052>5<#:=:1=5=4n31e>46<3f;<<7>5$363>4>43g88j7?>;:m22c<72-8?<7?73:l17c<6:21d=;k50;&105<60:1e>>h51298k40c290/>9>51918j75a28>07b?9c;29 72728287c<<f;36?>i60k0;6)<;0;3;7>h5;o0::65`19c94?"5<90:4>5a22d952=<g8226=4+25295=5<f;9m6<64;n3;<?6=,;>;6<6<;o00b?7>32e:4:4?:%074?7?;2d9?k4>a:9l5=0=83.98=4>829m66`=9k10c<6::18'616=9190b?=i:0a8?j7?93:1(?:?:0:0?k44n3;o76a>7783>!4383;3?6`=3g82a>=h9?h1<7*=4182<6=i::l1=k54ie:a>5<#:=:1h5o4n31e>5=<am226=4+2529`=g<f;9m6<54ie:;>5<#:=:1h5o4n31e>7=<am2<6=4+2529`=g<f;9m6>54ief94?"5<90oo6`=3g83?>ocj3:1(?:?:ea8j75a2810ei750;&105<ck2d9?k4=;:kg<?6=,;>;6im4n31e>6=<am=1<7*=418gg>h5;o0?76gk6;29 7272mi0b?=i:498ma3=83.98=4kc:l17c<132co87>5$363>ae<f;9m6:54ie194?"5<90oo6`=3g8;?>oc:3:1(?:?:ea8j75a2010ei?50;&105<ck2d9?k4n;:kg4?6=,;>;6im4n31e>g=<ajo1<7*=418gg>h5;o0h76gld;29 7272mi0b?=i:e98mfe=83.98=4kc:l17c<b32chn7>5$363>ae<f;9m6k54ibc94?"5<90oo6`=3g824>=nk00;6)<;0;f`?k44n3;:76gl8;29 7272mi0b?=i:008?le0290/>9>5db9m66`=9:10en850;&105<ck2d9?k4>4:9jg0<72-8?<7jl;o00b?7232cn87>5$363>ae<f;9m6<84;hg0>5<#:=:1hn5a22d952=<al81<7*=418gg>h5;o0:465fe083>!4383nh7c<<f;3:?>ob83:1(?:?:ea8j75a28k07dji:18'616=lj1e>>h51c98mac=83.98=4kc:l17c<6k21bhl4?:%074?bd3g88j7?k;:k`b?6=,;>;6im4n31e>4c<3`i?6=4+2529`f=i::l1=k54}r0;7?6=:rT94>52c8;9fc=z{;2:6=4={_0;5>;d110ij6s|29294?4|V;2;70m67;`e?xu5?o0;6?uQ26d89f?12kl0q~<8e;296~X5?l16o4;5bg9~w71c2909wS<8d:?`=1<en2wx>:m50;0xZ71d34i2?7li;|q13g<72;qU>:l4=b;1>g`<uz8<57>52z\13<=:k0:1nk5rs35;>5<5sW8<463l8g8ab>{t:>=1<7<t^354?8e?m3hm7p}=7783>7}Y:><01n6k:cd8yv40=3:1>vP=749>g=e=jo1v?9;:181[40<27h4o4mf:p625=838pR?9<;<a;e?da3ty9;?4?:3y]624<5j226oh4}r045?6=:rT9;<52c9:9fc=z{;=;6=4={_044>;d0>0ij6s|27g94?4|V;<n70m75;`e?xu5>m0;6?uQ27f89f>32kl0q~<9c;296~X5>j16o5=5bg9~w70e2909wS<9b:?`<7<en2wx>;o50;0xZ70f34i3=7li;|q12<<72;qU>;74=b:3>g`<uz8=47>52z\12==:k>l1nk5rs344>5<5sW8=;63l7d8ab>{t:?<1<7<t^345?8e0l3hm7p}=6483>7}Y:??01n9l:cd8yv4b;3:1>vP=e29>gc?=jo1v?k=:181[4b:27hj54mf:p6`7=838pR?k>;<ae3?da3ty9i=4?:3y]6`6<5jl=6oh4}r0gb?6=:rT9hk52cg79fc=z{;nn6=4={_0ga>;dn=0ij6s|2ef94?4|V;no70mi3;`e?xu5lj0;6?uQ2ea89f`52kl0q~<kb;296~X5lk16ok?5bg9~w7bf2909wS<ka:?`b5<en2wx>i650;0xZ7b?34ini7li;|q1`2<72;qU>i94=bgg>g`<uz8o:7>52z\1`3=:kli1nk5rs3f6>5<5sW8o963lec8ab>{t:m>1<7<t^3f7?8ebi3hm7p}=d283>7}Y:m901nk6:cd8yv4c:3:1>vP=d39>g`>=jo1v?j>:181[4c927hi:4mf:p6a6=838pR?j?;<af2?da3ty9ok4?:3y]6f`<5jo>6oh4}r0``?6=:rT9oi52cd19fc=z{;ih6=4={_0`g>;dm;0ij6s|2b`94?4|V;ii70mj1;`e?xu5kh0;6?uQ2bc89fc72kl0q~<l9;296~X5k016oih5bg9~w7e?2909wS<l8:?```<en2wx>n950;0xZ7e034ioh7li;|q1g3<72;qU>n84=bf`>g`<uz8h97>52z\1g0=:kmh1nk5rs3a7>5<5sW8h863ld`8ab>{t:j81<7<t^3a1?8ec03hm7p}=c083>7}Y:j;01nj8:cd8yv4d83:1>vP=c19>ga0=jo1v?li:181[4en27hh84mf:p6gc=838pR?lj;<ag0?da3ty9ni4?:3y]6gb<5jn86oh4}r0ag?6=:rT9nn52ce09fc=z{;hi6=4={_0af>;dl80ij6s|2cc94?4|V;hj70mk0;`e?xu5j00;6?uQ2c;89fea2kl0q~<m7;296~X5j>16onj5bg9~w7d12909wS<m6:?`gf<en2wx>o;50;0xZ7d234ihn7li;|q1f1<72;qU>o:4=bab>g`<uz8i?7>52z\1f6=:kj31nk5rs3`1>5<5sW8i>63lc98ab>{t:k;1<7<t^3`2?8ed?3hm7p}=b183>7}Y:k:01nm9:cd8yv4fn3:1>vP=ag9>gf3=jo1v?oj:181[4fm27ho94mf:p6de=838pR?ol;<a`6?da3ty9mo4?:3y]6dd<5ji:6oh4}r0be?6=:rT9ml52cb29fc=z{;k26=4={_0b=>;djo0ij6s|2`:94?4|V;k370mme;`e?xu5i>0;6?uQ2`589fdc2kl0q~<n6;296~X5i?16oom5bg9~w7g22909wS<n5:?`fg<en2wx>l:50;0xZ7g334iim7li;|q1e6<72;qU>l=4=b`:>g`<uz8j=7>52z\1e4=:kk=1nk5rs3c3>5<5sW8j<63lb78ab>{t:0l1<7<t^3;e?8ee=3hm7p}=9d83>7}Y:0o01nl;:cd8yv4>l3:1>vP=9e9>gg5=jo1v?7l:181[4>k27hn?4mf:p6<d=838pR?7m;<aa5?da3ty95l4?:3y]6<g<5jh;6oh4}r0:=?6=:rT95452c`d9fc=z{;336=4={_0:<>;dil0ij6s|28494?4|V;3=70mnc;`e?xu51<0;6?uQ28789fge2kl0q~<64;296~X51=16olo5bg9~w7?42909wS<63:?`e<<en2wx>4<50;0xZ7?534ij47li;|q1=4<72;qU>4?4=bc4>g`<uz82<7>52z\1=5=:kh<1nk5rs3:e>5<5sW83j63la48ab>{t:1o1<7<t^3:f?8ef<3hm7p}=8e83>7}Y:1n01no<:cd8yv4?j3:1>vP=8c9>gd7=jo1v?6n:181[4?i27hm=4mf:p6=?=838pR?66;<a:b?da3ty9454?:3y]6=><5j3n6oh4}r0;3?6=:rT94:52c8f9fc=z{;2=6=4={_0;2>;d1j0ij6s|29794?4|V;2>70m6b;`e?xu50=0;6?uQ29689f?f2kl0q~<8a;296~X5?h16o4?5bg9~w70a2909wS<9f:?`<3<en2wx>h:50;0xZ7c334imm7li;|q1`<<72;qU>i74=bge>g`<uz8hi7>52z\1g`=:kl>1nk5rs3a0>5<5sW8h?63ld88ab>{t:k21<7<t^3`;?8edm3hm7p}=ae83>7}Y:hn01nm<:cd8yv4f:3:1>vP=a39>gg>=jo1v?78:181[4>?27hmi4mf:p6=e=838pR?6l;<ab6?da3ty9:94?:3y]632<5j=i6oh4}rc7>5<6<8qUm95298493a=:10?1;i5298693a=:1091;i52a0:93a=:i8=1;i52a0493a=:i8?1;i52a3c93a=:i;31;i52a3:93a=:i;=1;i52a2a93a=:i:h1;i52a2c93a=:i:31;i52a5g93a=:i=n1;i52a5a93a=:i=h1;i52a7293a=:i<l1;i52a4g93a=:i<n1;i52a6093a=:i>;1;i52a6293a=:i?l1;i52a9693a=:i191;i52a9093a=:i1;1;i52a8493a=:i0?1;i52a8693a=:i091;i52a`:93a=:ih=1;i52a`493a=:ih?1;i529`:93a=:1h=1;i529`493a=:1h?1;i529cc93a=:1k31;i529c:93a=:1k=1;i529ba93a=:1jh1;i529bc93a=:1j31;i529eg93a=:1mn1;i529ea93a=:1mh1;i529g293a=:1ll1;i529dg93a=:1ln1;i52a1693a=:i991;i52a1093a=:i9;1;i5291d9614<509=6?:=;<;75?43:2728n4=439>=01=:=80148=:361?8?1l38?>636798107=:1191>9<4=8:f>725343:m7<;2:?:60<5<;165?k525089<4a2;>9707<0;076>;>;8098?529209614<50986?:=;<;00?43:272?84=439>=61=:=8014=7:361?8?4138?>6363`8107=:1:h1>9<4=81`>7253438h7<;2:?:7`<5<;165>h525089<272;>9707;2;076>;><:098?529569614<50>>6?:=;<;72?43:2728:4=439>=1>=:=8014:6:361?8?3i38?>6364c8107=:1=n1>9<4=86f>725343?j7<;2:?:15<5<;1658?525089<352;>9707:3;076>;>==098?529479614<50?=6?:=;<;6<?43:272944=439>=0g=:=8014;m:361?8?2k38?>6365e8107=:1<o1>9<4=87e>725343=<7<;2:?:24<5<;165;=525089<032;>970795;076>;>>?098?529759614<50<36?:=;<;5=?43:272:l4=439>=3d=:=80148l:361?8?1m38?>6366g8107=:1>:1>9<4=852>725343<>7<;2:?:36<5<;165::525089<122;>970786;076>;>?>098?5296;9614<50=j6?:=;<;4f?43:272;n4=439>=2b=:=80149j:361?8?0n38?>636818107=:11;1>9<4=8:1>725343387<;2:?:<0<5<;16558525089<>02;>970778;076>;>00098?5299c9614<502i6?:=;<;;g?43:2724i4=439>=46=:=8014?>:361?8?6:38?>636128107=:18>1>9<4=836>725343::7<;2:?:52<5<;165<6525089<7>2;>9707>b;076>;>9j098?5290f9614<50;n6?:=;<;2b?43:272>=4=439>=77=:=8014<=:361?8?5;38?>636258107=:1;<1>9<4=804>725343947<;2:?:6<<5<;165?o525089<4e2;>9707=c;076>;>:m098?5289d9614<51h=6?:=;<:`5?43:273on4=439><a1=:=8015k=:361?8>bl38?>637f98107=:1991>9<4=82f>7253422m7<;2:?;e0<5<;164lk525089=ga2;>9706m0;076>;?j8098?528c09614<51h86?:=;<:a0?43:273n84=439><g1=:=8015l7:361?8>e138?>637b`8107=:0kh1>9<4=9``>725342ih7<;2:?;f`<5<;164oh525089=e72;>9706l2;076>;?k:098?528b69614<51i>6?:=;<:`2?43:273o:4=439><f>=:=8015m6:361?8>di38?>637cc8107=:0jn1>9<4=9af>725342hj7<;2:?;`5<5<;164i?525089=b52;>9706k3;076>;?l=098?528e79614<51n=6?:=;<:g<?43:273h44=439><ag=:=8015jm:361?8>ck38?>637de8107=:0mo1>9<4=9fe>725342n<7<;2:?;a4<5<;164h=525089=c32;>9706j5;076>;?m?098?528d59614<51o36?:=;<:f=?43:273il4=439><`d=:=8015kl:361?8>bm38?>637eg8107=:0o:1>9<4=9d2>725342m>7<;2:?;b6<5<;164k:525089=`22;>9706i6;076>;?n>098?528g;9614<51lj6?:=;<:ef?43:273jn4=439><cb=:=8015hj:361?8>an38?>636018107=:19;1>9<4=821>725343;87<;2:?:40<5<;165=8525089<602;>9707?8;076>;>80098?5291c9614<50:i6?:=;<;3g?43:272<i4=439><<6=:=80157>:361?8>>:38?>637928107=:00>1>9<4=9;6>7253422:7<;2:?;=2<5<;16446525089=?>2;>97066b;076>;?1j098?5288f9614<513n6?:=;<::b?43:273m=4=439><d7=:=8015o=:361?8>f;38?>637a58107=:0h<1>9<4=9c4>725342j47<;2:?;e<<5<;164lo525089=ge2;>9706nc;076>;?im098?5rs8f94?73sW3o70:n2;3g7>;2<:098:525529611<5<9h6?:8;<70<?43?27>?94=469>166=:==018<l:364?835038?;63:258102=:=;:1>994=5cb>72034>j57<;7:?7e=<5<>168l95255891g12;><70:n5;073>;3i=098:524`19611<uz3h6=49{_;`?81bi3;hj638a484`>;0i<0ij6389d82`6=:?l31>9<4}r;a>5<3sW3i70964;5g?81><3hm7097b;3g7>{tjj0;6>=t^ca8934c2>n01;=::6f8936c2>n01;>j:6f8936a2>n01;??:6f8937a2>n01;<?:6f893462>n01;<=:6f893632>n01;>::6f893572>n01;=>:6f890`c2>n018hj:6f893512>n01;=8:6f8934b2>n01;:8:6f8932b2>n01;:i:6f893372>n01;;>:6f893352>n01;;<:6f893332>n01;;::6f893312>n01;:7:6f8932>2>n01;:n:6f8932e2>n01;:l:6f8932c2>n01;;8:6f893`b28n8708if;3g7>;0;90<h6382g84`>;0:l0<h6382e84`>;09j0<h6381c84`>;09h0<h6381884`>;0810<h6380684`>;08?0<h6380484`>{tjk0;6>uQbc9><=0=?m1645?57e9~wg?=83;jwSl6;<7e3?7c<27?5:48d:?7=1<0l27?5=48d:?7<f<0l27?4548d:?7<1<0l27?4=48d:?73f<0l27?ml48d:?7e<<0l27?m548d:?7e2<0l27?m;48d:?7e0<0l27?m948d:?7e6<0l27?o=4>b29>0dd=9k8019oj:0`1?82e93;i>63;b582f7=:<k=1=o<4=5`b>4d534>ih7?m2:pf=<72?qUn5527g693a=:?o>1nk528059fc=:08i1=i=4=6gf>4b43tyi;7>54z\a3>;?9j0:h?5281:95a4<51:i6<j=;|qb7?6=<rTj?637878ab>;f8=0ij639468ab>{t110;6l6t^8:89=>121:0156>:9289<?121:0147::9289<?321:0147<:9289d7?21:01l?8:9289d7121:01l?::9289d4f21:01l<6:9289d4?21:01l<8:9289d5d21:01l=m:9289d5f21:01l=6:9289d2b21:01l:k:9289d2d21:01l:m:9289d0721:01l;i:9289d3b21:01l;k:9289d1521:01l9>:9289d1721:01l8i:9289d>321:01l6<:9289d>521:01l6>:9289d?121:01l7::9289d?321:01l7<:9289dg?21:01lo8:9289dg121:01lo::9289<g?21:014o8:9289<g121:014o::9289<df21:014l6:9289<d?21:014l8:9289<ed21:014mm:9289<ef21:014m6:9289<bb21:014jk:9289<bd21:014jm:9289<`721:014ki:9289<cb21:014kk:9289d6321:01l><:9289d6521:01l>>:9289=032>h01:h;:6`89=702>h015?7:6`89=712>h015;8:6`89=332>h015;?:6`89=2d2>h015:7:6`89=232>h015:?:6`89=5d2>h015=7:6`89=532>h018:<:6`890272>h018=l:6`8905?2>h018=;:6`890572>h018<l:6`8904?2>h018<;:6`890472>h01:o::6`892?32>h01;<k:928935221:01;>k:928936b21:01;>i:928937721:01;?i:928934721:01;<>:928934521:01;>;:928936221:01;=?:928935621:018hk:92890`b21:01;=9:928935021:01;<j:92893202>h01;:j:6`8932a2>h01;;?:6`893362>h01;;=:6`893342>h01;;;:6`893322>h01;;9:6`8932?2>h01;:6:6`8932f2>h01;:m:6`8932d2>h01;:k:6`8933021:01:=?:928924a21:01:<j:928924c21:01:?l:928927e21:01:?n:928927>21:01:>7:928926021:01:>9:928926221:01978:6`891?32>h0197?:6`891>d2>h01967:6`891>32>h0196?:6`8911d2>h019on:6`891g>2>h019o7:6`891g02>h019o9:6`891g22>h019o;:6`891g42>h01:k6:6`892c?2>h019m?:9a891ge21=019oj:95891d621=019l;:95891d021=019ln:95891dc21=0q~6?:181b1}Y09164:k57c9><=b=?k1645>57c9><=?=?k1645:57c9><=4=?k1645957c9>6`1=?k16?>;57c9>71d=?k16?;?57c9>721=?k16?5j57c9>7d5=?k16?o757c9>7f`=?k16?h;57c9>6cb=?k16?<=57c9>773=?k16??957c9>77?=?k16??l57c9>77b=?k16??h57c9>767=?k16?>=57c9>761=?k16?>757c9>76d=?k16?>j57c9>76`=?k16?9?57c9>715=?k16?9;57c9>711=?k16?9757c9>71b=?k16?9h57c9>707=?k16?8=57c9>703=?k16?8957c9>70?=?k16?8l57c9>70b=?k16?8h57c9>735=?k16?;;57c9>731=?k16?;757c9>73d=?k16?;j57c9>73`=?k16?:?57c9>725=?k16?:;57c9>72?=?k16?:l57c9>72b=?k16?:h57c9>7=7=?k16?5=57c9>7=3=?k16?5957c9>7=?=?k16?5l57c9>7=`=?k16?4?57c9>7<5=?k16?4;57c9>7<1=?k16?4757c9>7<d=?k16?4j57c9>7<`=?k16?l?57c9>7d3=?k16?l957c9>7d?=?k16?ll57c9>7db=?k16?lh57c9>7g7=?k16?o=57c9>7g3=?k16?o957c9>7gd=?k16?oj57c9>7g`=?k16?n?57c9>7f5=?k16?n;57c9>7f1=?k16?n757c9>7fd=?k16?nj57c9>7a7=?k16?i=57c9>7a3=?k16?i957c9>7a?=?k16?il57c9>7ab=?k16?ih57c9>7`7=?k16?h=57c9>6`?=?k16>hl57c9>6`b=?k16>hh57c9>6c7=?k16>k=57c9>6c3=?k16>k957c9>6c?=?k16>kl57c9>6c`=?k16?=?57c9>755=?k16?=;57c9>751=?k16?=757c9>75d=?k16?=j57c9>75`=?k16?<?57c9>743=?k16?<957c9>74?=?k16?<l57c9>74b=?k16?<h57c9>777=?k16??=57c9>6`0=0916?>:5819>71g=0916?;>5819>720=0916?5m5819>7d4=0916?o65819>7fc=0916?h:5819>6ce=0916?<<5819>772=0916??85819>77>=0916??o5819>77e=0916??k5819>766=0916?><5819>760=0916?>65819>76g=0916?>m5819>76c=0916?9>5819>714=0916?9:5819>710=0916?965819>71e=0916?9k5819>706=0916?8<5819>702=0916?885819>70>=0916?8o5819>70e=0916?8k5819>734=0916?;:5819>730=0916?;65819>73g=0916?;m5819>73c=0916?:>5819>724=0916?::5819>72>=0916?:o5819>72e=0916?:k5819>7=6=0916?5<5819>7=2=0916?585819>7=>=0916?5o5819>7=c=0916?4>5819>7<4=0916?4:5819>7<0=0916?465819>7<g=0916?4m5819>7<c=0916?l>5819>7d2=0916?l85819>7d>=0916?lo5819>7de=0916?lk5819>7g6=0916?o<5819>7g2=0916?o85819>7gg=0916?om5819>7gc=0916?n>5819>7f4=0916?n:5819>7f0=0916?n65819>7fg=0916?nm5819>7a6=0916?i<5819>7a2=0916?i85819>7a>=0916?io5819>7ae=0916?ik5819>7`6=0916?h<5819>6`>=0916>ho5819>6`e=0916>hk5819>6c6=0916>k<5819>6c2=0916>k85819>6c>=0916>ko5819>6cc=0916?=>5819>754=0916?=:5819>750=0916?=65819>75g=0916?=m5819>75c=0916?<>5819>742=0916?<85819>74>=0916?<o5819>74e=0916?<k5819>776=0916??<5819>=cd=?k16;kl57c9>3ce=?k16;h957c9>3a2=?k16;i=57c9>3a4=?k16;i?57c9>3a6=?k16;nh57c9>3fc=?k16;nj57c9>3fe=?k16;nl57c9><4`=?k164<k57c9>3``=?k16;k=57c9>3c4=?k16;:h57c9>3=6=?k16;5?57c9>3=4=?k16;5=57c9>3=2=?k16;5;57c9>3=0=?k16;4h57c9>3d2=?k16;l<57c9>3d5=?k16;5m57c9>3<5=?k16;5h57c9>3<6=?k16;4?57c9>3<4=?k16;;757c9>33g=?k16;;;57c9>1c?=?k169ko57c9>331=?k16;;657c9>25?=?k16:<l57c9>1cd=?k16:8o57c9>20>=?k16:8j57c9>20d=?k16:;>57c9>20c=?k16:;=57c9>237=?k16:;857c9>232=?k16:;757c9>231=?k16:;m57c9>23g=?k16:;h57c9>23b=?k16::<57c9>226=?k16::;57c9>225=?k16:k?57c9>2`c=?k16:ho57c9>2`0=?k16:h<57c9>2ac=?k16:io57c9>2a0=?k16:i<57c9>2fc=?k16:lj57c9>2dg=?k16:l857c9>2d4=?k16:4k57c9>2<g=?k16:4857c9>2<4=?k16:5k57c9>2=g=?k16;kk57c9><56=?k164;;57c9>3`3=?k16ni?57c9>g<?=?k16o4657c9>g<1=?k16o4857c9>g<3=?k16o4:57c9>g<5=?k16o4<57c9>g<6=?k16o5h57c9>g=c=?k16o5j57c9>g=e=?k16o5l57c9>g=g=?k16o5757c9>g=>=?k16o5957c9>g=3=?k16o5:57c9>g=5=?k16o5<57c9>g=7=?k16o5>57c9>g2`=?k16o:k57c9>g2b=?k16o:m57c9>gc?=?k16ok657c9>gc1=?k16ok857c9>gc3=?k16ok:57c9>gc5=?k16ok<57c9>gc7=?k16ok>57c9>g`c=?k16ohj57c9>g`e=?k16ohl57c9>g`g=?k16oh757c9>g`>=?k16oh957c9>g`0=?k16oh;57c9>g`5=?k16oh<57c9>g`7=?k16oh>57c9>ga`=?k16oik57c9>gab=?k16oim57c9>gad=?k16oio57c9>ga>=?k16oi957c9>ga0=?k16oi;57c9>ga2=?k16oi=57c9>ga4=?k16oi?57c9>ga6=?k16onh57c9>gfb=?k16onm57c9>gfd=?k16ono57c9>gf?=?k16on657c9>gf1=?k16on857c9>gf3=?k16on:57c9>gf4=?k16on?57c9>gf6=?k16ooh57c9>ggc=?k16ooj57c9>gge=?k16ool57c9>ggg=?k16oo757c9>gg1=?k16oo857c9>gg3=?k16oo:57c9>gg5=?k16oo<57c9>gg7=?k16oo>57c9>gd`=?k16olk57c9>gde=?k16oll57c9>gdg=?k16ol757c9>gd>=?k16ol957c9>gd0=?k16ol;57c9>gd2=?k16ol=57c9>gd7=?k16ol>57c9>g<`=?k16o4k57c9>g<b=?k16o4m57c9>g<d=?k16o4o57c9>g<7=?k16o5857c9>gcg=?k16ohh57c9>g`2=?k16oi757c9>gfc=?k16on=57c9>gg>=?k16olj57c9>gd4=?k16o:l57c9>=5`=?k165>857c9>=17=?k1659m57c9>=01=?k165;<57c9>=3b=?k165:657c9>==5=?k1655k57c9>=4g=?k165?;57c9>=7c=?k165?h57c9>=66=?k165>?57c9>=64=?k165>=57c9>=62=?k165>;57c9>=61=?k165>657c9>=6?=?k165>o57c9>=6d=?k165>m57c9>=6b=?k165>k57c9>=6`=?k1659>57c9>=14=?k1659=57c9>=12=?k1659;57c9>=10=?k1659957c9>=1>=?k1659757c9>=1g=?k1659l57c9>=1b=?k1659k57c9>=1`=?k1658>57c9>=07=?k1658<57c9>=05=?k1658:57c9>=03=?k1658857c9>=0>=?k1658757c9>=0g=?k1658l57c9>=0e=?k1658j57c9>=0c=?k1658h57c9>=36=?k165;?57c9>=35=?k165;:57c9>=33=?k165;857c9>=31=?k165;657c9>=3?=?k165;o57c9>=3d=?k165;m57c9>=3c=?k165;h57c9>=26=?k165:?57c9>=24=?k165:=57c9>=22=?k165:;57c9>=20=?k165:957c9>=2?=?k165:o57c9>=2d=?k165:m57c9>=2b=?k165:k57c9>=2`=?k1655>57c9>==7=?k1655<57c9>==2=?k1655;57c9>==0=?k1655957c9>==>=?k1655757c9>==g=?k1655l57c9>==e=?k1655j57c9>=46=?k165<?57c9>=44=?k165<=57c9>=42=?k165<;57c9>=40=?k165<957c9>=4>=?k165<757c9>=4d=?k165<m57c9>=4b=?k165<k57c9>=4`=?k165?>57c9>=77=?k165?<57c9>=75=?k165?:57c9>=70=?k165?957c9>=7>=?k165?757c9>=7g=?k165?l57c9>=7e=?k165?j57c9><=`=?k164o857c9><f7=?k164nm57c9><a1=?k164h<57c9><`b=?k164k657c9>=55=?k165=k57c9><<g=?k164l;57c9><dc=?k164lh57c9><g6=?k164o?57c9><g4=?k164o=57c9><g2=?k164o;57c9><g1=?k164o657c9><g?=?k164oo57c9><gd=?k164om57c9><gb=?k164ok57c9><g`=?k164n>57c9><f4=?k164n=57c9><f2=?k164n;57c9><f0=?k164n957c9><f>=?k164n757c9><fg=?k164nl57c9><fb=?k164nk57c9><f`=?k164i>57c9><a7=?k164i<57c9><a5=?k164i:57c9><a3=?k164i857c9><a>=?k164i757c9><ag=?k164il57c9><ae=?k164ij57c9><ac=?k164ih57c9><`6=?k164h?57c9><`5=?k164h:57c9><`3=?k164h857c9><`1=?k164h657c9><`?=?k164ho57c9><`d=?k164hm57c9><`c=?k164hh57c9><c6=?k164k?57c9><c4=?k164k=57c9><c2=?k164k;57c9><c0=?k164k957c9><c?=?k164ko57c9><cd=?k164km57c9><cb=?k164kk57c9><c`=?k165=>57c9>=57=?k165=<57c9>=52=?k165=;57c9>=50=?k165=957c9>=5>=?k165=757c9>=5g=?k165=l57c9>=5e=?k165=j57c9><<6=?k1644?57c9><<4=?k1644=57c9><<2=?k1644;57c9><<0=?k1644957c9><<>=?k1644757c9><<d=?k1644m57c9><<b=?k1644k57c9><<`=?k164l>57c9><d7=?k164l<57c9><d5=?k164l:57c9><d0=?k164l957c9><d>=?k164l757c9><dg=?k164ll57c9><de=?k164lj57c9>3c6=?k16;k?57c9><4d=?k16;lj57c9>3d7=?k16;l>57c9>3=c=?k16;5j57c9>0f6=0o168ll58e9>0dc=0m168o?58e9>0g2=0m168o958e9>0gg=0m168oj58e9~wg0=838pRo84=4d4>75f3ty9?>4?:0178b>=3;hj63=e780<>;4;=08463<4`80<>;4>908463<7780<>;40j08463<a380<>;4j108463<cd80<>;4m=08463=fb80<>;49;08463<2580<>;4:?08463<2980<>;4:h08463<2b80<>;4:l08463<3180<>;4;;08463<3780<>;4;108463<3`80<>;4;j08463<3d80<>;4<908463<4380<>;4<=08463<4780<>;4<108463<4b80<>;4<l08463<5180<>;4=;08463<5580<>;4=?08463<5980<>;4=h08463<5b80<>;4=l08463<6380<>;4>=08463<6780<>;4>108463<6`80<>;4>j08463<6d80<>;4?908463<7380<>;4?=08463<7980<>;4?h08463<7b80<>;4?l08463<8180<>;40;08463<8580<>;40?08463<8980<>;40h08463<8d80<>;41908463<9380<>;41=08463<9780<>;41108463<9`80<>;41j08463<9d80<>;4i908463<a580<>;4i?08463<a980<>;4ih08463<ab80<>;4il08463<b180<>;4j;08463<b580<>;4j?08463<b`80<>;4jj08463<bd80<>;4k908463<c380<>;4k=08463<c780<>;4k108463<c`80<>;4kj08463<d180<>;4l;08463<d580<>;4l?08463<d980<>;4lh08463<db80<>;4ll08463<e180<>;4m;08463=e980<>;5mh08463=eb80<>;5ml08463=f180<>;5n;08463=f580<>;5n?08463=f980<>;5nh08463=fd80<>;48908463<0380<>;48=08463<0780<>;48108463<0`80<>;48j08463<0d80<>;49908463<1580<>;49?08463<1980<>;49h08463<1b80<>;49l08463<2180<>;4:;084636938`7>;>180h?636918`7>;>0o0h?63n108`7>;f9;0h?63n158`7>;f9:0h?63n228`7>;f:=0h?63n278`7>;f:<0h?63n348`7>;f;?0h?63n398`7>;f;>0h?63n468`7>;f<10h?63n4`8`7>;f<00h?63n588`7>;f=h0h?63n5b8`7>;f=k0h?63n6c8`7>;f>j0h?63n6d8`7>;f>m0h?63n7e8`7>;f?l0h?63n818`7>;f?o0h?63n8g8`7>;f190h?63n938`7>;f180h?63na08`7>;fi;0h?63na58`7>;fi:0h?636a08`7>;>i;0h?636a58`7>;>i:0h?636b28`7>;>j=0h?636b78`7>;>j<0h?636c48`7>;>k?0h?636c98`7>;>k>0h?636d68`7>;>l10h?636d`8`7>;>l00h?636e88`7>;>mh0h?636eb8`7>;>mk0h?636fe8`7>;>nl0h?63n018`7>;>no0h?636fb8`7>;?=:0h?6374g8`7>;?<k0h?637468`7>;?<:0h?6373g8`7>;?;k0h?637368`7>;?;:0h?63:3g8`7>;2;k0h?63:368`7>;2;:0h?63:2g8`7>;2:k0h?63:268`7>;2::0h?63:1g8`7>;0il0:h?527`g95a2<5<li6?:8;<4f`?e434<n57m<;<4f1?e434<n=7m<;<4g`?e434<o57m<;<4g1?e434<o=7m<;<4``?e434<j57m<;<4b1?e434<j=7m<;<4:`?e434<257m<;<4:1?e434<2=7m<;<4;`?e434<357m<;<51g?e434=9n7m<;<51e?e434=957m<;<51<?e434=:47m<;<523?e434=::7m<;<521?e434=:87m<;<530?e434=;?7m<;<536?e434=;=7m<;<534?e434>2?7m<;<6;b?e434>3n7m<;<6;3?e434>3?7m<;<64b?e434><n7m<;<5b`?da34>h<7?=5:?7g5<6:=168n>5131891e7288970:l0;315>;3k90:?8524b29`=0<5=i;6i6;;<6`4?43m27?o=4=519>0dd=l19019om:e:2?82fj3n3<63;ac8g3c=:<hh1>9k4=5ca>72a34>ji7j73:?7e`<c08168lk5d92891gb2m=m70:ne;07a>;3il098k524c39`=5<5=h:6i6>;<6a5?b?827?n<4k7g9>0g7=:=o019l>:36e?82e<3n3?63;b58g<4=:<k>1h5>4=5`7>a1a34>i87<;e:?7f1<5<o168o95d91891d02m2:70:m7;f;4>;3j>0o;k524c5961c<5=h<6?:i;<6ae?b?;27?nl4k809>0gg=l1:019ln:e5e?82ei38?i63;b`810c=:<kn1h5=4=5`g>a>634>ih7j70:?7fa<c?o168oj525g891dc2;>m7p};c`83>7}:<jk1>>o4=5a7>4b43ty?h:4?:3y>0a1=::k019m;:0f1?xu3k=0;6?u24b6966g<5=i86<j<;|q7`=<72;q68i6522c891ee28n87p};e883>7}:<l31>>o4=5aa>4b53ty?oo4?:3y>0fd=::k019m<:0f1?xu3k:0;6?u24b1966g<5<<86<j8;|q7`<<72;q68i7522c891ea28n87p};e`83>7}:<lk1>>o4=5ae>4b53ty?on4?:3y>0f`=::k019m::0f0?xu3mj0;6?u24da966g<5=no6<j<;|q7bg<72;q68kl522c891bc28n97p};de83>7}:<mn1>>o4=5a6>4b53ty?o84?:3y>0f3=::k0188=:0f4?xu3lh0;6?u24ec966g<5=n;6<j<;|q7aa<72;q68hj522c891b728n97p};ce83>7}:<m:1>>o4=5a;>4b43ty?ih4?:3y>0`c=::k019k<:0f0?xu3nj0;6?u24ga966g<5=o86<j=;|q7``<72;q68h=522c891e?28n97p};c783>7}:<j21>>o4=440>4b33ty?ik4?:3y>0``=::k019k;:0f0?xu3nm0;6?u24gf966g<5=o?6<j=;|q7`c<72;q68h:522c891b528n87p};fd83>7}:<oo1>>o4=5d7>4b43ty><;4?:3y>150=::k019h;:0f1?xu3n=0;6?u24g6966g<5=n96<j=;|q7`7<72;q68i<522c8900528n?7p};dc83>7}:<mh1>>o4=5f2>4b43ty?j=4?:3y>0c6=::k019j>:0f1?xu3kl0;6?u24e3966g<5=i26<j<;|q7b4<72;q68k?522c891c228n87p};fg83>7}:<ol1>>o4=5g6>4b53ty?i=4?:3y>0`3=::k019m6:0f1?xu3k>0;6?u24b;966g<5<<86<j9;|q7b7<72;q68k<522c891c128n87p}:0183>7}:=9:1>>o4=5g5>4b53ty?i<4?:3y>0`0=::k019j::0f0?xu2880;6?u2513966g<5=l36<j<;|q642<72;q69=9522c891`?28n97p};f483>7}:<o21>>o4=5f6>4b53ty?h>4?:3y>0a3=::k0188=:0f5?xu3n:0;6?u24g1966g<5=o<6<j<;|q647<72;q69=<522c891c028n97p};e383>7}:<l=1>>o4=5f5>4b43ty><>4?:3y>155=::k019h6:0f0?xu2810;6?u251:966g<5=l26<j=;|q7b3<72;q68k7522c891b128n97p};d583>7}:<m<1>>o4=440>4b23ty><94?:3y>152=::k019hn:0f0?xu2800;6?u251;966g<5=lj6<j=;|q7b2<72;q68ko522c891c?28n87p}:0`83>7}:=9k1>>o4=426>4b43ty><o4?:3y>15d=::k018>::0f1?xu28<0;6?u2517966g<5=o36<j=;|q7a=<72;q68h6522c8900528n>7p};db83>7}:<mi1>>o4=442>4b33ty?io4?:3y>0`d=::k0188>:0f6?xu3>00;64u24`0966g<5=3<6?:8;<6:0?43?27?5=4=469>0=e=:==01967:364?82?<38?;63;818102=:<>i1>994}r65e?6=:r7?:;4>d39>031=::k0q~:9b;296~;3>?0:h>5247:966g<uz?3n7>52z?7ag<6l<168n>51`18yv3?i3:1>v3;ec82`6=:<j:1=;94}r7;=?6=:r7?io4>d79>0f6=9?<0q~;78;296~;3lj0:h8524b29533<uz?3;7>52z?7`f<6l?168n>51768yv3?=3:1>v3;db82`1=:<j:1=;=4}r7;0?6=:r7?hn4>d69>0f6=9?80q~;73;296~;28=0:h8524b29537<uz?3>7>52z?641<6l?168n>514;8yv3?93:1>v3:0582`1=:<kn1=4h4}r7;4?6=:r7><94>d69>0gb=9:o0q~;8f;296~;2800:h8524cf956b<uz?<i7>52z?64<<6l?168oj512a8yv30l3:1>v3:0882`1=:<kn1=>l4}r74g?6=:r7><44>d69>0gb=9:k0q~;8a;296~;28h0:h8524cf956?<uz?<57>52z?64d<6l?168oj514:8yv3003:1>v3:0`82`1=:<kn1=894}r743?6=:r7><l4>d69>0gb=90o0q~;86;296~;28k0:h8524cf9500<uz?<97>52z?64g<6l?168oj51478yv30<3:1>v3:0c82`1=:<kn1=8:4}r747?6=:r7><o4>d69>0gb=9<90q~;82;296~;3n:0:h8524cf9504<uz?<=7>52z?7b6<6l?168oj515g8yv31n3:1>v3;f282`1=:<kn1=9=4}r75a?6=:r7?j>4>d69>0gb=9:20q~;9d;296~;28;0:h8524cc95<`<uz?=o7>52z?647<6l?168oo512g8yv31j3:1>v3:0382`1=:<kk1=>j4}r75e?6=:r7><?4>d69>0gg=9:i0q~;99;296~;28:0:h8524cc956d<uz?=47>52z?646<6l?168oo512c8yv31?3:1>v3:0282`1=:<kk1=>74}r752?6=:r7><>4>d69>0gg=9<20q~;ja;296~;2810:h8524cc9501<uz?n57>52z?64=<6l?168oo518g8yv3b03:1>v3:0982`1=:<kk1=884}r7f3?6=:r7><54>d69>0gg=9<?0q~;j6;296~;3n;0:h8524cc9502<uz?n97>52z?7b7<6l?168oo51418yv3b<3:1>v3;f382`1=:<kk1=8<4}r7f7?6=:r7?j?4>d69>0gg=9=o0q~;j2;296~;2890:h8524cc9515<uz?n=7>52z?645<6l?168oo512:8yv3cn3:1>v3:0182`1=:<k=1=4h4}r7ga?6=:r7><=4>d69>0g1=9:o0q~;kd;296~;2880:h8524c5956b<uz?oo7>52z?644<6l?168o9512a8yv3cj3:1>v3:0082`1=:<k=1=>l4}r7ge?6=:r7><<4>d69>0g1=9:k0q~;k9;296~;28>0:h8524c5956?<uz?o47>52z?642<6l?168o9514:8yv3c?3:1>v3:0682`1=:<k=1=894}r7g2?6=:r7><:4>d69>0g1=90o0q~;k4;296~;3lk0:h8524c59500<uz?o?7>52z?7`g<6l?168o951478yv3c:3:1>v3;dc82`1=:<k=1=8:4}r7g5?6=:r7?ho4>d69>0g1=9<90q~;k0;296~;3n90:h8524c59504<uz?hj7>52z?7b5<6l?168o9515g8yv3dm3:1>v3;f182`1=:<k=1=9=4}r7``?6=:r7?j=4>d69>0g1=9:20q~;lc;296~;3n80:h8524c695<`<uz?hn7>52z?7b4<6l?168o:512g8yv3d13:1>v3;f082`1=:<k>1=>j4}r7`<?6=:r7?j<4>d69>0g2=9:i0q~;l7;296~;3no0:h8524c6956d<uz?h:7>52z?7bc<6l?168o:512c8yv3d=3:1>v3;fg82`1=:<k>1=>74}r7`0?6=:r7?jk4>d69>0g2=9<20q~;l3;296~;3mo0:h8524c69501<uz?h>7>52z?7ac<6l?168o:518g8yv3d93:1>v3;eg82`1=:<k>1=884}r7`4?6=:r7?ik4>d69>0g2=9<?0q~;me;296~;3nm0:h8524c69502<uz?ih7>52z?7ba<6l?168o:51418yv3ek3:1>v3;fe82`1=:<k>1=8<4}r7af?6=:r7?ji4>d69>0g2=9=o0q~;ma;296~;3nl0:h8524c69515<uz?i57>52z?7b`<6l?168o:512:8yv3e03:1>v3;fd82`1=:<k;1=4h4}r7a3?6=:r7?jh4>d69>0g7=9:o0q~;m6;296~;28?0:h8524c3956b<uz?i97>52z?643<6l?168o?512a8yv3e;3:1>v3:0782`1=:<k;1=>l4}r7a6?6=:r7><;4>d69>0g7=9:k0q~;m1;296~;3lh0:h8524c3956?<uz?i<7>52z?7`d<6l?168o?514:8yv3fn3:1>v3;d`82`1=:<k;1=894}r7ba?6=:r7?hl4>d69>0g7=90o0q~;nd;296~;3mm0:h8524c39500<uz?jo7>52z?7aa<6l?168o?51478yv3fj3:1>v3;ee82`1=:<k;1=8:4}r7be?6=:r7?ii4>d69>0g7=9<90q~;n8;296~;3ml0:h8524c39504<uz?j;7>52z?7a`<6l?168o?515g8yv3f>3:1>v3;ed82`1=:<k;1=9=4}r7b1?6=:r7?ih4>d69>0g7=9:20q~;n4;296~;3nj0:h8524`g95<`<uz?j?7>52z?7bf<6l?168lk512g8yv3f:3:1>v3;fb82`1=:<ho1=>j4}r7b5?6=:r7?jn4>d69>0dc=9:i0q~;n0;296~;3l00:h8524`g956d<uz?2j7>52z?7`<<6l?168lk512c8yv3>l3:1>v3;d882`1=:<ho1=>74}r7:g?6=:r7?h44>d69>0dc=9<20q~;6b;296~;3mh0:h8524`g9501<uz?2m7>52z?7ad<6l?168lk518g8yv3>13:1>v3;e`82`1=:<ho1=884}r7:<?6=:r7?il4>d69>0dc=9<?0q~;67;296~;3mj0:h8524`g9502<uz?2:7>52z?7af<6l?168lk51418yv3>=3:1>v3;eb82`1=:<ho1=8<4}r7:0?6=:r7?in4>d69>0dc=9=o0q~;62;296~;3nk0:h8524`g9515<uz?2=7>52z?7bg<6l?168lk512:8yv3>83:1>v3;fc82`1=:<hh1=4h4}r7;b?6=:r7?jo4>d69>0dd=9:o0q~;7e;296~;3kh0:h8524``956b<uz?3h7>52z?7gd<6l?168ll512a8yv3?k3:1>v3;c`82`1=:<hh1=>l4}r7;2?6=:r7?ol4>d69>0dd=9:k0q~;8b;296~;3l>0:h8524``956?<uz?<<7>52z?7`2<6l?168ll514:8yv3bj3:1>v3;d682`1=:<hh1=894}r7f4?6=:r7?h:4>d69>0dd=90o0q~;k5;296~;3l10:h8524``9500<uz?hm7>52z?7`=<6l?168ll51478yv3en3:1>v3;d982`1=:<hh1=8:4}r7a0?6=:r7?h54>d69>0dd=9<90q~;n9;296~;3m00:h8524``9504<uz?2i7>52z?7a<<6l?168ll515g8yv3>;3:1>v3;e882`1=:<hh1=9=4}r751?6=:r7?i44>d69>0dd=9:20q~;i5;29e~;2<:09895255695a4<5=i;68<4=5ca>12<5=kn69:4=5`2>12<5=h?69:4=5`4>12<5=hj69:4=5`g>12<uz?m87>5az?605<5<=1699?51e0891e72<:019om:50891gb2=8019l>:50891d32=8019l8:50891df2=8019lk:508yv3a;3:1mv3:3b8101=:=:n1=i<4=5a3>1`<5=ki69?4=5cf>17<5=h:69?4=5`7>17<5=h<69?4=5`b>17<5=ho69?4}r7e6?6=ir7>?54=459>16?=9m8019m?:4`891ge2=:019oj:52891d62=:019l;:52891d02=:019ln:52891dc2=:0q~;i1;29e~;2;=09895252795a4<5=i;68o4=5ca>1b<5=kn69j4=5`2>1b<5=h?69j4=5`4>1b<5=hj69j4=5`g>1b<uz?m<7>5az?675<5<=169>?51e0891e72<3019om:5a891gb2=i019l>:5a891d32=i019l8:5a891df2=i019lk:5a8yv3bn3:1mv3:2b8101=:=;n1=i<4=5a3>0><5=ki69l4=5cf>1d<5=h:69l4=5`7>1d<5=h<69l4=5`b>1d<5=ho69l4}r7fa?6=ir7>>54=459>17?=9m8019m?:45891ge2=k019oj:5c891d62=k019l;:5c891d02=k019ln:5c891dc2=k0q~;jd;29e~;2:=09895253795a4<5=i;6884=5ca>1?<5=kn6974=5`2>1?<5=h?6974=5`4>1?<5=hj6974=5`g>1?<uz?no7>5az?665<5<=169??51e0891e72<?019om:5:891gb2=2019l>:5:891d32=2019l8:5:891df2=2019lk:5:8yv32?3:18v3;6982`6=:<0=1>9:4=5;;>4b534>jm7li;|q613<72=q68;651e0891?32;>?70:65;3g6>;3i00ij6s|54794?2|5=<<6<j8;<6:4?43<27?5<4>d39>0d>=jo1v8;;:187821?3;o:63;8b8101=:<1n1=i<4=5c4>g`<uz?>?7>54z?722<6l<168565256891>>28n970:n6;`e?xu2=;0;69u247595a2<5=2?6?:;;<6;1?7c:27?m84mf:p107=83>p1988:0f0?82?838?863;8082`7=:<h>1nk5rs473>5<3s4>=;7?k2:?73f<5<=168:j51e0891g42kl0q~;i6;29g~;3>?09?l524`095a4<5<>86:j4=463>2b<5<9h6:j4=41;>2b<5<9?6:j4=413>2b<5<8h6:j4=40;>2b<5<8?6:j4=403>2b<uz?>j7>53z?7ed<5<=169;>51e78900328n97p}:5d83>1}:<h31>9:4=443>4b534?=>7?k3:?626<6l:1v8;k:18782f038?863:6182`6=:=?81=i<4=440>4b53ty>9n4?:2y>0d1=:=>0188?:0f7?831<3;o?6s|54`94?g|5=i86?;;;<6`1?42<27?o54=559>0a4=:<>019m6:377?82c=38>863;d78111=:<l21>8:4=5c5>72334?=<7?k6:p10g=83;9w0:l4;060>;3kk0999524bd9602<5=no6?;;;<6g4?42<27?i>4=559>0`2=:<>019h;:377?82c938>863;e48111=:<l<1>8:4=5d;>73334>n;7<:4:?7b<<5==168ko5246890622;??70:n5;070>;2>80:h>5rs47:>5<5;r7?ol4>d29>0a1=9m9019j7:0f0?82b13;o?63;d882`6=:<lk1=i=4=5g`>4b434>mn7?k3:?7`d<6l:168hj51e1891cb28n870:ic;3g7>;3mo0:h>524gf95a5<5=ln6<j<;<732?7c;27?ho4>d29>0c6=9m9019h>:0f0?82an3;o?63;f382`6=:=9:1=i=4=422>4b434?;;7?k3:?7b6<6l:169=<51e18906428n870;?8;3g7>;28=0:h>5251;95a5<5<:j6<j<;<73f?7c;27?hn4>d29>0`d=9m>019o;:367?xu2=10;6?=t=5ab>4b534>o;7?k2:?7`=<6l;168h751e0891b>28n970:ja;3g6>;3mj0:h?524g`95a4<5=nj6<j=;<6f`?7c:27?ih4>d39>0ce=9m8019ki:0f1?82al3;o>63;fd82`7=:=9<1=i<4=5fa>4b534>m<7?k2:?7b4<6l;168kh51e0891`528n970;?0;3g6>;2880:h?5251595a4<5=l86<j=;<736?7c:27><>4>d39>15>=9m8018>;:0f1?83713;o>63:0`82`7=:=9h1=i<4=5f`>4b534>nn7?k2:?7e6<5<=1v567:1818>??3hm70676;070>{t0191<7<t=9:1>g`<512:6?:;;|q;<0<72;q645:5bg9><=4=:=>0q~67a;296~;?000ij637868101=z{12i6=4<{<:;4?da342387<;4:?;3c<6l;1v56l:1818>?>38?9637808ab>{t01o1<7<t=8da>72334ho=7li;|qa`7<72;q645j5bg9>fa7=:=>0q~lk3;297~;?0m098952894971=:01;1?95rscf7>5<5s42<i7li;<:;=?43<2wxo=k50;0x96442;>?70:l0;314>{tk9n1<7<t=202>72334>h<7?>f:pg5e=838p1>?i:367?82d83;:;6s|c1`94?4|5:;o6?:;;<6a`?7492wxo=o50;0x967e2;>?70:md;d`?xud800;6?u230;9612<5=ho6kl4}ra3<?6=:r78=:4=459>0gb=nh1vn>8:181856=38?863;be8e=>{tk9?1<7<t=232>72334>ih7h7;|q`41<72;q6?=h5256891dc2o=0q~m?3;296~;48m0989524cf9540<uzi;>7>52z?04g<5<=168oj51078yve793:1>v3<088101=:<kn1=>>4}ra34?6=:r78<:4=459>0gb=98>0q~lif;296~;48<0989524cf9545<uzhmi7>52z?046<5<=168oj51008yvdal3:1>v3<008101=:<kn1=<?4}r`eg?6=:r79jk4=459>0gb=98:0q~lia;296~;5nk0989524cf955e<uzhm57>52z?1b<<5<=168oj51138yvda03:1>v3=f68101=:<kn1j;5rscd4>5<5s48m97<;4:?7fd<6;81voh9:18184a;38?863;b`8eg>{tjo?1<7<t=3d2>72334>im7hm;|qab1<72;q6>hh5256891df2ok0q~li3;296~;5mm0989524cc9b<=z{kl96=4={<0ff?43<27?nl4i8:pfc7=838p1?k6:367?82ei3l<7p}l6d83>7}:;l91>9:4=5`b>4713tyh:i4?:3y>7`7=:=>019ln:036?xud>j0;6?u23ed9612<5=hj6<=?;|q`2g<72;q6?ij5256891df28;?7p}l6`83>7}:;mh1>9:4=5`b>4743tyh:44?:3y>7a?=:=>019ln:031?xud>10;6?u23e59612<5=hj6<?>;|q`22<72;q6?i;5256891df28;;7p}l6783>7}:;m91>9:4=5`b>46d3tyh:84?:3y>7a7=:=>019ln:022?xud>:0;6?u23bf9612<5=hj6k84}ra56?6=:r78oo4=459>0g1=9:;0q~m91;296~;4k00989524c59bf=z{j<;6=4={<1`3?43<27?n:4ib:pg0`=838p1>m::367?82e?3lj7p}l5d83>7}:;j91>9:4=5`4>c?<uzi>h7>52z?0g4<5<=168o95f99~wf3d2909w0=mf;070>;3j>0m;6s|c4`94?4|5:ho6?:;;<6a3?76>2wxo8o50;0x96de2;>?70:m7;321>{tk<21<7<t=2`4>72334>i;7?<0:pg01=838p1>l::367?82e?3;:86s|c4494?4|5:h86?:;;<6a3?76;2wxo8;50;0x96d62;>?70:m7;326>{tk<>1<7<t=2ce>72334>i;7?>1:pg05=838p1>ok:367?82e?3;:<6s|c4094?4|5:ki6?:;;<6a3?77k2wxo8?50;0x96g>2;>?70:m7;335>{tk<:1<7<t=2c4>72334>i;7h9;|q`0c<72;q6?l;5256891d3289:7p}l4e83>7}:;h;1>9:4=5`7>ce<uzi?o7>52z?0=c<5<=168o:5fc9~wf2e2909w0=6d;070>;3j=0mm6s|c5c94?4|5:3i6?:;;<6a0?`>3tyh844?:3y>7<?=:=>019l;:g:8yve303:1>v3<968101=:<k>1j:5rsb64>5<5s49297<;4:?7f1<69?1vn:9:18185>;38?863;b58250=z{j>>6=4={<1:5?43<27?n94>319~wf232909w0=7f;070>;3j=0:=95rsb61>5<5s493n7<;4:?7f1<69:1vn:>:18185?138?863;b58257=z{j>;6=4={<1;3?43<27?n94>109~wf5a2909w0=75;070>;3j=0:==5rsb1f>5<5s493?7<;4:?7f1<68j1vn=k:18185?938?863;b58244=z{j9h6=4={<14b?43<27?n94i6:pg6d=838p1>9k:367?82e93;8=6s|c2c94?4|5:=i6?:;;<6a5?`d3tyh?44?:3y>72?=:=>019l>:g`8yve4?3:1>v3<748101=:<k;1jl5rsb15>5<5s49<?7<;4:?7f4<a12wxo>;50;0x96162;>?70:m1;d;?xud;=0;6?u237d9612<5=h:6k94}ra07?6=:r78:i4=459>0g7=98<0q~m<2;296~;4>k0989524c39543<uzi8=7>52z?02<<5<=168o?51228yve483:1>v3<668101=:<k;1=<:4}ra1b?6=:r78:84=459>0g7=9890q~m=e;296~;4>:0989524c39544<uzi9o7>52z?01c<5<=168o?51038yve5j3:1>v3<5e8101=:<k;1=<>4}ra1e?6=:r789o4=459>0g7=99i0q~m=9;296~;4=00989524c39557<uzi947>52z?012<5<=168o?5f79~wf402909w0=:5;070>;3il0:?<5rsb05>5<5s49>?7<;4:?7e`<ak2wxo?;50;0x96362;>?70:ne;da?xud:=0;6?u235d9612<5=kn6ko4}ra17?6=:r788i4=459>0dc=n01vn<>:181853138?863;ad8e<>{tk;:1<7<t=264>72334>ji7h8;|q`5c<72;q6?9;5256891gb28;=7p}l1d83>7}:;=91>9:4=5cf>4723tyh=i4?:3y>717=:=>019oj:013?xud9j0;6?u232d9612<5=kn6<?;;|q`5g<72;q6?>j5256891gb28;87p}l1`83>7}:;:h1>9:4=5cf>4753tyh=44?:3y>76?=:=>019oj:032?xud910;6?u23259612<5=kn6<??;|q`53<72;q6?>=5256891gb28:h7p}l1483>7}:;:;1>9:4=5cf>4663tyh=94?:3y>77`=:=>019oj:g48yve6;3:1>v3<2e8101=:<hh1=>?4}ra26?6=:r78>o4=459>0dd=nj1vn?>:181855138?863;ac8ef>{tk8:1<7<t=204>72334>jn7hn;|q`4c<72;q6??;5256891ge2o30q~m?6;296~;49:0989524``9b==z{kli6=4={<0e`?43<27?mo4i7:pg3`=838p1>k::367?82fj3;::6s|c7694?4|5:im6?:;;<6bf?76=2wxo8750;0x96d>2;>?70:nb;304>{tk=o1<7<t=2c0>72334>jn7?>4:pg15=838p1>6k:367?82fj3;:?6s|c2:94?4|5:=<6?:;;<6bf?76:2wxo?j50;0x96062;>?70:nb;325>{tk;81<7<t=26a>72334>jn7?>0:pg41=838p1>=::367?82fj3;;o6s|bg294?4|5;o<6?:;;<6bf?7792wxo:o50;368>0m38?86386782`7=:>;n1?;52627973=:>9n1?o5261g97g=:>9l1?o5260297g=:>8l1?o5263297g=:>;;1?o5263097g=:>9>1?o5261797g=:>::1?o5262397g=:=on1?o525gg97g=:>:<1?o5262597g=:>;o1?o5rscf5>5<6<r734=4=459>27b=;116:>;5399>25b=;j16:=k53b9>25`=;j16:<>53b9>24`=;j16:?>53b9>277=;j16:?<53b9>252=;j16:=;53b9>266=;j16:>?53b9>1cb=;j169kk53b9>260=;j16:>953b9>27c=;j1vn96:18183a?3;o>637658101=z{j==6=4<{<:4a?43?27<494=459>3=3=jo1vn9::1878>?138?;637858102=:?191>9:4=6:7>g`<uzi<87>55z?;<5<5<>1645<525589=>02;><70972;070>;00:0ij6s|c6194?5|512o6?;;;<5;5?43<27<4?4mf:pg24=839p1:6?:367?81?93hm70lk1;076>{tk>;1<76t=8da>73334=n;7li;<:21?7c=27<;k4=459>3=6=jo16;o>51e189=022;><709j5;073>{tk>21<7=t=6:5>72334?mm7<;7:?42=<5<>1vn98:1810~;00<0989527949fc=:=o31>994=644>72034<;57<;7:?55g<5<>16:8o52558933?2;><708:d;073>;1=k098:526729611<5??n6?:8;<457?43?27=:<4=469>230=:==01;8;:364?801138?;639668102=:>?i1>994=74b>72034<=j7<;7:?52a<5<>16::<5255893172;><70885;073>;1?:098:526g39611<5?on6?:8;<4fe?43?27=i;4=469>2`4=:==01;jj:364?80ci38?;639d78102=:>m81>994=7af>7203tyih84?:06x92b32;><709k3;073>;0l;098:527e39611<5>n;6?:8;<5`b?43?27<oh4=469>3fb=:==01:ml:364?81dj38?;6371g8102=:08o1>994=6gb><0<5>h;6<j=;<5:5?43<27<5?4mf:?4b`<5<>164=>5255892c128n8709j8;;5?xueml0;64u26619612<5=i;6;h4=5ca>37<5=kn6;?4=5`2>37<5=h?6;?4=5`4>37<5=hj6;?4=5`g>37<uzhnh7>59z?535<5<=168n>56e9>0dd==o168lk55g9>0g7==o168o:55g9>0g1==o168oo55g9>0gb==o1vokl:18:801l38?863;c185g>;3ik0>i63;ad86a>;3j80>i63;b586a>;3j>0>i63;b`86a>;3jm0>i6s|bd`94??|5?<j6?:;;<6`4?1?34>jn7;k;<6ba?3c34>i=7;k;<6a0?3c34>i;7;k;<6ae?3c34>ih7;k;|qaad<720q6:;95256891e72>=019om:7c891gb2?k019l>:7c891d32?k019l8:7c891df2?k019lk:7c8yvdb13:15v39658101=:<j:1;;524``92<=:<ho1:4524c392<=:<k>1:4524c592<=:<kk1:4524cf92<=z{ko36=46{<455?43<27?o=485:?7eg<1027?mh498:?7f4<1027?n9498:?7f2<1027?nl498:?7fa<102wxnh950;;x933b2;>?70:l0;57?82fj3<<70:ne;44?82e93<<70:m4;44?82e?3<<70:ma;44?82el3<<7p}me783><}:><h1>9:4=5a3>25<5=ki6;84=5cf>30<5=h:6;84=5`7>30<5=h<6;84=5`b>30<5=ho6;84}r`f1?6=1r7=954=459>0f6=?;168ll5649>0dc=><168o?5649>0g2=><168o95649>0gg=><168oj5649~wgc4290::v371g8ab>;19k09895277495a2<5?8o6>;4=716>63<5?:o6>o4=72f>6g<5?:m6>o4=733>6g<5?;m6>o4=703>6g<5?8:6>o4=701>6g<5?:?6>o4=726>6g<5?9;6>o4=712>6g<5<lo6>o4=4df>6g<5?9=6>o4=714>6g<5?8n6>o4}r`f6?6=9?q64<k5bg9>25?=:=>01:89:0f0?805l39?708<5;17?807l392708?e;1:?807n392708>0;1:?806n392708=0;1:?8059392708=2;1:?807<392708?5;1:?8048392708<1;1:?83al39270;ie;1:?804>392708<7;1:?805m3927p}me083>3}:?m>1nk5266695a4<5?l:6?:;;<4e6?7c:27<>h4<e:?45d<4m2wxnh>50;4x92b42kl01;9>:0f1?80bm38?8639eg82`7=:?;o1?n5270c97f=z{knm6=49{<5g6?da34<=i7?k2:?5ad<5<=16:hl51e08924b2:h01:?n:2`8yvdcm3:1:v38d08ab>;1>k0:h?526d49612<5?o<6<j=;<51a?5f34=:m7=n;|qa`a<72?q6;i>5bg9>23>=9m801;k=:367?80b;3;o>6382d80=>;09h0856s|bea94?0|5>im6oh4=746>4b534<oi7<;4:?5`c<6l;16;?j53d9>34?=;l1vojm:18581dm3hm70892;3g6>;1lh0989526e`95a4<5>8o6>m4=63:>6e<uzhom7>56z?4ga<en27=9k4>d39>2a0=:=>01;j8:0f1?815l39i709>9;1a?xuel00;6;u27ba9fc=:><i1=i<4=7f1>72334<o?7?k2:?46a<4i27<=44<a:pfa>=83<p1:mm:cd8933>28n9708le;070>;1ko0:h?5273f97<=:?831?45rscge>5<6nr7>jl4=459>0f6=l1o019m?:e:`?82fj3n3n63;ac8g<<=:<hh1h564=5ca>a>034>ji7j7b:?7e`<c00168lk5d9:891gb2m2<70:m1;f;f>;3j80o44524c39`=><5=h:6i68;<6a0?b?j27?n94k889>0g2=l12019l;:e:4?82e?3n3n63;b68g<<=:<k=1h564=5`4>a>034>im7j7b:?7fd<c00168oo5d9:891df2m2<70:md;f;f>;3jm0o44524cf9`=><5=ho6i68;|qaa1<72:q6;;6525689=0128n870:nb;d5?xuel>0;6>u27`g95a5<5<li6?:;;<:35?7c;2wxo:>50;0x90`028n870;94;00e>{tl831<7=t=201>g`<5j326?:;;<:b`?da3tyo=54?:2y>776=jo16o46525689=gd2kl0q~j>7;297~;49l0ij63l968101=:0hh1nk5rse35>5<4s49:o7li;<a:2?43<273ml4mf:p`43=839p1>?n:cd89f?22;>?706n9;`e?xuc9=0;6>u230:9fc=:k0>1>9:4=9c;>g`<uzn:?7>53z?053<en27h5>4=459><d1=jo1vi?=:180856<3hm70m62;070>;?i?0ij6s|d0294?5|5:;;6oh4=b;3>723342j87li;|qg4c<72:q6?=k5bg9>g=`=:=>015o<:cd8yvb7m3:1?v3<0b8ab>;d0l0989528`09fc=z{m:o6=4<{<13e?da34i3h7<;4:?;e4<en2wxh=m50;1x966?2kl01n6l:367?8>f83hm7p}k0c83>6}:;9<1nk52c9`9612<513m6oh4}rf3e?6=;r78<94mf:?`<d<5<=1644k5bg9~wa6>2908w0=?2;`e?8e?138?86379e8ab>{tl921<7=t=223>g`<5j236?:;;<::g?da3tyo<:4?:2y>6cc=jo16o59525689=?e2kl0q~j?5;297~;5nh0ij63l848101=:0031nk5rse27>5<4s48m47li;<a;0?43<273554mf:p`55=839p1?h9:cd89f>42;>?70667;`e?xuc8;0;6>u22g69fc=:k181>9:4=9;5>g`<uzn;=7>53z?1b7<en27h4<4=459><<3=jo1vi>?:18084a83hm70m70;070>;?1=0ij6s|cgd94?5|5;on6oh4=b5e>7233422?7li;|q`b`<72:q6>hm5bg9>g2c=:=>0157=:cd8yveal3:1?v3=e`8ab>;d?m0989528839fc=z{jlh6=4<{<0f<?da34i<o7<;4:?;=5<en2wxh:750;1x96c52kl01nh6:367?8?7l3hm7p}k7983>6}:;l:1nk52cg:9612<50:h6oh4}rf43?6=;r78hh4mf:?`b2<5<=165=l5bg9~wa112908w0=kc;`e?8ea>38?86360`8ab>{tl>?1<7=t=2fb>g`<5jl>6?:;;<;3=?da3tyo;94?:2y>7a>=jo16ok:525689<6?2kl0q~j83;297~;4l?0ij63lf28101=:19=1nk5rse51>5<4s49o87li;<ae6?43<272<;4mf:p`27=839p1>j=:cd89f`62;>?707?5;`e?xuc?90;6>u23e29fc=:ko:1>9:4=827>g`<uzn=i7>53z?0gf<en27hih4=459>=54=jo1vi8k:18085di3hm70mjd;070>;>880ij6s|d7a94?5|5:i36oh4=bg`>723343;<7li;|qg2g<72:q6?n85bg9>g`d=:=>015hi:cd8yvb1i3:1?v3<c58ab>;dmh0989528gg9fc=z{m<26=4<{<1`6?da34in57<;4:?;ba<en2wxh;650;1x96e72kl01nk7:367?8>ak3hm7p}k6683>6}:;ko1nk52cd59612<51li6oh4}rf52?6=;r78nn4mf:?`a3<5<=164ko5bg9~wa022908w0=ma;`e?8eb=38?8637f88ab>{tl?91<7=t=2`5>g`<5jo86?:;;<:e3?da3tyo:?4?:2y>7g2=jo16oh<525689=`12kl0q~j91;297~;4j;0ij63le08101=:0o?1nk5rse43>5<4s49i<7li;<af4?43<273j94mf:p`0`=839p1>oj:cd89fba2;>?706i3;`e?xuc=l0;6>u23`a9fc=:kmo1>9:4=9d1>g`<uzn>h7>53z?0ed<en27hhi4=459><c7=jo1vi;l:18085f03hm70mkc;070>;?n90ij6s|d4`94?5|5:k=6oh4=bfa>723342nj7li;|qg1d<72:q6?l:5bg9>gag=:=>015kj:cd8yvb203:1?v3<a18ab>;dl10989528da9fc=z{m?<6=4<{<1:a?da34io;7<;4:?;ag<en2wxh8850;1x96?d2kl01nj9:367?8>bi3hm7p}k5483>6}:;0k1nk52ce79612<51o26oh4}rf60?6=;r78554mf:?``1<5<=164h65bg9~wa342908w0=66;`e?8ec;38?8637e68ab>{tl<81<7=t=2;7>g`<5jn96?:;;<:f2?da3tyo9<4?:2y>7<4=jo16oi?525689=c22kl0q~j:0;297~;4190ij63ld18101=:0l>1nk5rse6e>5<4s493i7li;<a`b?43<273i>4mf:p`1b=839p1>6n:cd89fec2;>?706j1;`e?xuc<j0;6>u239:9fc=:kji1>9:4=9g3>g`<uzn?n7>53z?0<3<en27hoo4=459><a`=jo1vi:n:18085?<3hm70mla;070>;?ll0ij6s|d5;94?5|5:296oh4=ba:>723342oh7li;|qg0=<72:q6?5>5bg9>gf>=:=>015jl:cd8yvb3?3:1?v3<7d8ab>;dk>0989528e`9fc=z{m>=6=4<{<14g?da34ih:7<;4:?;`d<en2wxh9;50;1x961f2kl01nm::367?8>c13hm7p}k4583>6}:;>21nk52cb69612<51n36oh4}rf76?6=;r78;94mf:?`g7<5<=164i85bg9~wa262908w0=82;`e?8ed938?8637d48ab>{tl=:1<7=t=253>g`<5ji;6?:;;<:g0?da3tyo?k4?:2y>73c=jo16ooh525689=b42kl0q~j<e;297~;4>j0ij63lbd8101=:0m81nk5rse1g>5<4s49=m7li;<aa`?43<273h<4mf:p`6e=839p1>87:cd89fdd2;>?706k0;`e?xuc;k0;6>u23749fc=:kkh1>9:4=9ae>g`<uzn8m7>53z?021<en27hnl4=459><fc=jo1vi=6:180851:3hm70mm9;070>;?km0ij6s|d2594?5|5:?n6oh4=b`4>723342hn7li;|qg73<72:q6?8m5bg9>gg0=:=>015mn:cd8yvb4=3:1?v3<5`8ab>;dj<0989528b;9fc=z{m9?6=4<{<16<?da34ii87<;4:?;g=<en2wxh>=50;1x96312kl01nl<:367?8>d?3hm7p}k3383>6}:;<>1nk52cc09612<51i=6oh4}rf05?6=;r789?4mf:?`f4<5<=164n;5bg9~wa572908w0=:0;`e?8ee838?8637c58ab>{tl;l1<7=t=26f>g`<5jkm6?:;;<:`7?da3tyo>h4?:2y>71e=jo16olk525689=e52kl0q~j=c;297~;4<10ij63lab8101=:0j:1nk5rse0a>5<4s49?:7li;<abf?43<273nk4mf:p`7g=839p1>:;:cd89fgf2;>?706me;`e?xuc:00;6>u23509fc=:kh31>9:4=9`g>g`<uzn947>53z?005<en27hm54=459><ge=jo1vi<8:180854m3hm70mn7;070>;?jk0ij6s|d3494?5|5:9h6oh4=bc5>723342im7li;|qg60<72:q6?>o5bg9>gd3=:=>015l6:cd8yvb5<3:1?v3<398ab>;di=0989528c:9fc=z{m886=4<{<102?da34ij?7<;4:?;f2<en2wxh??50;1x96552kl01no>:367?8>e=3hm7p}k2183>6}:;::1nk52c`29612<51h?6oh4}rf2b?6=;r78>h4mf:?`=c<5<=164o=5bg9~wa7b2908w0==c;`e?8e>m38?8637b38ab>{tl8n1<7=t=20b>g`<5j3o6?:;;<:a5?da3tyo=n4?:2y>77>=jo16o4m525689=d72kl0q~j>b;297~;4:?0ij63l9c8101=:0hl1nk5rse3b>5<4s49987li;<a:e?43<273mh4mf:p`47=839p1>?=:cd89f?62;>?706n5;`e?xuc8?0;6>u22ga9fc=:k1<1>9:4=9;b>g`<uzn<m7>53z?0a1<en27hjl4=459>=5c=jo1vi8i:18085dm3hm70mjf;070>;>8:0ij6s|d7694?5|5:h36oh4=bg7>723342m47li;|qg1<<72:q6?l<5bg9>ga?=:=>015kk:cd8yvb3m3:1?v3<8b8ab>;dkl0989528d09fc=z{m>86=4<{<142?da34ih?7<;4:?;`2<en2wxh>650;1x96072kl01nl7:367?8>dk3hm7p}k2e83>6}:;=k1nk52c`f9612<51i:6oh4}rf16?6=;r78?94mf:?`e7<5<=164o85bg9~wf`e2908w0<j6;`e?8e0j38?86378g8ab>{t<9>1<7<t=200>g`<5:896?:;;|q746<72;q6???5bg9>776=:=>0q~:?2;296~;49o0ij63<1d8101=z{=::6=4={<12`?da349:o7<;4:p056=838p1>?m:cd8967f2;>?7p}<fg83>7}:;831nk5230:9612<uz9mi7>52z?052<en278=;4=459~w6`c2909w0=>5;`e?856<38?86s|3g`94?4|5:;:6oh4=233>7233ty8jl4?:3y>75`=jo16?=k52568yv5a13:1>v3<0e8ab>;48j09895rs2d;>5<5s49;n7li;<13e?43<2wx?k950;0x966>2kl01>>7:367?xu4n?0;6?u23159fc=:;9<1>9:4}r1e1?6=:r78<84mf:?041<5<=1v>h;:181857;3hm70=?2;070>{t;o91<7<t=222>g`<5::;6?:;;|q0b7<72;q6>kh5bg9>6cc=:=>0q~=i0;296~;5nk0ij63=f`8101=z{:om6=4={<0e=?da348m47<;4:p7`c=838p1?h8:cd897`12;>?7p}<ee83>7}::o?1nk522g69612<uz9no7>52z?1b6<en279j?4=459~w6ce2909w0<i1;`e?84a838?86s|3dc94?4|5;om6oh4=3gf>7233ty8i44?:3y>6`b=jo16>hm52568yv5b03:1>v3=ec8ab>;5mh09895rs2g4>5<5s48n57li;<0f<?43<2wx8;:50;0x96c42kl01>k=:367?xu3>:0;6?u23d39fc=:;l:1>9:4}r656?6=:r78hk4mf:?0``<5<=1v98>:18185cl3hm70=kc;070>{t<?:1<7<t=2fa>g`<5:nj6?:;;|q71c<72;q6?i75bg9>7a>=:=>0q~::e;296~;4l>0ij63<d78101=z{=?o6=4={<1g1?da349o87<;4:p00e=838p1>j<:cd896b52;>?7p};5c83>7}:;m;1nk523e29612<uz>>57>52z?0ga<en278on4=459~w13?2909w0=lb;`e?85di38?86s|44594?4|5:i26oh4=2a;>7233ty?9;4?:3y>7f1=jo16?n852568yv22=3:1>v3<c48ab>;4k=09895rs577>5<5s49h?7li;<1`6?43<2wx88=50;0x96e62kl01>m?:367?xu3=;0;6?u23cd9fc=:;ko1>9:4}r665?6=:r78ni4mf:?0ff<5<=1v9;?:18185ej3hm70=ma;070>{t<=o1<7<t=2`4>g`<5:h=6?:;;|q70a<72;q6?o;5bg9>7g2=:=>0q~:;c;296~;4j:0ij63<b38101=z{=>i6=4={<1a5?da349i<7<;4:p01g=838p1>oi:cd896gb2;>?7p};4883>7}:;hn1nk523`a9612<uz>?47>52z?0eg<en278ml4=459~w1202909w0=n9;`e?85f038?86s|45494?4|5:k<6oh4=2c5>7233ty?884?:3y>7d3=jo16?l:52568yv23;3:1>v3<a08ab>;4i909895rs561>5<5s492j7li;<1:a?43<2wx89?50;0x96?c2kl01>7l:367?xu3<90;6?u238`9fc=:;0k1>9:4}r60b?6=:r78544mf:?0==<5<=1v9=j:18185>?3hm70=66;070>{t<:n1<7<t=2;6>g`<5:3?6?:;;|q77f<72;q6?4=5bg9>7<4=:=>0q~:<b;296~;4180ij63<918101=z{=9j6=4={<1;b?da3493i7<;4:p06>=838p1>6m:cd896>f2;>?7p};3683>7}:;131nk5239:9612<uz>8:7>52z?0<2<en2784;4=459~w1522909w0=75;`e?85?<38?86s|42694?4|5:286oh4=2:1>7233ty??>4?:3y>7=7=jo16?5>52568yv24:3:1>v3<7g8ab>;4?l09895rs512>5<5s49<h7li;<14g?43<2wx8>>50;0x961e2kl01>9n:367?xu3:o0;6?u236;9fc=:;>21>9:4}r61`?6=:r78;84mf:?031<5<=1v9<l:181850;3hm70=82;070>{t<;h1<7<t=252>g`<5:=;6?:;;|q76d<72;q6?;h5bg9>73c=:=>0q~:=9;296~;4>m0ij63<6b8101=z{=836=4={<15f?da349=m7<;4:p071=838p1>86:cd8960?2;>?7p};2783>7}:;?=1nk523749612<uz>997>52z?020<en278:94=459~w1432909w0=93;`e?851:38?86s|43094?4|5:?m6oh4=27f>7233ty?><4?:3y>70b=jo16?8m52568yv2583:1>v3<5c8ab>;4=h09895rs53e>5<5s49>57li;<16<?43<2wx8<k50;0x96302kl01>;9:367?xu39m0;6?u23479fc=:;<>1>9:4}r62g?6=:r789>4mf:?017<5<=1v9?m:18185293hm70=:0;070>{t<8k1<7<t=26e>g`<5:>n6?:;;|q75<<72;q6?9j5bg9>71e=:=>0q~:>7;296~;4<00ij63<498101=z{=;=6=4={<173?da349?:7<;4:p043=838p1>:::cd896232;>?7p};1583>7}:;=91nk523509612<uz>:?7>52z?004<en2788=4=459~w1752909w0=<f;`e?854m38?86s|40394?4|5:9o6oh4=21`>7233ty?==4?:3y>76d=jo16?>o52568yv27n3:1>v3<388ab>;4;109895rs52f>5<5s498;7li;<102?43<2wx8=m50;0x96542kl01>==:367?xu38k0;6?u23239fc=:;::1>9:4}r63e?6=:r78>k4mf:?06`<5<=1v9>6:181855l3hm70==c;070>{t<921<7<t=20a>g`<5:8j6?:;;|q742<72;q6??75bg9>77>=:=>0q~:?6;296~;4:>0ij63<278101=z{=:>6=4={<111?da349987<;4:p7ce=838p1>?<:cd896752;>?7p}<f083>7}::on1nk522ga9612<uz>=97>52z?0a0<en278i94=459~w13f2909w0=lf;`e?85dm38?86s|45d94?4|5:h26oh4=2`;>7233ty?894?:3y>7d5=jo16?l<52568yv2413:1>v3<8e8ab>;40j09895rs50f>5<5s49<;7li;<142?43<2wx8?=50;0x96062kl01>8?:367?xu3910;6?u235`9fc=:;=k1>9:4}r63`?6=:r78?84mf:?071<5<=1v>k9:18184b?3hm70<j6;070>{tj<;1<7<t=8;0>6g<50:m6?:;;|qa3=<72;q654=53b9>=60=:=>0q~l73;296~;>1=08m636408101=z{k2n6=4={<;:0?5d343?o7<;4:pf<?=838p147::2c89<302;>?7p}ma583>7}:10?1?n529709612<uzhjj7>52z?:=3<4=272:i4=459~wgdf2909w0766;1;?8?0038?86s|bb794?4|5h;>6>o4=8:0>7233tyih=4?:3y>e43=;j1655k52568yvd2k3:1>v3n1780e>;>9h09895rsc44>5<5s4k::7=l;<;11?43<2wxn:>50;0x9d702:k014<j:367?xue?80;6?u2a0597f=:1;l1>9:4}r`46?6=:r7j=54<5:?:75<5<=1vo9<:1818g60393707<1;070>{tj>>1<7<t=`04>6g<50996?:;;|qa30<72;q6m?953b9>=65=:=>0q~l86;296~;f:108m636358101=z{k=<6=4={<c1<?5d343897<;4:pf2?=838p1l<6:2c89<502;>?7p}m7`83>7}:i;31?n5292:9612<uzh<n7>52z?b6d<4=272?44=459~wg1d2909w0o=a;1;?8?4i38?86s|b6f94?4|5h926>o4=81a>7233tyi;h4?:3y>e6?=;j165>m52568yvd0n3:1>v3n3`80e>;>;m09895rsc:3>5<5s4k8m7=l;<;0a?43<2wxn5?50;0x9d5e2:k014=i:367?xue0;0;6?u2a2`97f=:1=:1>9:4}r`;0?6=:r7j?n4<5:?:07<5<=1vo6::1818g4k393707;3;070>{tj1<1<7<t=`6a>6g<50>?6?:;;|qa<2<72;q6m9l53b9>=13=:=>0q~l78;296~;f<j08m636478101=z{k226=4={<c7g?5d343?;7<;4:pf=g=838p1l:k:2c89<2?2;>?7p}m8c83>7}:i=n1?n5295;9612<uzh3o7>52z?b0`<4=2728l4=459~wg>c2909w0o;e;1;?8?3j38?86s|b9d94?4|5h?o6>o4=86g>7233tyi5=4?:3y>e0b=;j1659k52568yvd>93:1>v3n5d80e>;><o09895rsc;1>5<5s4k>i7=l;<;64?43<2wxn4=50;0x9d3a2:k014;>:367?xue1=0;6?u2a4d97f=:1<81>9:4}r`:1?6=:r7j:=4<5:?:16<5<=1vo79:1818g18393707:4;070>{tj0=1<7<t=`4e>6g<50?>6?:;;|qa==<72;q6m;h53b9>=00=:=>0q~l6a;296~;f?908m636598101=z{k3i6=4={<c44?5d343>57<;4:pf<e=838p1l9>:2c89<3f2;>?7p}m9e83>7}:i>;1?n5294`9612<uzh2i7>52z?b37<4=2729n4=459~wg?a2909w0o82;1;?8?2l38?86s|b`294?4|5h2:6>o4=87f>7233tyim<4?:3y>e=7=;j1658h52568yvdf:3:1>v3n8380e>;>>909895rscc0>5<5s4k3>7=l;<;55?43<2wxnl;50;0x9d>42:k0148<:367?xuei?0;6?u2a9197f=:1?>1>9:4}r`b3?6=:r7j494<5:?:20<5<=1voo7:1818g?<39370796;070>{tjh31<7<t=`;0>6g<50<<6?:;;|qaed<72;q6m4=53b9>=3>=:=>0q~lnb;296~;f1=08m636688101=z{kkh6=4={<c:0?5d343=m7<;4:pfdb=838p1l7::2c89<0e2;>?7p}mad83>7}:i0?1?n5297a9612<uzhi<7>52z?b=3<4=272:h4=459~wgd62909w0o66;1;?8?1n38?86s|bc094?4|5hk>6>o4=853>7233tyin>4?:3y>ed3=;j165:?52568yvde<3:1>v3na780e>;>?;09895rsc`6>5<5s4kj:7=l;<;47?43<2wxno850;0x9dg02:k0149;:367?xuej>0;6?u2a`597f=:1>?1>9:4}r`a<?6=:r7jm54<5:?:33<5<=1vol6:1818gf039370787;070>{tjkh1<7<t=8c6>6g<50=26?:;;|qaff<72;q65l;53b9>=2g=:=>0q~lmd;296~;>i?08m6367c8101=z{khn6=4={<;b2?5d343<o7<;4:pfg`=838p14o8:2c89<1c2;>?7p}mc183>7}:1h=1?n5296g9612<uzhh=7>52z?:e=<4=272;k4=459~wge52909w07n8;1;?8??838?86s|bb194?4|50h<6>o4=8:2>7233tyio94?:3y>=g1=;j1655<52568yvdd>3:1>v36b980e>;>0=09895rsca4>5<5s43i47=l;<;;1?43<2wxnn650;0x9<d>2:k01469:367?xuek00;6?u29c;97f=:11=1>9:4}r``e?6=:r72nl4<5:?:<=<5<=1vomm:1818?ei39370779;070>{tjji1<7<t=8a:>6g<502j6?:;;|qaga<72;q65n753b9>==d=:=>0q~lle;296~;>kh08m6368b8101=z{kim6=4={<;`e?5d3433h7<;4:pf04=838p14mm:2c89<772;>?7p}m5283>7}:1jh1?n529039612<uzh>87>52z?:gf<4=272=?4=459~wg322909w07lc;1;?8?6;38?86s|b4494?4|50ni6>o4=837>7233tyi9:4?:3y>=ad=;j165<;52568yvd203:1>v36db80e>;>9?09895rsc7:>5<5s43oo7=l;<;23?43<2wxn8o50;0x9<bc2:k014?7:367?xue=k0;6?u29ef97f=:1831>9:4}r`6`?6=:r72hh4<5:?:5g<5<=1vo;j:1818?cm393707>c;070>{tj<l1<7<t=8gg>6g<50;o6?:;;|qa25<72;q65hj53b9>=4c=:=>0q~l91;296~;>ml08m6361g8101=z{k<96=4={<;fa?5d3439<7<;4:pf35=838p14ki:2c89<462;>?7p}m6583>7}:1ll1?n529309612<uzh=97>52z?:b5<4=272>>4=459~wg012909w07i0;1;?8?5<38?86s|b7:94?4|5h::6>o4=805>7233tyi:44?:3y>e57=;j165?952568yvd1i3:1>v3n0380e>;>:109895rsc4a>5<5s4k;>7=l;<;1=?43<2wxn;m50;0x9d642:k014<n:367?xue>m0;6?u2a1197f=:1;h1>9:4}r`5a?6=:r7j<94<5:?:6f<5<=1vo8i:1818g7<393707=d;070>{tim;1<7=t=8;0>6?<50:m6oh4=9:e>7233tyjj54?:2y>=<5=;k165>85bg9><g0=:=>0q~l?3;297~;>1=085636408ab>;?k809895rsc2f>5<4s43287=m;<;7g?da342ho7<;4:pf4?=839p147::2;89<302kl015j8:367?xue:=0;6>u298797g=:1?81nk528d09612<uzh9j7>53z?:=3<4<272:i4mf:?;aa<5<=1vo=n:1808?>>39=70788;`e?8>a038?86s|b5794?5|5h;>6>74=8:0>g`<50:86?:;;|qa15<72:q6m<;53c9>==c=jo165=k52568yvgck3:1?v3n1780=>;>9h0ij6379`8101=z{ho<6=4<{<c22?5e343997li;<:b1?43<2wxmk>50;1x9d702:3014<j:cd89=gb2;>?7p}nf083>6}:i8=1?o5293d9fc=:0hl1>9:4}rce6?6=;r7j=54<4:?:75<en273n=4=459~wd`42908w0o>8;15?8?493hm706m1;070>{tio>1<7=t=`04>6?<50996oh4=9`1>7233tyjj84?:2y>e71=;k165>=5bg9><g5=:=>0q~oi6;297~;f:1085636358ab>;?j=09895rs`d4>5<4s4k947=m;<;01?da342i97<;4:pec?=839p1l<6:2;89<502kl015l8:367?xufnh0;6>u2a3;97g=:1:21nk528c:9612<uzkmn7>53z?b6d<4<272?44mf:?;f<<5<=1vlhl:1808g5i39=707<a;`e?8>ei38?86s|agf94?5|5h926>74=81a>g`<51hi6?:;;|qbb`<72:q6m>753c9>=6e=jo164om52568yvgan3:1?v3n3`80=>;>;m0ij637be8101=z{k:;6=4<{<c0e?5e3438i7li;<:aa?43<2wxn=?50;1x9d5e2:3014=i:cd89=da2;>?7p}m0383>6}:i:h1?o529529fc=:0j:1>9:4}r`30?6=;r7j?n4<4:?:07<en273o?4=459~wg622908w0o<c;15?8?3;3hm706l3;070>{tj9<1<7=t=`6a>6?<50>?6oh4=9a7>7233tyi<:4?:2y>e1d=;k1659;5bg9><f3=:=>0q~l?8;297~;f<j085636478ab>;?k?09895rsc2:>5<4s4k?o7=m;<;73?da342h;7<;4:pf5g=839p1l:k:2;89<2?2kl015m7:367?xue8k0;6>u2a5f97g=:1=31nk528b;9612<uzh;o7>53z?b0`<4<2728l4mf:?;gd<5<=1vo>k:1808g3m39=707;b;`e?8>dj38?86s|b1d94?5|5h?o6>74=86g>g`<51io6?:;;|qa55<72:q6m8j53c9>=1c=jo164nk52568yvd693:1?v3n5d80=>;><o0ij637cg8101=z{k;96=4<{<c6a?5e343><7li;<:g4?43<2wxn<=50;1x9d3a2:3014;>:cd89=b62;>?7p}m1583>6}:i<l1?o529409fc=:0m81>9:4}r`21?6=;r7j:=4<4:?:16<en273h>4=459~wg712908w0o90;15?8?2<3hm706k4;070>{tj8=1<7=t=`4e>6?<50?>6oh4=9f6>7233tyi=54?:2y>e3`=;k165885bg9><a0=:=>0q~l>a;297~;f?9085636598ab>;?l109895rsc3a>5<4s4k<<7=m;<;6=?da342o57<;4:pf4e=839p1l9>:2;89<3f2kl015jn:367?xue9m0;6>u2a6397g=:1<h1nk528e`9612<uzh:i7>53z?b37<4<2729n4mf:?;`f<5<=1vo?i:1808g0:39=707:d;`e?8>cl38?86s|b3294?5|5h2:6>74=87f>g`<51nn6?:;;|qa64<72:q6m5?53c9>=0`=jo164ih52568yvd5:3:1?v3n8380=>;>>90ij637e18101=z{k886=4<{<c;6?5e343==7li;<:f5?43<2wxn?;50;1x9d>42:30148<:cd89=c42;>?7p}m2783>6}:i191?o529769fc=:0l>1>9:4}r`13?6=;r7j494<4:?:20<en273i84=459~wg4?2908w0o74;15?8?1>3hm706j6;070>{tj;31<7=t=`;0>6?<50<<6oh4=9g4>7233tyi>l4?:2y>e<5=;k165;65bg9><`>=:=>0q~l=b;297~;f1=085636688ab>;?m009895rsc0`>5<4s4k287=m;<;5e?da342nm7<;4:pf7b=839p1l7::2;89<0e2kl015km:367?xue:l0;6>u2a8797g=:1?i1nk528da9612<uzh8<7>53z?b=3<4<272:h4mf:?;a`<5<=1vo=>:1808g>>39=7079f;`e?8>bn38?86s|b2094?5|5hk>6>74=853>g`<51l;6?:;;|qa76<72:q6ml;53c9>=27=jo164k?52568yvd4<3:1?v3na780=>;>?;0ij637f38101=z{k9>6=4<{<cb2?5e343<?7li;<:e7?43<2wxn>850;1x9dg02:30149;:cd89=`32;>?7p}m3683>6}:ih=1?o529679fc=:0o?1>9:4}r`0<?6=;r7jm54<4:?:33<en273j;4=459~wg5>2908w0on8;15?8?0?3hm706i7;070>{tj:h1<7=t=8c6>6?<50=26oh4=9d:>7233tyi?n4?:2y>=d3=;k165:o5bg9><cg=:=>0q~l<d;297~;>i?0856367c8ab>;?nk09895rsc1f>5<4s43j:7=m;<;4g?da342mo7<;4:pf6`=839p14o8:2;89<1c2kl015hk:367?xue<90;6>u29`597g=:1>o1nk528gg9612<uzh?=7>53z?:e=<4<272;k4mf:?;bc<5<=1vo:=:1808?f039=70770;`e?8?7838?86s|b5194?5|50h<6>74=8:2>g`<50::6?:;;|qa01<72:q65o953c9>==4=jo165=<52568yvd3>3:1?v36b980=>;>0=0ij636058101=z{k><6=4<{<;a<?5e343397li;<;31?43<2wxn9650;1x9<d>2:301469:cd89<612;>?7p}m4883>6}:1k31?o529959fc=:19=1>9:4}r`7e?6=;r72nl4<4:?:<=<en272<54=459~wg2e2908w07ma;15?8??13hm707?9;070>{tj=i1<7=t=8a:>6?<502j6oh4=82b>7233tyi8i4?:2y>=f?=;k1655l5bg9>=5d=:=>0q~l;e;297~;>kh0856368b8ab>;>8j09895rsc6e>5<4s43hm7=m;<;;`?da343;h7<;4:pea4=839p14mm:2;89<772kl0157?:367?xufl:0;6>u29b`97g=:18;1nk528839612<uzko87>53z?:gf<4<272=?4mf:?;=7<5<=1vlj::1808?dk39=707>3;`e?8>>;38?86s|ae494?5|50ni6>74=837>g`<513?6?:;;|qb`2<72:q65il53c9>=43=jo1644;52568yvgc03:1?v36db80=>;>9?0ij637978101=z{hn26=4<{<;gg?5e343:;7li;<::3?43<2wxmio50;1x9<bc2:3014?7:cd89=??2;>?7p}ndc83>6}:1mn1?o5290;9fc=:0031>9:4}rcg`?6=;r72hh4<4:?:5g<en2735o4=459~wdbb2908w07ke;15?8?6k3hm7066c;070>{timl1<7=t=8gg>6?<50;o6oh4=9;g>7233tyji=4?:2y>=`b=;k165<k5bg9><<c=:=>0q~oj1;297~;>ml0856361g8ab>;?1o09895rs`g1>5<4s43ni7=m;<;14?da342j<7<;4:pe`5=839p14ki:2;89<462kl015o>:367?xufm=0;6>u29dd97g=:1;81nk528`09612<uzkn97>53z?:b5<4<272>>4mf:?;e6<5<=1vlk9:1808?a839=707=4;`e?8>f<38?86s|ad:94?5|5h::6>74=805>g`<51k=6?:;;|qba<<72:q6m=?53c9>=71=jo164l952568yvgbi3:1?v3n0380=>;>:10ij637a98101=z{hoi6=4<{<c36?5e343957li;<:b=?43<2wxmhm50;1x9d642:3014<n:cd89=gf2;>?7p}nee83>6}:i991?o5293`9fc=:0hh1>9:4}rcfa?6=;r7j<94<4:?:6f<en273mn4=459~wdca2908w0o?4;15?8?5l3hm706nd;070>{tij=1<7<t=8d3>g`<5h::6?:9;|qbf=<72;q65hm523589<`c2>l0q~ol6;296~;>ll0ij636ee8103=z{hh<6=4={<;ge?45?272i448f:pef3=838p14ml:cd89<be2;>=7p}nb783>7}:1j21>?94=8f4>2`<uzkh87>52z?:fd<en272o44=479~wdd22909w07m6;013>;>k<0<j6s|ab194?4|50k36oh4=8`4>7213tyjn94?:3y>=d2=:;=014l<:6d8yvgd:3:1>v3na98ab>;>i<098;5rs``0>5<5s4kj87<=7:?:e4<0n2wxmi>50;0x9d?12kl01lo::365?xufk80;6?u2a809671<5hk:6:h4}rc`b?6=:r7j494mf:?b=6<5<?1vlm?:1818g?8389;63n8g84b>{tijo1<7<t=`51>g`<5h2:6?:9;|qbfc<72;q6m;k523589d1c2>l0q~old;296~;f>90ij63n6g8103=z{hhn6=4={<c6g?45?27j:o48f:pefe=838p1l:j:cd89d3c2;>=7p}nbe83>7}:i=k1>?94=`7:>2`<uzkhn7>52z?b7f<en27j8o4=479~wddd2909w0o<8;013>;f<>0<j6s|abc94?4|5h8j6oh4=`1:>7213tyjno4?:3y>e70=:;=01l=::6d8yvgd13:1>v3n198ab>;f:>098;5rs``b>5<5s4k:87<=7:?b66<0n2wxmn650;0x9<?12kl01l?::365?xufj00;6?u29809671<5h;:6:h4}r;:e?6=:r725=48f:?:<c<5:>1v47m:1818?>93=m70760;013>{t10i1<7<t=8;1>2`<503:6?<8;|q:=a<72;q654=525689<>a2;??7p}69683>7}:10>1>984=8;0>g`<uz32i7>52z?:=1<5<=1654>52468yv?>03:1>v36948103=:10>1nk5rs8;e>5<5s43297<;4:?:=4<5==1v476:1818?>>38?9636948ab>{t1h:1<7<t=8;5>7233432>7<:4:pe4c=838p1l?;:6d89d742;8<7p}n1e83>7}:i881>?94=`30>2`<uzk:j7>52z?b54<5==16m<;52568yvg613:1>v3n178103=:i8?1nk5rs`03>5<5s4k::7<;4:?b57<5==1vl?n:1818g6?38?:63n178ab>{ti;;1<7<t=`34>72334k:?7<:4:pe4d=838p1l?7:366?8g6?3hm7p}n2383>7}:i821>9:4=`37>7333tyj=n4?:3y>e47=:;=01l?=:6d8yvg483:1>v3n2784b>;f:<09>:5rs`0e>5<5s4k987<=7:?b60<0n2wxm>?50;0x9d442;??70o=7;070>{ti;h1<7<t=`0;>72134k9;7li;|qb77<72;q6m?6525689d432;??7p}n2b83>7}:i;31>984=`0;>g`<uzk8?7>52z?b6<<5<=16m?;52468yvg5l3:1>v3n2`8100=:i;31nk5rs`17>5<5s4k9m7<;4:?b63<5==1vl<j:1818g5;389;63n2584b>{ti=81<7<t=`1;>2`<5h9<6?<8;|qb04<72;q6m>8523589d502>l0q~o;3;296~;f;<099952a2;9612<uzk8h7>52z?b7d<5<?16m>75bg9~wd232909w0o<a;070>;f;?09995rs`1f>5<5s4k8n7<;6:?b7d<en2wxm9;50;0x9d5e2;>?70o<7;060>{ti:l1<7<t=`1`>72234k8n7li;|qb03<72;q6m>m525689d5?2;??7p}n4183>7}:i:?1>?94=`15>2`<uzk>87>52z?b0d<0n27j844=269~wd342909w0o;8;013>;f<00<j6s|a4794?4|5h><6?;;;<c7f?43<2wxm9h50;0x9d2d2;>=70o;b;`e?xuf=?0;6?u2a5a9612<5h>36?;;;|qb15<72;q6m9j525489d2d2kl0q~o:7;296~;f<m098952a5;9602<uzk>=7>52z?b0`<5<<16m9j5bg9~wd3?2909w0o;e;070>;f<h09995rs`71>5<5s4k?;7<=7:?b0=<0n2wxm;850;0x9d3d2>l01l;m:304?xuf><0;6?u2a4c9671<5h?i6:h4}rc53?6=:r7j944=559>e0b=:=>0q~o91;296~;f=l098;52a4f9fc=z{h<36=4={<c6a?43<27j9l4=559~wd052909w0o:f;072>;f=l0ij6s|a7;94?4|5h?m6?:;;<c6f?42<2wxm;=50;0x9d072;>>70o:f;`e?xuf>h0;6?u2a729612<5h?h6?;;;|qb21<72;q6m87523589d3f2>l0q~o88;296~;f>l0<j63n6e8162=z{h=<6=4={<c5g?45?27j:i48f:pe2?=838p1l8m:377?8g1n38?86s|a6194?4|5h=;6?:9;<c5b?da3tyj;l4?:3y>e26=:=>01l8l:377?xuf?=0;6?u2a639610<5h=;6oh4}rc4f?6=:r7j;<4=459>e3b=:<>0q~o85;296~;f?;098852a639fc=z{h=h6=4={<c46?43<27j:h4=559~wd112909w0o9b;013>;f>j0<j6s|a9c94?4|5h2;6:h4=`5e>7403tyj444?:3y>e2c=:;=01l9i:6d8yvg?j3:1>v3n7e8111=:i1;1>9:4}rc;1?6=:r7j4?4=479>e=7=jo1vl6l:1818g?:38?863n7d8111=z{h2=6=4={<c;7?43>27j4?4mf:pe=b=838p1l6<:367?8g0n38>86s|a9594?4|5h2?6?::;<c;7?da3tyj4h4?:3y>e=2=:=>01l6?:377?xuf010;6?u2a6f9671<5h=n6:h4}rc:g?6=:r7j5?48f:?b=4<5:>1vl7m:1818g>8389;63n9084b>{ti0n1<7<t=`:e>73334k2?7<;4:pe<1=838p1l7;:365?8g>;3hm7p}n9d83>7}:i0>1>9:4=`;3>7333tyj554?:3y>e<3=:=<01l7;:cd8yvg>n3:1>v3n948101=:i0;1>8:4}rc:=?6=:r7j5;4=449>e<3=jo1vlo?:1818g>>38?863n938111=z{h3j6=4={<c;b?45?27j5=48f:pedc=838p1lo;:6d89dg42;8<7p}nae83>7}:ih81>?94=`c0>2`<uzkjj7>52z?be4<5==16ml;52568yvgf13:1>v3na78103=:ih?1nk5rs``3>5<5s4kj:7<;4:?be7<5==1vlon:1818gf?38?:63na78ab>{tik;1<7<t=`c4>72334kj?7<:4:pedd=838p1lo7:366?8gf?3hm7p}nb383>7}:ih21>9:4=`c7>7333tyjmn4?:3y>ed7=:;=01lo=:6d8yv?fm3:1>v36a584b>;>i:09>:5rs8cg>5<5s43j>7<=7:?:e6<0n2wx5lh50;0x9<g62;??707n5;070>{t1h31<7<t=8c5>721343j97li;|q:f5<72;q65l8525689<g52;??7p}6a`83>7}:1h=1>984=8c5>g`<uz3i=7>52z?:e2<5<=165l=52468yv?fj3:1>v36a98100=:1h=1nk5rs8`1>5<5s43j47<;4:?:e1<5==1v4ol:1818?f9389;636a384b>{t1j:1<7<t=8`5>2`<50h>6?<8;|q:fc<72;q65o:523589<d22>l0q~7l1;296~;>j:0999529c59612<uz3in7>52z?:f=<5<?165o95bg9~w<e52909w07m8;070>;>j=09995rs8``>5<5s43i57<;6:?:f=<en2wx5n=50;0x9<d>2;>?707m5;060>{t1kn1<7<t=8`b>722343i57li;|q:g1<72;q65oo525689<d12;??7p}6bd83>7}:1k91>?94=8`7>2`<uz3o>7>52z?:g=<0n272o:4=269~w<b62909w07l6;013>;>k>0<j6s|9e194?4|50i>6?;;;<;`=?43<2wx5nj50;0x9<ef2;>=707l9;`e?xu>l=0;6?u29bc9612<50i=6?;;;|q:g`<72;q65nl525489<ef2kl0q~7k5;296~;>kk0989529b59602<uz3hj7>52z?:gf<5<<165nl5bg9~w<b12909w07lc;070>;>k109995rs8f3>5<5s43h97<=7:?:g3<0n2wx5h:50;0x9<bf2>l014j6:304?xu>m:0;6?u29e:9671<50n26:h4}r;f1?6=:r72h:4=559>=ad=:=>0q~7kf;296~;>lj098;529e`9fc=z{0o=6=4={<;gg?43<272h54=559~w<c72909w07kd;072>;>lj0ij6s|9d594?4|50no6?:;;<;g=?42<2wx5h?50;0x9<bb2;>>707kd;`e?xu>m10;6?u29eg9612<50nj6?;;;|q:a7<72;q65i9523589<b?2>l0q~7i6;296~;>mj0<j636ec8162=z{0l>6=4={<;fe?45?272io48f:p=c1=838p14k6:377?8?bl38?86s|9g394?4|50on6?:9;<;f`?da3ty2j54?:3y>=`c=:=>014kn:377?xu>n;0;6?u29dd9610<50on6oh4}r;e=?6=:r72ik4=459>=`d=:<>0q~7i3;296~;>n90988529dd9fc=z{0lj6=4={<;e4?43<272in4=559~w<`32909w07j9;013>;>mh0<j6s|a1a94?4|50li6oh4=8d`>7403tyj<l4?:3y>e56=?o165kh52358yvg7j3:1>v3n018162=:1oi1;k5rs`2:>5<5s43mi7<=7:?:bc<0n2wxm=j50;0x9<`c2;??70o?1;070>{ti9?1<7<t=`21>72134k;=7li;|qb4`<72;q6m=<525689<`b2;??7p}n0783>7}:i991>984=`21>g`<uzk;j7>52z?b46<5<=165kh52468yvg7?3:1>v3n058100=:i991nk5rs`33>5<5s4k;87<;4:?b45<5==1vl>7:1818?al389;636fd84b>{t?lh1<7<t=6ga>75f342;m7?k5:p3`e=838p1:kl:31b?8>7i3;o86s|80;94?5|51;<6?:;;<:2<?da342::7<;7:p<4g=838p15?7:367?8>6>3hm7p}8f483>7}:?o>1>9:4=6d3>g`<uz=m;7>53z?4ac<en27<j=4=459>3c7=jo1v:h7:18181bn38?;638f08101=z{>l26=4={<5e7?43<27<j?4mf:p3cg=838p1:h=:367?81bm3;o>6s|7g494?3|5>l?6484=6d0><0<5>on6?=n;<5e4??134=m=779;|q;22<72;q6;n>522c89=6c28n=7p}76883>7}:?j81>>o4=931>4b13ty3:l4?:3y>3f5=::k015??:0f6?xu?>k0;6?u27b6966g<51;:6<j;;|q;2f<72;q6;n;522c89=6c28n>7p}76e83>7}:?j<1>>o4=931>4b23ty3:h4?:3y>3f1=::k015??:0f0?xu?>o0;6?u27b:966g<51;:6<j:;|q;35<72;q6;n7522c89=6c28n<7p}77083>7}:?jk1>>o4=920>4b03ty3:54?:3y>3f7=::k015??:0f5?xu??:0;6ou280f966g<51?<6?:8;<:60?43?2739=4=469><1e=:==015:7:364?8>3<38?;637418102=:0:i1>994=91;>720342887<;7:p3g?=839p1:j::31b?81b13hm709j8;`e?xu0jm0;6>u280d93a=:08o1;i527df966g<uz=n?7>52z?;21<en273<o4=3`9~w=152909w06>c;00e>;?9m0:h<5rs6`1>5<5s42::7<;4:?;5g<>>2wx4:o50;5x9=2d2;>?706;d;3g6>;?9;0:h>5280295a4<51:86<j;;<:3`?7c:273=<4>d39~w=1e290<w06:0;070>;?=80:h?5280295a2<51:86<j:;<:3`?7c;273=?4>d39><47=9m90q~68c;297~;?==09895284795a4<51:86<j<;|q;3a<72:q6489525689=3?28n9706?3;3g6>{t0>=1<7?={<5`5?7c=27<ol4>d49>3f?=9m?01:m7:0f6?81d?3;o9638c782`0=:?j?1=i;4=6a7>4b234=h?7?k5:?4g7<6l<16;n>51e789=272;>?706;1;3g6>;?9:0:h?5280695a4<51:j6<j=;<:32?7c:273<:4>d59~w=1?2903w06;4;070>;?<<0:h?5280195a1<51:m6<j<;<:37?7c>273<n4>d29><44=9m>015>m:0f7?xu??00;68u285:9612<51>26<j=;<:3f?7c;273<k4>d39><5e=9m80q~684;2956}:?lh1=i=4=6g`>4b434=h=7?k2:?4gd<6l;16;n751e0892e?28n9709l7;3g6>;0k?0:h?527b795a4<5>i?6<j=;<5`7?7c:27<o?4>d39>3f6=9m8015=;:367?8>4=3;o>6371282`3=:08>1=i=4=92:>4b5342;97<:4:p<23=83;8w09jb;3g6>;0mj0:h?527b395a5<5>ij6<j<;<5`=?7c;27<o54>d29>3f1=9m901:m9:0f0?81d=3;o?638c582`6=:?j91=i=4=6a1>4b434=h<7?k3:?;7=<5<=164>751e089=7428n?706>4;3g0>;?8h0:h;5281:95a5<uz2<:7>513y>3f7=9m>01:mn:0f7?81d13;o8638c982`1=:?j=1=i:4=6a5>4b334=h97?k4:?4g1<6l=16;n=51e6892e528n?709l0;3g0>;?;j09895282f95a4<51;86<j<;<:20?7c=273<l4>d29><50=9m<015>8:0f1?xu0j>0;6>u27e795a2<5>o26?:;;<:32?7c<2wx;o650;1x92cf2;>?709k5;3g6>;?8?0:h>5rs6`5>5<4s4=o97?k3:?4a=<5<=164=851e78yv1b83:1nv38d584`>;0l:0<h638d384`>;0l80<h638d184`>;0ko0<h638cd84`>;0km0<h638cb84`>;0kk0<h63714817d=z{>h86=4={<:2f?43<273<:4>d79~w2db2909w06>3;3g1>;0nl09895rs6`e>5<4s42:87?k6:?;45<5<=164=?51e08yv1b<3:1?v37648101=:0?<1=i<4=924>4b23ty<n94?:2y><42=9m=01:k::367?81b>3;o>6s|7d394?4|51;n6?:;;<:32?7c?2wx;h<50;0x9=7a2;>?706?7;3g7>{t?m<1<7<t=6aa>72334=no7?k7:p3a1=838p1:ml:367?81bk3;o:6s|7e:94?4|5>io6?:;;<5fg?7c<2wx;i750;0x92eb2;>?709jc;3g1>{t?mk1<7<t=6ae>72334=nn7?k7:p3ad=838p1:j?:367?81bj3;o:6s|7ea94?4|5>n:6?:;;<5ff?7c<2wx;ij50;0x92b52;>?709jb;3g1>{t?mo1<7<t=6f0>723342;57?k3:p3a`=838p1:j;:367?8>713;o86s|7c794?4|5>o<6?:;;<:21?7c<2wx;om50;6x92`d2;>?706>5;3g6>;0mm0:h?527gf95a4<uz=in7>55z?4bg<5<=16;km5bg9><43=9m901:kk:0f0?81al3;o?6s|7cc94?2|5>li6oh4=6ge>72334=m?79k;<5e7?da3ty3>44?:3y><62=jo164>8522c8yv>583:1?v373684b>;?;h0<j637328162=z{18j6=4={<:0<?da3428m7<<a:p<77=839p15=m:6d89=5b2>l015=8:304?xu?:k0;6?u282a9fc=:0:o1>>o4}r:16?6=;r73?k48f:?;07<0n273?o4=269~w=4d2909w06;0;`e?8>3:388m6s|83194?5|51>86:h4=965>2`<519m6?<8;|q;6a<72;q649:5bg9><10=::k0q~6=4;297~;?<>0<j6374`84b>;?<:09>:5rs90f>5<5s42?47li;<:7e?44i2wx4?;50;1x9=2e2>l015:j:6d89=202;8<7p}72g83>7}:0=i1nk5285g966g<uz29:7>53z?;0c<0n2739?48f:?;0g<5:>1v5=?:1818>283hm706:2;00e>{t0;=1<7=t=970>2`<51?=6:h4=96e>7403ty3?<4?:3y><02=jo16488522c8yv>503:1>v375884b>;?=:09>:5rs911>5<5s42>;7li;<:6=?44i2wx48o50;1x9=522;9j706<3;060>;?;?09>;5rs97a>5<4s42857<<a:?;72<5==164>o52348yv>2k3:1?v373e817d=:0:h1>8:4=91f>7413ty39i4?:2y><17=::k015=i:377?8>3:389:6s|84g94?5|51>>6?=n;<:77?42<2738;4=279~w=3a2908w06;9;00e>;?<>09995285c9670<uz2=<7>53z?;0a<5;h1649l524689=2b2;8=7p}76083>6}:0<;1>>o4=96e>733342>>7<=6:p<34=839p15;::31b?8>2;38>8637578163=z{1<86=4={<:6<?44i273944=279~w0722909w0;=0;`e?835:388m6s|51a94?5|5<886:h4=405>2`<5<;m6?<8;|q653<72;q69?:5bg9>170=::k0q~;?d;297~;2:>0<j63:2`84b>;2::09>:5rs434>5<5s4?947li;<71e?44i2wx9=k50;1x904e2>l018<j:6d890402;8<7p}:1983>7}:=;i1nk5253g966g<uz?;j7>53z?66c<0n27>??48f:?66g<5:>1v8?6:18183483hm70;<2;00e>{t=8:1<7=t=410>2`<5<9=6:h4=40e>7403ty>=l4?:3y>162=jo169>8522c8yv3693:1?v3:3684b>;2;h0<j63:328162=z{<;i6=4={<70<?da34?8m7<<a:p144=839p18=m:6d8905b2>l018=8:304?xu29j0;6?u252a9fc=:=:o1>>o4}r727?6=;r7>?k48f:?607<0n27>?o4=269~w07c2909w0;;0;`e?833:388m6s|50694?4|5<>>6:h4=41e>7403ty>=h4?:3y>115=jo1699;522c8yv33>3:1?v3:20817d=:=8l1>8:4=401>7413ty>8:4?:2y>173=::k018<<:377?835>389:6s|55:94?5|5<826?=n;<713?42<27>>l4=279~w02>2908w0;=d;00e>;2:k09995253g9670<uz??m7>53z?674<5;h169?h5246890552;8=7p}:4c83>6}:=:?1>>o4=410>73334?8:7<=6:p11e=839p18=6:31b?834?38>863:3`8163=z{<>o6=4<{<70`?44i27>?o4=559>16c=:;<0q~;;e;297~;2<809?l5252d9602<5<>96?<9;|q60c<72;q699:522c890222;8=7p}8b083>7}:?>l1nk527c2966g<uz=3m7>52z?4e`<6l<16;lh522c8yv1?13:15v387g8111=:?1:1>8:4=6:2>73334=3>7<:4:?4<6<5==16;5:5246892>22;??70976;060>;0il09?l5rs6:4>5<4s4=jj7?k2:?4e7<5<=16;l=5bg9~w2>?2909w09nf;3g7>;0im09895rs6c4>5<2s4=j9779;<5b0??134=2i7<<a:?4e4<>>27<m=466:p3de=838p1:o<:367?81>m3;o>6s|7``94?4|5>k?6?:;;<5b6?da3ty<ml4?:2y>3<`=:=>01:o;:6f892g32kl0q~9n9;296~;01o098:527`39612<uz=j47>53z?4=c<en27<m<4mf:?4e5<5<=1v:o9:18181f=38?8638a18ab>{t?0<1<7;t=6;7><0<5>386484=6:a>75f34=3i779;<5;`??13ty<5i4?:3y>3<4=:=>01:6m:0f1?xu01j0;6?u27829612<5>3:6oh4}r5:f?6=:r7<4k4=459>3<6=jo1v:7n:18181>;38?86388g8ab>{t?031<7=t=6:`>72334=2?79k;<5:7?da3ty<554?:3y>3=e=:==01:6j:367?xu01>0;6>u279a9fc=:?1o1nk5279f9612<uz=297>52z?4=1<5<=16;5j5bg9~w3662909w0;ic;3g6>;2nl09895rs723>5<5s4?mh7li;<7ea?43>2wx:=<50;0x90`d28n870;id;070>{t=ol1<7<t=4da>g`<5<lh6?=n;|q576<72;q6:?h51e0893562;>?7p}93383>7}:>::1nk526239610<uz<887>52z?56c<6l:16:>>52568yv07?3:1>v390282`7=:>9?1>9:4}r432?6=:r7=<94mf:?540<5<?1v;>7:181807;3;o?639058101=z{?826=4={<42g?7c:27=>?4=459~w3412909w08=1;`e?805:38?:6s|63c94?4|5?;h6<j<;<415?43<2wx:?950;0x93472kl01;<>:365?xu1:k0;6?u260f95a4<5?8;6?:;;|q56=<72;q6:<h5bg9>276=:=<0q~8=c;296~;19m0:h>5260d9612<uz<9?7>52z?55`<6l;16:<m522c8yv05<3:1>v391d82`6=:>8n1>>o4}r411?6=:r7==o4mf:?55`<5;h1v;?8:181807i3;o>639118101=z{?;?6=4={<43b?da34<:<7<;6:p24>=838p1;>n:0f0?807n38?86s|60794?4|5?:n6oh4=72e>7213ty==44?:3y>25d=9m801;>j:367?xu19?0;6?u261f9fc=:>9o1>984}r42e?6=:r7=<o4>d29>25b=:=>0q~8>1;296~;18j0:h?5261c966g<uz<:>7>52z?54f<6l:16:=l522c8yv06;3:1>v39088ab>;18j09?l5rs71;>5<ds4?m57li;<41`?43<27=mi48d:?5ed<0l27=m;48d:?5e7<0l27=5h48d:?5=d<0l27=5;48d:?5=7<0l27=4h48d:?5<d<0l2wx;:950;0x934c2;>>708?5;`e?xu0>k0;6ou26279612<5?l:6:j4=7gf>2b<5?oj6:j4=7g5>2b<5?o96:j4=7ff>2b<5?nj6:j4=7f5>2b<5?n96:j4=7af>2b<uz=<:7>52z?56a<en27=?84=449~w21c2909w0997;`e?811>388m6s|76g94?4|5><<6?:;;<55<?da3ty<;n4?:3y>1c?=:=>018hn:cd8yv11l3:1>v386884`>;1;?09895rs653>5<5s4==m79k;<403?43<2wx;:l50;0x93512kl01;=8:365?xu1;h0;6?u277793a=:>;o1>9:4}r54e?6=:r7=?:4mf:?56`<5<?1v:96:18183al38?:6392d8ab>{t=o21<7:t=64:>72034==m7<;7:?420<5<>16:==522c8yv1003:1>v39058103=:=oo1nk5rs71`>5<es4<9j7<<a:?5ea<5<>16:lo5255893g12;><708n2;073>;11l098:5268c9611<5?3=6?:8;<4:6?43?27=4h4=469>2=g=:==0q~985;296~;1;<0ij639318103=z{>=?6=4={<43`?43>27=?<4mf:p325=838p1;??:cd8937a2;>=7p}93c83>f}:???1>9:4=72g>6c<5?:n6>k4=72e>6c<5?;;6>k4=73e>6c<5?8;6>k4=702>6c<5?896>k4=720>73334<9j7<:4:?56`<4m2wx;:?50;ax920f2;>?708?b;060>;18h09995260f9602<5?;h6?;;;<430?5b34<;97=j;<404?5b34<8=7=j;<7e`?5b34?mi7=j;<403?5b3ty<:h4?:4y>33?=:=>01;>l:377?806m38>863:fb8111=:>:<1?h5rs651>5<5s4<9>7li;<51`?43>2wx;;h50;0x920f2kl01:<7:304?xu0>j0;6?u277;9fc=:?8>1>?94}r40=?6=:r7<:84mf:?445<5:>1v;l6:18180fm388m639ag8163=z{?h36=4<{<4bf?44i27=m44=559>2de=:;<0q~8m7;297~;1i>09?l526`79602<5?k36?<9;|q5f3<72:q6:l=522c893g62;??708n4;012>{t>k?1<7=t=7;e>75f34<2h7<:4:?5e5<5:?1v;l;:18080>j388m639988111=:>0i1>?84}r4a7?6=;r7=5:4=3`9>2<3=:<>01;77:305?xu1j;0;6>u2681966g<5?3:6?;;;<4:0?45>2wx:o?50;1x93>a2;9j7087d;060>;11909>;5rs7`3>5<4s4<3n7<<a:?5<<<5==16:5m52348yv0?03:1>v39ae8ab>;1io09?l5rs75f>5<5s4<jj79i;<4b=?45?2wx:5950;0x93gf2kl01;ol:31b?xu1?m0;6>u26`;93c=:>hi1;k526`79671<uz<3:7>52z?5e3<en27=m54=3`9~w31d2908w08n5;5e?80f03=m708n1;013>{t>1?1<7<t=7c1>g`<5?k?6?=n;|q53g<72:q6:l?57g9>2d2=?o16:4j52358yv0?<3:1>v399d8ab>;1i909?l5rs75b>5<4s4<2h79i;<4b4?1a34<257<=7:p2=5=838p1;7n:cd893?d2;9j7p}97883>6}:>031;k5268a93c=:>0?1>?94}r4;6?6=:r7=5;4mf:?5==<5;h1v;97:18080>=3=m70868;5e?80>9389;6s|69394?4|5?396oh4=7;7>75f3ty=;:4?:2y>2<7=?o16:4:57g9>2=b=:;=0q~870;296~;10l0ij63991817d=z{?==6=4<{<4;`?1a34<2<79i;<4;=?45?2wx::h50;0x93>f2kl01;6l:31b?xu1nm0;6?u26g0966g<5?l86?<9;|q5bf<72:q6:hh522c893cc2;??708i0;012>{t>oh1<7=t=7ga>75f34<n57<:4:?5af<5:?1v;hn:18080b?388m639e48111=:>l21>?84}r4e=?6=;r7=i>4=3`9>2`7=:<>01;k;:305?xu1n10;6>u26ed966g<5?no6?;;;<4f4?45>2wx:k950;1x93be2;9j708k9;060>;1lj09>;5rs7d5>5<4s4<o;7<<a:?5`0<5==16:i652348yv0a=3:1?v39d2817d=:>m;1>8:4=7f7>7413ty=j94?:2y>2f`=::k01;mk:377?80c8389:6s|6ba94?4|5?l:6oh4=7d0>75f3ty=o?4?:3y>2c5=?o16:hj52358yv0dj3:1>v39ed8ab>;1n909?l5rs7a2>5<4s4<nh79i;<4e4?1a34<n57<=7:p2fg=838p1;kn:cd893cd2;9j7p}9c183>6}:>l31;k526da93c=:>l?1>?94}r4`=?6=:r7=i;4mf:?5a=<5;h1v;li:18080b=3=m708j8;5e?80b9389;6s|6b:94?4|5?o96oh4=7g7>75f3ty=nh4?:2y>2`7=?o16:h:57g9>2ab=:;=0q~8l7;296~;1ll0ij639e1817d=z{?ho6=4<{<4g`?1a34<n<79i;<4g=?45?2wx:n850;0x93bf2kl01;jl:31b?xu1jj0;6>u26e;93c=:>mi1;k526e79671<uz<h97>52z?5`3<en27=h54=3`9~w3de2908w08k5;5e?80c03=m708k1;013>{t>j>1<7<t=7f1>g`<5?n?6?=n;|q5fd<72:q6:i?57g9>2a2=?o16:nj52358yv0d;3:1>v39cd8ab>;1l909?l5rs765>5<5s4<<97li;<440?44i2wx:9;50;0x93152kl01;9>:31b?xu1<=0;6?u267d9fc=:>?o1>>o4}r477?6=:r7=:n4mf:?52g<5;h1v;:=:18180113hm70898;00e>{t>=;1<7<t=745>g`<5?<>6?=n;|q505<72;q6:;=5bg9>234=::k0q~8<f;296~;1>90ij6395g817d=z{?9n6=4={<46`?da34<>o7<<a:p26b=838p1;;n:cd8933>2;9j7p}84183>7}:>ol1>>o4=613>g`<uz=8i7>52z?5b`<5;h16;<m5bg9~w25d2909w08:7;070>;0810ij6s|75594?4|5?>o6?:;;<463?da3ty<8;4?:3y>21e=:=>01;:k:cd8yv13=3:1>v394c8101=:>=i1nk5rs667>5<5s4<?m7<;4:?50g<en2wx;9=50;0x932>2;>?708;a;`e?xu0<;0;6?u265:9612<5?>26oh4}r575?6=;r7=9;4=459>21>=jo16:::51e68yv1283:1?v39548101=:><<1nk5266395a2<uz=?j7>53z?511<5<=16:8;5bg9>23c=9m>0q~9;e;297~;1=:0989526469fc=:>?h1=i:4}r57`?6=;r7=9?4=459>205=jo16:;651e68yv13k3:1?v39508101=:><81nk5267795a2<uz=?n7>53z?515<5<=16:8?5bg9>234=9m>0q~9;a;297~;1<o0989526429fc=:><l1=i:4}r57=?6=;r7=8h4=459>21`=jo16:8m51e68yv1303:1?v39468101=:>=o1nk5264;95a2<uz=8j7>52z?5bc<6l;16;<752548yv14l3:1>v39fd82`7=:?9?1>984}r550?6=<r7=;94>d29>2db=:=>01;oj:0f1?817>39n7p}86283>1}:>>;1=i=4=7cb>72334<jn7?k2:?443<4k2wx;;<50;6x930b28n8708n6;070>;1i>0:h?5271497g=z{><:6=4;{<45f?7c;27=m?4=459>2d5=9m801:>9:2c8yv1183:18v396982`6=:>0o1>9:4=7;e>4b534=;:7=6;|q41c<72=q6:;;51e1893?f2;>?7086b;3g6>;08<08i6s|74g94?2|5?<96<j<;<4:2?43<27=5:4>d39>353=;j1v:;k:187802n3;o?639938101=:>091=i<4=626>6d<uz=>o7>54z?51f<6l:16:5k5256893>a28n9709?5;1b?xu0=k0;69u264;95a5<5?2j6?:;;<4;f?7c:27<<84<9:p30g=838p1;9::367?800;3hm7p}85883>7}:>>81>9:4=753>g`<uz=>47>52z?52c<5<=16:;j5bg9~w2302909w089c;070>;1>h0ij6s|74494?4|5?<26?:;;<453?da3ty<984?:3y>230=:=>01;8;:cd8yv12<3:1>v39628101=:>?;1nk5rs670>5<5s4<=<7<;4:?51`<en2wx;8<50;0x933c2;>?708:b;`e?xu0=80;6?u264c9612<5??36oh4}r500?6=:r7<>l48f:?46<<5:>1v:=::181815j3=m709=a;013>{t?:<1<7<t=60`>2`<5>8i6?<8;|q472<72;q6;?m52358924?2>l0q~9<8;296~;0:m09895273;9602<uz=8=7>52z?46`<5<?16;?j5bg9~w25>2909w09=e;070>;0:h09995rs611>5<5s4=9j7<;6:?46`<en2wx;>o50;0x924a2;>?709=b;060>{t?:91<7<t=613>72234=9j7li;|q47g<72;q6;>>52568924d2;??7p}82183>7}:?8<1;k527079671<uz=9=7>52z?452<0n27<=;4=269~w2452909w09>8;5e?816?389;6s|73194?4|5>;36?<8;<520?1a3ty<>94?:3y>34?=:=>01:?::377?xu09m0;6?u270c9610<5>;26oh4}r511?6=:r7<=l4=459>340=:<>0q~9>e;296~;09k098;5270c9fc=z{>8=6=4={<52f?43<27<=:4=559~w27a2909w09>c;071>;09k0ij6s|73594?4|5>;h6?:;;<52<?42<2wx;=m50;0x92652>l01:>>:304?xu08m0;6?u271193c=:?981>?94}r53a?6=:r7<<948f:?446<5:>1v:>i:181817<389;6380184b>{t?8:1<7<t=626>72334=;=7<:4:p35?=838p1:>9:365?817=3hm7p}81083>7}:?9<1>9:4=621>7333ty<<l4?:3y>351=:=<01:>9:cd8yv16:3:1>v38068101=:?991>8:4}r53f?6=:r7<<54=449>351=jo1v:?<:181817038?8638058111=z{==86=4={<64g?da34><i7<<a:p03e=839p199i:6d891>52>l0199m:304?xu3?=0;6?u24929fc=:<181>>o4}r65`?6=;r7?4>48f:?7<3<0n27?;k4=269~w1122909w0:74;`e?82?>388m6s|47g94?5|5=2<6:h4=5:b>2`<5=286?<8;|q733<72;q68565bg9>0=g=::k0q~:9f;297~;30k0<j63;8d84b>;30>09>:5rs554>5<5s4>3o7li;<6;a?44i2wx8:>50;1x91>a2>l0197=:6d891>e2;8<7p};7983>7}:<0:1nk52480966g<uz><=7>53z?7=6<0n27?5;48f:?7<c<5:>1v996:18182><3hm70:66;00e>{t<>81<7<t=5;:>2`<5=386?<8;|q73d<72;q68495bg9>0<?=::k0q~:6a;297~;3?m09?l5246`9602<5==n6?<9;|q7=g<72:q685?522c8911a2;??70:72;012>{t<0i1<7=t=5:6>75f34>3?7<:4:?7<3<5:?1v97k:18082?1388m63;868111=:<1k1>?84}r6:a?6=;r7?4i4=3`9>0=d=:<>0196j:305?xu31o0;6>u2483966g<5=2m6?;;;<6:6?45>2wx8l>50;1x91?22;9j70:63;060>;31?09>;5rs5c2>5<5s4>247<<a:?7=<<5:?1v88?:1818318388m63:6082`7=z{<<:6=4={<755?44i27>:94>d79~w0052909w0;92;00e>;2>=0:h85rs440>5<5s4?=?7<<a:?621<6l=1v5>=:1818>7;388m6370g82`1=z{1:86=4={<:3g?44i273<i4>d59~w=6d2909w06?d;00e>;?8o0:h;5rs92f>5<5s42;n7?k7:?;4c<5;h1v5>i:1818>6:388m6370982`2=z{1;96=4={<:27?44i273<54>d59~w=742909w06>4;00e>;?810:h85rs927>5<5s42;97<<a:?;4=<6l?1v5>::1818>70388m6370c82`3=z{1:36=4={<:3=?44i273<l4>d69~w=6>2909w06?b;3g1>;?8h09?l5rs6de>5<4mlq6;kk5bg9>`<0=::i01569:2789=>12:<01569:2:89=>62:?0156>:2489=>62:201?k9:26897c12:?01?k9:24896532:>01>=;:27896532:<01>:n:268962f2:?01>:n:24896072:>01>8?:27896072:<01>99:26896112:?01>99:24896>d2:>01>6l:27896>d2:<01>o=:26896g52:?01>o=:24896d?2:>01>l7:27896d?2:<01>mj:26896eb2:?01>mj:24896c32:>01>k;:27896c32:<01?hl:26897`d2:?01?hl:24896752:>01>?=:27896752:<01><;:26896432:?01><;:24896412:>01><9:27896412:<01><7:268964?2:?01><7:248964f2:>01><n:278964f2:<01><l:268964d2:?01><l:248964b2:>01><j:278964b2:<01>=?:26896572:?01>=?:24896552:>01>==:27896552:<01>=9:26896512:?01>=9:248965?2:>01>=7:278965?2:<01>=n:268965f2:?01>=n:248965d2:>01>=l:278965d2:<01>=j:268965b2:?01>=j:24896272:>01>:?:27896272:<01>:=:26896252:?01>:=:24896232:>01>:;:27896232:<01>:9:26896212:?01>:9:248962?2:>01>:7:278962?2:<01>:l:268962d2:?01>:l:248962b2:>01>:j:278962b2:<01>;?:26896372:?01>;?:24896352:>01>;=:27896352:<01>;;:26896332:?01>;;:24896312:>01>;9:27896312:<01>;7:268963?2:?01>;7:248963f2:>01>;n:278963f2:<01>;l:268963d2:?01>;l:248963b2:>01>;j:278963b2:<01>8=:26896052:?01>8=:24896032:>01>8;:27896032:<01>89:26896012:?01>89:248960?2:>01>87:278960?2:<01>8n:268960f2:?01>8n:248960d2:>01>8l:278960d2:<01>8j:268960b2:?01>8j:24896172:>01>9?:27896172:<01>9=:26896152:?01>9=:24896132:>01>9;:27896132:<01>97:268961?2:?01>97:248961f2:>01>9n:278961f2:<01>9l:268961d2:?01>9l:248961b2:>01>9j:278961b2:<01>6?:26896>72:?01>6?:24896>52:>01>6=:27896>52:<01>6;:26896>32:?01>6;:24896>12:>01>69:27896>12:<01>67:26896>?2:?01>67:24896>f2:>01>6n:27896>f2:<01>6j:26896>b2:?01>6j:24896?72:>01>7?:27896?72:<01>7=:26896?52:?01>7=:24896?32:>01>7;:27896?32:<01>79:26896?12:?01>79:24896??2:>01>77:27896??2:<01>7n:26896?f2:?01>7n:24896?d2:>01>7l:27896?d2:<01>7j:26896?b2:?01>7j:24896g72:>01>o?:27896g72:<01>o;:26896g32:?01>o;:24896g12:>01>o9:27896g12:<01>o7:26896g?2:?01>o7:24896gf2:>01>on:27896gf2:<01>ol:26896gd2:?01>ol:24896gb2:>01>oj:27896gb2:<01>l?:26896d72:?01>l?:24896d52:>01>l=:27896d52:<01>l;:26896d32:?01>l;:24896d12:>01>l9:27896d12:<01>ln:26896df2:?01>ln:24896dd2:>01>ll:27896dd2:<01>lj:26896db2:?01>lj:24896e72:>01>m?:27896e72:<01>m=:26896e52:?01>m=:24896e32:>01>m;:27896e32:<01>m9:26896e12:?01>m9:24896e?2:>01>m7:27896e?2:<01>mn:26896ef2:?01>mn:24896ed2:>01>ml:27896ed2:<01>j?:26896b72:?01>j?:24896b52:>01>j=:27896b52:<01>j;:26896b32:?01>j;:24896b12:>01>j9:27896b12:<01>j7:26896b?2:?01>j7:24896bf2:>01>jn:27896bf2:<01>jl:26896bd2:?01>jl:24896bb2:>01>jj:27896bb2:<01>k?:26896c72:?01>k?:24896c52:>01>k=:27896c52:<01?k7:26897c?2:?01?k7:24897cf2:>01?kn:27897cf2:<01?kl:26897cd2:?01?kl:24897cb2:>01?kj:27897cb2:<01?h?:26897`72:?01?h?:24897`52:>01?h=:27897`52:<01?h;:26897`32:?01?h;:24897`12:>01?h9:27897`12:<01?h7:26897`?2:?01?h7:24897`f2:>01?hn:27897`f2:<01?hj:26897`b2:?01?hj:24896672:>01>>?:27896672:<01>>=:26896652:?01>>=:24896632:>01>>;:27896632:<01>>9:26896612:?01>>9:248966?2:>01>>7:278966?2:<01>>n:268966f2:?01>>n:248966d2:>01>>l:278966d2:<01>>j:268966b2:?01>>j:24896772:>01>??:27896772:<01>?;:26896732:?01>?;:24896712:>01>?9:27896712:<01>?7:268967?2:?01>?7:248967f2:>01>?n:278967f2:<01>?l:268967d2:?01>?l:248967b2:>01>?j:278967b2:<01><?:26896472:?01><?:24896452:>01><=:27896452:<0147::2g89<?32:o0147<:2g89<>a2>l01l?8:2g89d712:o01l?::2g89d4>2:o01l<7:2g89d402:o01l=m:2g89d5f2:o01l=6:2g89d2c2:o01l:l:2g89d2e2:o01l;i:2g89d3b2:o01l;k:2g89d162:o01l9?:2g89d0a2:o01l6<:2g89d>52:o01l6>:2g89d?22:o01l7;:2g89d?42:o01lo8:2g89dg12:o01lo::2g89<g02:o014o9:2g89<g22:o014l6:2g89<d?2:o014l8:2g89<ee2:o014mn:2g89<e>2:o014jk:2g89<bd2:o014jm:2g89<ca2:o014kj:2g89<cc2:o01l><:2g89d652:o01l>>:2g89<`d2;??709ib;5g?81ak3=o709j7;5g?81bi3hm70694;5g?81a:3=o706>7;5g?8>603=o706:7;5g?8>2<3=o706:0;5g?8>3k3=o706;8;5g?8>3<3=o706;0;5g?8>4k3=o706<8;5g?8>4<3=o706<3;5e?8>4>3=m70;>f;5e?835:3=m709nf;3g0>;0i;0<h638a284`>;00o0<h6389184`>;0180<h6389384`>;1=>08863956801>;1=>08:6395680<>;1km0<j639d184b>;1000<j6398b84b>;0:o0856382g80e>;0:o08n6382g80g>;0:o08i63831800>;0;908963831802>;0;90846382884b>;0:109995270`97<=:?8h1?l5270`97g=:?8h1?n5270`97`=:?8i1?95270a970=:?8i1?;5270a97==:?8?1;k527069602<5>:<6>74=624>6g<5>:<6>l4=624>6e<5>:<6>k4=62;>62<5>:36>;4=62;>60<5>:36>64=622>2`<5>:;6?;;;<64f?1a34><i79i;<:2f?da34>h<7;;;<6`4?3434>h<7:j;<6`4?1634>h<79?;<6`4?0e34>h<7kl;<6`4?cf34>h<7k6;<6`4?c?34>h<7k8;<6`4?c134>h<7h:;<6`4?`334>h<7h<;<6`4?`534>h<7h>;<6`4?`734>h<7ki;<6`4?cb34>h<7kk;<6`4?c234>h<7?>e:?7g5<69j168n>510`891e728;j70:l0;32=>;3k90:=5524b29571<5=i;6<<9;<6`4?75n27?o=4>2e9>0f6=9:=019m?:0`6?82d838?n63;c1810a=:<hh18:524``903=:<hh188524``97c=:<hh1:9524``926=:<hh1:?524``91f=:<hh1hi524``9`g=:<hh1h4524``9`==:<hh1h:524``9`3=:<hh1h8524``9`1=:<hh1h>524``9`7=:<hh1h<524``9`5=:<hh1oh524``9ga=:<hh1on524``9gg=:<hh1ol524``9g<=:<hh1o5524``9g2=:<hh1o;524``9g0=:<hh1i9524``9a6=:<hh1i?524``9a4=:<hh1i=524``9`c=:<hh1hh524``9`d=:<hh1ok524``9g1=:<hh1==h4=5ca>46c34>jn7??b:?7eg<68h168ll511;891ge28:370:nb;333>;3ik0:<;524``9553<5=ki6<>;;<6bf?77;27?mo4>039>0dd=99:019om:gd891ge2oo019om:gf891ge288h70:nb;31e>;3ik0:>4524``957><5=ki6<=;;<6bf?74:27?mo4>b59>0dd=:=h019om:36`?82fm3><70:ne;65?82fm3>>70:ne;1e?82fm3<?70:ne;40?82fm3<970:ne;7`?82fm3no70:ne;fa?82fm3n270:ne;f;?82fm3n<70:ne;f5?82fm3n>70:ne;f7?82fm3n870:ne;f1?82fm3n:70:ne;f3?82fm3in70:ne;ag?82fm3ih70:ne;aa?82fm3ij70:ne;a:?82fm3i370:ne;a4?82fm3i=70:ne;a6?82fm3o?70:ne;g0?82fm3o970:ne;g2?82fm3o;70:ne;fe?82fm3nn70:ne;fb?82fm3im70:ne;a7?82fm3;;j63;ad824a=:<ho1==l4=5cf>46f34>ji7??9:?7e`<681168lk5115891gb28:=70:ne;331>;3il0:<9524`g9555<5=kn6<>=;<6ba?77827?mh4if:?7e`<am27?mh4id:?7e`<6:j168lk513c891gb288270:ne;31<>;3il0:?9524`g9564<5=kn6<l;;<6ba?43j27?mh4=4b9>0g7=<>168o?5479>0g7=<<168o?53g9>0g7=>=168o?5629>0g7=>;168o?55b9>0g7=lm168o?5dc9>0g7=l0168o?5d99>0g7=l>168o?5d79>0g7=l<168o?5d59>0g7=l:168o?5d39>0g7=l8168o?5d19>0g7=kl168o?5ce9>0g7=kj168o?5cc9>0g7=kh168o?5c89>0g7=k1168o?5c69>0g7=k?168o?5c49>0g7=m=168o?5e29>0g7=m;168o?5e09>0g7=m9168o?5dg9>0g7=ll168o?5d`9>0g7=ko168o?5c59>0g7=99l019l>:02g?82e93;;n63;b0824d=:<k;1==74=5`2>46?34>i=7??7:?7f4<68?168o?5117891d628:?70:m1;337>;3j80:<?524c39556<5=h:6kh4=5`2>cc<5=h:6kj4=5`2>44d34>i=7?=a:?7f4<6:0168o?513:891d6289?70:m1;306>;3j80:n9524c3961d<5=h:6?:l;<6a0?2034>i87:9;<6a0?2234>i87=i;<6a0?0334>i878<;<6a0?0534>i87;l;<6a0?bc34>i87jm;<6a0?b>34>i87j7;<6a0?b034>i87j9;<6a0?b234>i87j;;<6a0?b434>i87j=;<6a0?b634>i87j?;<6a0?eb34>i87mk;<6a0?ed34>i87mm;<6a0?ef34>i87m6;<6a0?e?34>i87m8;<6a0?e134>i87m:;<6a0?c334>i87k<;<6a0?c534>i87k>;<6a0?c734>i87ji;<6a0?bb34>i87jn;<6a0?ea34>i87m;;<6a0?77n27?n94>0e9>0g2=99h019l;:02b?82e<3;;563;b5824==:<k>1==94=5`7>46134>i87??5:?7f1<68=168o:5111891d328:970:m4;334>;3j=0mj63;b58ea>;3j=0mh63;b5826f=:<k>1=?o4=5`7>44>34>i87?=8:?7f1<6;=168o:5120891d328h?70:m4;07f>;3j=098n524c5902=:<k=18;524c5900=:<k=1?k524c5921=:<k=1:>524c5927=:<k=19n524c59`a=:<k=1ho524c59`<=:<k=1h5524c59`2=:<k=1h;524c59`0=:<k=1h9524c59`6=:<k=1h?524c59`4=:<k=1h=524c59g`=:<k=1oi524c59gf=:<k=1oo524c59gd=:<k=1o4524c59g==:<k=1o:524c59g3=:<k=1o8524c59a1=:<k=1i>524c59a7=:<k=1i<524c59a5=:<k=1hk524c59``=:<k=1hl524c59gc=:<k=1o9524c5955`<5=h<6<>k;<6a3?77j27?n:4>0`9>0g1=993019l8:02;?82e?3;;;63;b68243=:<k=1==;4=5`4>46334>i;7??3:?7f2<68;168o95112891d02ol019l8:gg891d02on019l8:00`?82e?3;9m63;b6826<=:<k=1=?64=5`4>45334>i;7?<2:?7f2<6j=168o9525`891d02;>h70:ma;64?82ei3>=70:ma;66?82ei39m70:ma;47?82ei3<870:ma;41?82ei3?h70:ma;fg?82ei3ni70:ma;f:?82ei3n370:ma;f4?82ei3n=70:ma;f6?82ei3n?70:ma;f0?82ei3n970:ma;f2?82ei3n;70:ma;af?82ei3io70:ma;a`?82ei3ii70:ma;ab?82ei3i270:ma;a;?82ei3i<70:ma;a5?82ei3i>70:ma;g7?82ei3o870:ma;g1?82ei3o:70:ma;g3?82ei3nm70:ma;ff?82ei3nj70:ma;ae?82ei3i?70:ma;33b>;3jh0:<i524cc955d<5=hj6<>n;<6ae?77127?nl4>099>0gg=99=019ln:025?82ei3;;963;b`8241=:<kk1===4=5`b>46534>im7??0:?7fd<an27?nl4ie:?7fd<al27?nl4>2b9>0gg=9;k019ln:00:?82ei3;9463;b`8271=:<kk1=><4=5`b>4d334>im7<;b:?7fd<5<j168oj5469>0gb=<?168oj5449>0gb=;o168oj5659>0gb=>:168oj5639>0gb==j168oj5de9>0gb=lk168oj5d89>0gb=l1168oj5d69>0gb=l?168oj5d49>0gb=l=168oj5d29>0gb=l;168oj5d09>0gb=l9168oj5cd9>0gb=km168oj5cb9>0gb=kk168oj5c`9>0gb=k0168oj5c99>0gb=k>168oj5c79>0gb=k<168oj5e59>0gb=m:168oj5e39>0gb=m8168oj5e19>0gb=lo168oj5dd9>0gb=lh168oj5cg9>0gb=k=168oj511d891dc28:o70:md;33f>;3jm0:<l524cf955?<5=ho6<>7;<6a`?77?27?ni4>079>0gb=99?019lk:027?82el3;;?63;be8247=:<kn1==>4=5`g>c`<5=ho6kk4=5`g>cb<5=ho6<<l;<6a`?75i27?ni4>289>0gb=9;2019lk:017?82el3;8>63;be82f1=:<kn1>9l4=5`g>72d3ty3<<4?:3y><57=::k015>?:cd8yv>1>3:1>v3767817d=:0??1nk5rs6g5>5<5s4=n:7<<a:?4a0<en2wx>>:50;0x9=772;9j706?f;3g3>{t::?1<7<t=932>75f342;j7?k5:p660=838p15>9:31b?8>7=3;o>6s|22594?4|51:<6?=n;<:31?7c;2wvb??jf;295~Nc?k1vb??i0;295~Nc?k1vb??i1;295~Nc?k1vb??i2;295~Nc?k1vb??i3;295~Nc?k1vb??i4;295~Nc?k1vb??i5;295~Nc?k1vb??i6;295~Nc?k1vb??i7;295~Nc?k1vb??i8;295~Nc?k1vb??i9;295~Nc?k1vb??ia;295~Nc?k1vb??ib;295~Nc?k1vb??ic;295~Nc?k1vb??id;295~Nc?k1vb??ie;295~Nc?k1vb??if;295~Nc?k1vb?<?0;295~Nc?k1vb?<?1;295~Nc?k1vb?<?2;295~Nc?k1vb?<?3;295~Nc?k1vb?<?4;295~Nc?k1vb?<?5;295~Nc?k1vb?<?6;295~Nc?k1vb?<?7;295~Nc?k1vb?<?8;295~Nc?k1vb?<?9;295~Nc?k1vb?<?a;295~Nc?k1vb?<?b;295~Nc?k1vb?<?c;295~Nc?k1vb?<?d;295~Nc?k1vb?<?e;295~Nc?k1vb?<?f;295~Nc?k1vb?<>0;295~Nc?k1vb?<>1;295~Nc?k1vb?<>2;295~Nc?k1vb?<>3;295~Nc?k1vb?<>4;295~Nc?k1vb?<>5;295~Nc?k1vb?<>6;295~Nc?k1vb?<>7;295~Nc?k1vb?<>8;295~Nc?k1vb?<>9;295~Nc?k1vb?<>a;295~Nc?k1vb?<>b;295~Nc?k1vb?<>c;295~Nc?k1vb?<>d;295~Nc?k1vb?<>e;295~Nc?k1vb?<>f;295~Nc?k1vb?<=0;295~Nc?k1vb?<=1;295~Nc?k1vb?<=2;295~Nc?k1vb?<=3;295~Nc?k1vb?<=4;295~Nc?k1vb?<=5;295~Nc?k1vb?<=6;295~Nc?k1vb?<=7;295~Nc?k1vb?<=8;295~Nc?k1vb?<=9;295~Nc?k1vb?<=a;295~Nc?k1vb?<=b;295~Nc?k1vb?<=c;295~Nc?k1vb?<=d;295~Nc?k1vb?<=e;295~Nc?k1vb?<=f;295~Nc?k1vb?<<0;295~Nc?k1vb?<<1;295~Nc?k1vb?<<2;295~Nc?k1vb?<<3;295~Nc?k1vb?<<4;295~Nc?k1vb?<<5;295~Nc?k1vb?<<6;295~Nc?k1vb?<<7;295~Nc?k1vb?<<8;295~Nc?k1vb?<<9;295~Nc?k1vb?<<a;295~Nc?k1vb?<<b;295~Nc?k1vb?<<c;295~Nc?k1vb?<<d;295~Nc?k1vb?<<e;295~Nc?k1vb?<<f;295~Nc?k1vb?<;0;295~Nc?k1vb?<;1;295~Nc?k1vb?<;2;295~Nc?k1vb?<;3;295~Nc?k1vb?<;4;295~Nc?k1vb?<;5;295~Nc?k1vb?<;6;295~Nc?k1vb?<;7;295~Nc?k1vb?<;8;295~Nc?k1vb?<;9;295~Nc?k1vb?<;a;295~Nc?k1vb?<;b;295~Nc?k1vb?<;c;295~Nc?k1vb?<;d;295~Nc?k1vb?<;e;295~Nc?k1vb?<;f;295~Nc?k1vb?<:0;295~Nc?k1vb?<:1;295~Nc?k1vb?<:2;295~Nc?k1vb?<:3;295~Nc?k1vb?<:4;295~Nc?k1vb?<:5;295~Nc?k1vb?<:6;295~Nc?k1vb?<:7;295~Nc?k1vb?<:8;295~Nc?k1vb?<:9;295~Nc?k1vb?<:a;295~Nc?k1vb?<:b;295~Nc?k1vb?<:c;295~Nc?k1vb?<:d;295~Nc?k1vb?<:e;295~Nc?k1vb?<:f;295~Nc?k1vb?<90;295~Nc?k1vb?<91;295~Nc?k1vb?<92;295~Nc?k1vb?<93;295~Nc?k1vb?<94;295~Nc?k1vb?<95;295~Nc?k1vb?<96;295~Nc?k1vb?<97;295~Nc?k1vb?<98;295~Nc?k1vb?<99;295~Nc?k1vb?<9a;295~Nc?k1vb?<9b;295~Nc?k1vb?<9c;295~Nc?k1vb?<9d;295~Nc?k1vb?<9e;295~Nc?k1vb?<9f;295~Nc?k1vb?<80;295~Nc?k1vb?<81;295~Nc?k1vb?<82;295~Nc?k1vb?<83;295~Nc?k1vb?<84;295~Nc?k1vb?<85;295~Nc?k1vb?<86;295~Nc?k1vb?<87;295~Nc?k1vb?<88;295~Nc?k1vb?<89;295~Nc?k1vb?<8a;295~Nc?k1vb?<8b;295~Nc?k1vb?<8c;295~Nc?k1vb?<8d;295~Nc?k1vb?<8e;295~Nc?k1vb?<8f;295~Nc?k1vb?<70;295~Nc?k1vb?<71;295~Nc?k1vb?<72;295~Nc?k1vb?<73;295~Nc?k1vb?<74;295~Nc?k1vb?<75;295~Nc?k1vb?<76;295~Nc?k1vb?<77;295~Nc?k1vb?<78;295~Nc?k1vb?<79;295~Nc?k1vb?<7a;295~Nc?k1vb?<7b;295~Nc?k1vb?<7c;295~Nc?k1vb?<7d;295~Nc?k1vb?<7e;295~Nc?k1vb?<7f;295~Nc?k1vb?<60;295~Nc?k1vb?<61;295~Nc?k1vb?<62;295~Nc?k1vb?<63;295~Nc?k1vb?<64;295~Nc?k1vb?<65;295~Nc?k1vb?<66;295~Nc?k1vb?<67;295~Nc?k1vb?<68;295~Nc?k1vb?<69;295~Nc?k1vb?<6a;295~Nc?k1vb?<6b;295~Nc?k1vb?<6c;295~Nc?k1vb?<6d;295~Nc?k1vb?<6e;295~Nc?k1vb?<6f;295~Nc?k1vb?<n0;295~Nc?k1vb?<n1;295~Nc?k1vb?<n2;295~Nc?k1vb?<n3;295~Nc?k1vb?<n4;295~Nc?k1vb?<n5;295~Nc?k1vb?<n6;295~Nc?k1vb?<n7;295~Nc?k1vb?<n8;295~Nc?k1vb?<n9;295~Nc?k1vb?<na;295~Nc?k1vb?<nb;295~Nc?k1vb?<nc;295~Nc?k1vb?<nd;295~Nc?k1vb?<ne;295~Nc?k1vb?<nf;295~Nc?k1vb?<m0;295~Nc?k1vb?<m1;295~Nc?k1vb?<m2;295~Nc?k1vb?<m3;295~Nc?k1vb?<m4;295~Nc?k1vb?<m5;295~Nc?k1vb?<m6;295~Nc?k1vb?<m7;295~Nc?k1vb?<m8;295~Nc?k1vb?<m9;295~Nc?k1vb?<ma;295~Nc?k1vb?<mb;295~Nc?k1vb?<mc;295~Nc?k1vb?<md;295~Nc?k1vb?<me;295~Nc?k1vb?<mf;295~Nc?k1vb?<l0;295~Nc?k1vb?<l1;295~Nc?k1vb?<l2;295~Nc?k1vb?<l3;295~Nc?k1vb?<l4;295~Nc?k1vb?<l5;295~Nc?k1vb?<l6;295~Nc?k1vb?<l7;295~Nc?k1vb?<l8;295~Nc?k1vb?<l9;295~Nc?k1vb?<la;295~Nc?k1vb?<lb;295~Nc?k1vb?<lc;295~Nc?k1vb?<ld;295~Nc?k1vb?<le;295~Nc?k1vb?<lf;295~Nc?k1vb?<k0;295~Nc?k1vb?<k1;295~Nc?k1vb?<k2;295~Nc?k1vb?<k3;295~Nc?k1vb?<k4;295~Nc?k1vb?<k5;295~Nc?k1vb?<k6;295~Nc?k1vb?<k7;295~Nc?k1vb?<k8;295~Nc?k1vb?<k9;295~Nc?k1vb?<ka;295~Nc?k1vb?<kb;295~Nc?k1vb?<kc;295~Nc?k1vb?<kd;295~Nc?k1vb?<ke;295~Nc?k1vb?<kf;295~Nc?k1vb?<j0;295~Nc?k1vb?<j1;295~Nc?k1vb?<j2;295~Nc?k1vb?<j3;295~Nc?k1vb?<j4;295~Nc?k1vb?<j5;295~Nc?k1vb?<j6;295~Nc?k1vb?<j7;295~Nc?k1vb?<j8;295~Nc?k1vb?<j9;295~Nc?k1vb?<ja;295~Nc?k1vb?<jb;295~Nc?k1vb?<jc;295~Nc?k1vb?<jd;295~Nc?k1vb?<je;295~Nc?k1vb?<jf;295~Nc?k1vb?<i0;295~Nc?k1vb?<i1;295~Nc?k1vb?<i2;295~Nc?k1vb?<i3;295~Nc?k1vb?<i4;295~Nc?k1vb?<i5;295~Nc?k1vb?<i6;295~Nc?k1vb?<i7;295~Nc?k1vb?<i8;295~Nc?k1vb?<i9;295~Nc?k1vb?<ia;295~Nc?k1vb?<ib;295~Nc?k1vb?<ic;295~Nc?k1vb?<id;295~Nc?k1vb?<ie;295~Nc?k1vb?<if;295~Nc?k1vb?=?0;295~Nc?k1vb?=?1;295~Nc?k1vb?=?2;295~Nc?k1vb?=?3;295~Nc?k1vb?=?4;295~Nc?k1vb?=?5;295~Nc?k1vb?=?6;295~Nc?k1vb?=?7;295~Nc?k1vb?=?8;295~Nc?k1vb?=?9;295~Nc?k1vb?=?a;295~Nc?k1vb?=?b;295~Nc?k1vb?=?c;295~Nc?k1vb?=?d;295~Nc?k1vb?=?e;295~Nc?k1vb?=?f;295~Nc?k1vb?=>0;295~Nc?k1vb?=>1;295~Nc?k1vb?=>2;295~Nc?k1vb?=>3;295~Nc?k1vb?=>4;295~Nc?k1vb?=>5;295~Nc?k1vb?=>6;295~Nc?k1vb?=>7;295~Nc?k1vb?=>8;295~Nc?k1vb?=>9;295~Nc?k1vb?=>a;295~Nc?k1vb?=>b;295~Nc?k1vb?=>c;295~Nc?k1vb?=>d;295~Nc?k1vb?=>e;295~Nc?k1vb?=>f;295~Nc?k1vb?==0;295~Nc?k1vb?==1;295~Nc?k1vb?==2;295~Nc?k1vb?==3;295~Nc?k1vb?==4;295~Nc?k1vb?==5;295~Nc?k1vb?==6;295~Nc?k1vb?==7;295~Nc?k1vb?==8;295~Nc?k1vb?==9;295~Nc?k1vb?==a;295~Nc?k1vb?==b;295~Nc?k1vb?==c;295~Nc?k1vb?==d;295~Nc?k1vb?==e;295~Nc?k1vb?==f;295~Nc?k1vb?=<0;295~Nc?k1vb?=<1;295~Nc?k1vb?=<2;295~Nc?k1vb?=<3;295~Nc?k1vb?=<4;295~Nc?k1vb?=<5;295~Nc?k1vb?=<6;295~Nc?k1vb?=<7;295~Nc?k1vb?=<8;295~Nc?k1vb?=<9;295~Nc?k1vb?=<a;295~Nc?k1vb?=<b;295~Nc?k1vb?=<c;295~Nc?k1vb?=<d;295~Nc?k1vb?=<e;295~Nc?k1vb?=<f;295~Nc?k1vb?=;0;295~Nc?k1vb?=;1;295~Nc?k1vb?=;2;295~Nc?k1vb?=;3;295~Nc?k1vb?=;4;295~Nc?k1vb?=;5;295~Nc?k1vb?=;6;295~Nc?k1vb?=;7;295~Nc?k1vb?=;8;295~Nc?k1vb?=;9;295~Nc?k1vb?=;a;295~Nc?k1vb?=;b;295~Nc?k1vb?=;c;295~Nc?k1vb?=;d;295~Nc?k1vb?=;e;295~Nc?k1vb?=;f;295~Nc?k1vb?=:0;295~Nc?k1vb?=:1;295~Nc?k1vb?=:2;295~Nc?k1vb?=:3;295~Nc?k1vb?=:4;295~Nc?k1vb?=:5;295~Nc?k1vb?=:6;295~Nc?k1vb?=:7;295~Nc?k1vb?=:8;295~Nc?k1vb?=:9;295~Nc?k1vb?=:a;295~Nc?k1vb?=:b;295~Nc?k1vb?=:c;295~Nc?k1vb?=:d;295~Nc?k1vb?=:e;295~Nc?k1vb?=:f;295~Nc?k1vb?=90;295~Nc?k1vb?=91;295~Nc?k1vb?=92;295~Nc?k1vb?=93;295~Nc?k1vb?=94;295~Nc?k1vb?=95;295~Nc?k1vb?=96;295~Nc?k1vb?=97;295~Nc?k1vb?=98;295~Nc?k1vb?=99;295~Nc?k1vb?=9a;295~Nc?k1vb?=9b;295~Nc?k1vb?=9c;295~Nc?k1vb?=9d;295~Nc?k1vb?=9e;295~Nc?k1vb?=9f;295~Nc?k1vb?=80;295~Nc?k1vb?=81;295~Nc?k1vb?=82;295~Nc?k1vb?=83;295~Nc?k1vb?=84;295~Nc?k1vb?=85;295~Nc?k1vb?=86;295~Nc?k1vb?=87;295~Nc?k1vb?=88;295~Nc?k1vb?=89;295~Nc?k1vb?=8a;295~Nc?k1vb?=8b;295~Nc?k1vb?=8c;295~Nc?k1vb?=8d;295~Nc?k1vb?=8e;295~Nc?k1vb?=8f;295~Nc?k1vb?=70;295~Nc?k1vb?=71;295~Nc?k1vb?=72;295~Nc?k1vb?=73;295~Nc?k1vb?=74;295~Nc?k1vb?=75;295~Nc?k1vb?=76;295~Nc?k1vb?=77;295~Nc?k1vb?=78;295~Nc?k1vb?=79;295~Nc?k1vb?=7a;295~Nc?k1vb?=7b;295~Nc?k1vb?=7c;295~Nc?k1vb?=7d;295~Nc?k1vb?=7e;295~Nc?k1vb?=7f;295~Nc?k1vb?=60;295~Nc?k1vb?=61;295~Nc?k1vb?=62;295~Nc?k1vb?=63;295~Nc?k1vb?=64;295~Nc?k1vb?=65;295~Nc?k1vb?=66;295~Nc?k1vb?=67;295~Nc?k1vb?=68;295~Nc?k1vb?=69;295~Nc?k1vb?=6a;295~Nc?k1vb?=6b;295~Nc?k1vb?=6c;295~Nc?k1vb?=6d;295~Nc?k1vb?=6e;295~Nc?k1vb?=6f;295~Nc?k1vb?=n0;295~Nc?k1vb?=n1;295~Nc?k1vb?=n2;295~Nc?k1vb?=n3;295~Nc?k1vb?=n4;295~Nc?k1vb?=n5;295~Nc?k1vb?=n6;295~Nc?k1vb?=n7;295~Nc?k1vb?=n8;295~Nc?k1vb?=n9;295~Nc?k1vb?=na;295~Nc?k1vb?=nb;295~Nc?k1vb?=nc;295~Nc?k1vb?=nd;295~Nc?k1vb?=ne;295~Nc?k1vb?=nf;295~Nc?k1vb?=m0;295~Nc?k1vb?=m1;295~Nc?k1vb?=m2;295~Nc?k1vb?=m3;295~Nc?k1vb?=m4;295~Nc?k1vb?=m5;295~Nc?k1vb?=m6;295~Nc?k1vb?=m7;295~Nc?k1vb?=m8;295~Nc?k1vb?=m9;295~Nc?k1vb?=ma;295~Nc?k1vb?=mb;295~Nc?k1vb?=mc;295~Nc?k1vb?=md;295~Nc?k1vb?=me;295~Nc?k1vb?=mf;295~Nc?k1vb?=l0;295~Nc?k1vb?=l1;295~Nc?k1vb?=l2;295~Nc?k1vb?=l3;295~Nc?k1vb?=l4;295~Nc?k1vb?=l5;295~Nc?k1vb?=l6;295~Nc?k1vb?=l7;295~Nc?k1vb?=l8;295~Nc?k1vb?=l9;295~Nc?k1vb?=la;295~Nc?k1vb?=lb;295~Nc?k1vb?=lc;295~Nc?k1vb?=ld;295~Nc?k1vb?=le;295~Nc?k1vb?=lf;295~Nc?k1vb?=k0;295~Nc?k1vb?=k1;295~Nc?k1vb?=k2;295~Nc?k1vb?=k3;295~Nc?k1vb?=k4;295~Nc?k1vb?=k5;295~Nc?k1vb?=k6;295~Nc?k1vb?=k7;295~Nc?k1vb?=k8;295~Nc?k1vb?=k9;295~Nc?k1vb?=ka;295~Nc?k1vb?=kb;295~Nc?k1vb?=kc;295~Nc?k1vb?=kd;295~Nc?k1vb?=ke;295~Nc?k1vb?=kf;295~Nc?k1vb?=j0;295~Nc?k1vb?=j1;295~Nc?k1vb?=j2;295~Nc?k1vb?=j3;295~Nc?k1vb?=j4;295~Nc?k1vb?=j5;295~Nc?k1vb?=j6;295~Nc?k1vb?=j7;295~Nc?k1vb?=j8;295~Nc?k1vb?=j9;295~Nc?k1vb?=ja;295~Nc?k1vb?=jb;295~Nc?k1vb?=jc;295~Nc?k1vb?=jd;295~Nc?k1vb?=je;295~Nc?k1vb?=jf;295~Nc?k1vb?=i0;295~Nc?k1vb?=i1;295~Nc?k1vb?=i2;295~Nc?k1vb?=i3;295~Nc?k1vb?=i4;295~Nc?k1vb?=i5;295~Nc?k1vb?=i6;295~Nc?k1vb?=i7;295~Nc?k1vb?=i8;295~Nc?k1vb?=i9;295~Nc?k1vb?=ia;295~Nc?k1vb?=ib;295~Nc?k1vb?=ic;295~Nc?k1vb?=id;295~Nc?k1vb?=ie;295~Nc?k1vb?=if;295~Nc?k1vb?:?0;295~Nc?k1vb?:?1;295~Nc?k1vb?:?2;295~Nc?k1vb?:?3;295~Nc?k1vb?:?4;295~Nc?k1vb?:?5;295~Nc?k1vb?:?6;295~Nc?k1vb?:?7;295~Nc?k1vb?:?8;295~Nc?k1vb?:?9;295~Nc?k1vb?:?a;295~Nc?k1vb?:?b;295~Nc?k1vb?:?c;295~Nc?k1vb?:?d;295~Nc?k1vb?:?e;295~Nc?k1vb?:?f;295~Nc?k1vb?:>0;295~Nc?k1vb?:>1;295~Nc?k1vb?:>2;295~Nc?k1vb?:>3;295~Nc?k1vb?:>4;295~Nc?k1vb?:>5;295~Nc?k1vb?:>6;295~Nc?k1vb?:>7;295~Nc?k1vb?:>8;295~Nc?k1vb?:>9;295~Nc?k1vb?:>a;295~Nc?k1vb?:>b;295~Nc?k1vb?:>c;295~Nc?k1vb?:>d;295~Nc?k1vb?:>e;295~Nc?k1vb?:>f;295~Nc?k1vb?:=0;295~Nc?k1vb?:=1;295~Nc?k1vb?:=2;295~Nc?k1vb?:=3;295~Nc?k1vb?:=4;295~Nc?k1vb?:=5;295~Nc?k1vb?:=6;295~Nc?k1vb?:=7;295~Nc?k1vb?:=8;295~Nc?k1vb?:=9;295~Nc?k1vb?:=a;295~Nc?k1vb?:=b;295~Nc?k1vb?:=c;295~Nc?k1vb?:=d;295~Nc?k1vb?:=e;295~Nc?k1vb?:=f;295~Nc?k1vb?:<0;295~Nc?k1vb?:<1;295~Nc?k1vb?:<2;295~Nc?k1vb?:<3;295~Nc?k1vb?:<4;295~Nc?k1vb?:<5;295~Nc?k1vb?:<6;295~Nc?k1vb?:<7;295~Nc?k1vb?:<8;295~Nc?k1vb?:<9;295~Nc?k1vb?:<a;295~Nc?k1vb?:<b;295~Nc?k1vb?:<c;295~Nc?k1vb?:<d;295~Nc?k1vb?:<e;295~Nc?k1vb?:<f;295~Nc?k1vb?:;0;295~Nc?k1vb?:;1;295~Nc?k1vb?:;2;295~Nc?k1vb?:;3;295~Nc?k1vb?:;4;295~Nc?k1vb?:;5;295~Nc?k1vb?:;6;295~Nc?k1vb?:;7;295~Nc?k1vb?:;8;295~Nc?k1vb?:;9;295~Nc?k1vb?:;a;295~Nc?k1vb?:;b;295~Nc?k1vb?:;c;295~Nc?k1vb?:;d;295~Nc?k1vb?:;e;295~Nc?k1vb?:;f;295~Nc?k1vb?::0;295~Nc?k1vb?::1;295~Nc?k1vb?::2;295~Nc?k1vb?::3;295~Nc?k1vb?::4;295~Nc?k1vb?::5;295~Nc?k1vb?::6;295~Nc?k1vb?::7;295~Nc?k1vb?::8;295~Nc?k1vb?::9;295~Nc?k1vb?::a;295~Nc?k1vb?::b;295~Nc?k1vb?::c;295~Nc?k1vb?::d;295~Nc?k1vb?::e;295~Nc?k1vb?::f;295~Nc?k1vb?:90;295~Nc?k1vb?:91;295~Nc?k1vb?:92;295~Nc?k1vb?:93;295~Nc?k1vb?:94;295~Nc?k1vb?:95;295~Nc?k1vb?:96;295~Nc?k1vb?:97;295~Nc?k1vb?:98;295~Nc?k1vb?:99;295~Nc?k1vb?:9a;295~Nc?k1vb?:9b;295~Nc?k1vb?:9c;295~Nc?k1vb?:9d;295~Nc?k1vb?:9e;295~Nc?k1vb?:9f;295~Nc?k1vb?:80;295~Nc?k1vb?:81;295~Nc?k1vb?:82;295~Nc?k1vb?:83;295~Nc?k1vb?:84;295~Nc?k1vb?:85;295~Nc?k1vb?:86;295~Nc?k1vb?:87;295~Nc?k1vb?:88;295~Nc?k1vb?:89;295~Nc?k1vb?:8a;295~Nc?k1vb?:8b;295~Nc?k1vb?:8c;295~Nc?k1vb?:8d;295~Nc?k1vb?:8e;295~Nc?k1vb?:8f;295~Nc?k1vb?:70;295~Nc?k1vb?:71;295~Nc?k1vb?:72;295~Nc?k1vb?:73;295~Nc?k1vb?:74;295~Nc?k1vb?:75;295~Nc?k1vb?:76;295~Nc?k1vb?:77;295~Nc?k1vb?:78;295~Nc?k1vb?:79;295~Nc?k1vb?:7a;295~Nc?k1vb?:7b;295~Nc?k1vb?:7c;295~Nc?k1vb?:7d;295~Nc?k1vb?:7e;295~Nc?k1vb?:7f;295~Nc?k1vb?:60;295~Nc?k1vb?:61;295~Nc?k1vb?:62;295~Nc?k1vb?:63;295~Nc?k1vb?:64;295~Nc?k1vb?:65;295~Nc?k1vb?:66;295~Nc?k1vb?:67;295~Nc?k1vb?:68;295~Nc?k1vb?:69;295~Nc?k1vb?:6a;295~Nc?k1vb?:6b;295~Nc?k1vb?:6c;295~Nc?k1vb?:6d;295~Nc?k1vb?:6e;295~Nc?k1vb?:6f;295~Nc?k1vb?:n0;295~Nc?k1vb?:n1;295~Nc?k1vb?:n2;295~Nc?k1vb?:n3;295~Nc?k1vb?:n4;295~Nc?k1vb?:n5;295~Nc?k1vb?:n6;295~Nc?k1vb?:n7;295~Nc?k1vb?:n8;295~Nc?k1vb?:n9;295~Nc?k1vb?:na;295~Nc?k1vb?:nb;295~Nc?k1vb?:nc;295~Nc?k1vb?:nd;295~Nc?k1vb?:ne;295~Nc?k1vb?:nf;295~Nc?k1vb?:m0;295~Nc?k1vb?:m1;295~Nc?k1vb?:m2;295~Nc?k1vb?:m3;295~Nc?k1vb?:m4;295~Nc?k1vb?:m5;295~Nc?k1vb?:m6;295~Nc?k1vb?:m7;295~Nc?k1vb?:m8;295~Nc?k1vb?:m9;295~Nc?k1vb?:ma;295~Nc?k1vb?:mb;295~Nc?k1vb?:mc;295~Nc?k1vb?:md;295~Nc?k1vb?:me;295~Nc?k1vb?:mf;295~Nc?k1vb?:l0;295~Nc?k1vb?:l1;295~Nc?k1vb?:l2;295~Nc?k1vb?:l3;295~Nc?k1vb?:l4;295~Nc?k1vb?:l5;295~Nc?k1vb?:l6;295~Nc?k1vb?:l7;295~Nc?k1vb?:l8;295~Nc?k1vb?:l9;295~Nc?k1vb?:la;295~Nc?k1vb?:lb;295~Nc?k1vb?:lc;295~Nc?k1vb?:ld;295~Nc?k1vb?:le;295~Nc?k1vb?:lf;295~Nc?k1vb?:k0;295~Nc?k1vb?:k1;295~Nc?k1vb?:k2;295~Nc?k1vb?:k3;295~Nc?k1vb?:k4;295~Nc?k1vb?:k5;295~Nc?k1vb?:k6;295~Nc?k1vb?:k7;295~Nc?k1vb?:k8;295~Nc?k1vb?:k9;295~Nc?k1vb?:ka;295~Nc?k1vb?:kb;295~Nc?k1vb?:kc;295~Nc?k1vb?:kd;295~Nc?k1vb?:ke;295~Nc?k1vb?:kf;295~Nc?k1vb?:j0;295~Nc?k1vb?:j1;295~Nc?k1vb?:j2;295~Nc?k1vb?:j3;295~Nc?k1vb?:j4;295~Nc?k1vb?:j5;295~Nc?k1vb?:j6;295~Nc?k1vb?:j7;295~Nc?k1vb?:j8;295~Nc?k1vb?:j9;295~Nc?k1vb?:ja;295~Nc?k1vb?:jb;295~Nc?k1vb?:jc;295~Nc?k1vb?:jd;295~Nc?k1vb?:je;295~Nc?k1vb?:jf;295~Nc?k1vb?:i0;295~Nc?k1vb?:i1;295~Nc?k1vb?:i2;295~Nc?k1vb?:i3;295~Nc?k1vb?:i4;295~Nc?k1vb?:i5;295~Nc?k1vb?:i6;295~Nc?k1vb?:i7;295~Nc?k1vb?:i8;295~Nc?k1vb?:i9;295~Nc?k1vb?:ia;295~Nc?k1vb?:ib;295~Nc?k1vb?:ic;295~Nc?k1vb?:id;295~Nc?k1vb?:ie;295~Nc?k1vb?:if;295~Nc?k1vb?;?0;295~Nc?k1vb?;?1;295~Nc?k1vb?;?2;295~Nc?k1vb?;?3;295~Nc?k1vb?;?4;295~Nc?k1vb?;?5;295~Nc?k1vb?;?6;295~Nc?k1vb?;?7;295~Nc?k1vb?;?8;295~Nc?k1vb?;?9;295~Nc?k1vb?;?a;295~Nc?k1vb?;?b;295~Nc?k1vb?;?c;295~Nc?k1vb?;?d;295~Nc?k1vb?;?e;295~Nc?k1vb?;?f;295~Nc?k1vb?;>0;295~Nc?k1vb?;>1;295~Nc?k1vb?;>2;295~Nc?k1vb?;>3;295~Nc?k1vb?;>4;295~Nc?k1vb?;>5;295~Nc?k1vb?;>6;295~Nc?k1vb?;>7;295~Nc?k1vb?;>8;295~Nc?k1vb?;>9;295~Nc?k1vb?;>a;295~Nc?k1vb?;>b;295~Nc?k1vb?;>c;295~Nc?k1vb?;>d;295~Nc?k1vb?;>e;295~Nc?k1vb?;>f;295~Nc?k1vb?;=0;295~Nc?k1vb?;=1;295~Nc?k1vb?;=2;295~Nc?k1vb?;=3;295~Nc?k1vb?;=4;295~Nc?k1vb?;=5;295~Nc?k1vb?;=6;295~Nc?k1vb?;=7;295~Nc?k1vb?;=8;295~Nc?k1vb?;=9;295~Nc?k1vb?;=a;295~Nc?k1vb?;=b;295~Nc?k1vb?;=c;295~Nc?k1vb?;=d;295~Nc?k1vb?;=e;295~Nc?k1vb?;=f;295~Nc?k1vb?;<0;295~Nc?k1vb?;<1;295~Nc?k1vb?;<2;295~Nc?k1vb?;<3;295~Nc?k1vb?;<4;295~Nc?k1vb?;<5;295~Nc?k1vb?;<6;295~Nc?k1vb?;<7;295~Nc?k1vb?;<8;295~Nc?k1vb?;<9;295~Nc?k1vb?;<a;295~Nc?k1vb?;<b;295~Nc?k1vb?;<c;295~Nc?k1vb?;<d;295~Nc?k1vb?;<e;295~Nc?k1vb?;<f;295~Nc?k1vb?;;0;295~Nc?k1vb?;;1;295~Nc?k1vb?;;2;295~Nc?k1vb?;;3;295~Nc?k1vb?;;4;295~Nc?k1vb?;;5;295~Nc?k1vb?;;6;295~Nc?k1vb?;;7;295~Nc?k1vb?;;8;295~Nc?k1vb?;;9;295~Nc?k1vb?;;a;295~Nc?k1vb?;;b;295~Nc?k1vb?;;c;295~Nc?k1vb?;;d;295~Nc?k1vb?;;e;295~Nc?k1vb?;;f;295~Nc?k1vb?;:0;295~Nc?k1vb?;:1;295~Nc?k1vb?;:2;295~Nc?k1vb?;:3;295~Nc?k1vb?;:4;295~Nc?k1vb?;:5;295~Nc?k1vb?;:6;295~Nc?k1vb?;:7;295~Nc?k1vb?;:8;295~Nc?k1vb?;:9;295~Nc?k1vb?;:a;295~Nc?k1vb?;:b;295~Nc?k1vb?;:c;295~Nc?k1vb?;:d;295~Nc?k1vb?;:e;295~Nc?k1vb?;:f;295~Nc?k1vb?;90;295~Nc?k1vb?;91;295~Nc?k1vb?;92;295~Nc?k1vb?;93;295~Nc?k1vb?;94;295~Nc?k1vb?;95;295~Nc?k1vb?;96;295~Nc?k1vb?;97;295~Nc?k1vb?;98;295~Nc?k1vb?;99;295~Nc?k1vb?;9a;295~Nc?k1vb?;9b;295~Nc?k1vb?;9c;295~Nc?k1vb?;9d;295~Nc?k1vb?;9e;295~Nc?k1vb?;9f;295~Nc?k1vb?;80;295~Nc?k1vb?;81;295~Nc?k1vb?;82;295~Nc?k1vb?;83;295~Nc?k1vb?;84;295~Nc?k1vb?;85;295~Nc?k1vb?;86;295~Nc?k1vb?;87;295~Nc?k1vb?;88;295~Nc?k1vb?;89;295~Nc?k1vb?;8a;295~Nc?k1vb?;8b;295~Nc?k1vb?;8c;295~Nc?k1vb?;8d;295~Nc?k1vb?;8e;295~Nc?k1vb?;8f;295~Nc?k1vb?;70;295~Nc?k1vb?;71;295~Nc?k1vb?;72;295~Nc?k1vb?;73;295~Nc?k1vb?;74;295~Nc?k1vb?;75;295~Nc?k1vb?;76;295~Nc?k1vb?;77;295~Nc?k1vb?;78;295~Nc?k1vb?;79;295~Nc?k1vb?;7a;295~Nc?k1vb?;7b;295~Nc?k1vb?;7c;295~Nc?k1vb?;7d;295~Nc?k1vb?;7e;295~Nc?k1vb?;7f;295~Nc?k1vb?;60;295~Nc?k1vb?;61;295~Nc?k1vb?;62;295~Nc?k1vb?;63;295~Nc?k1vb?;64;295~Nc?k1vb?;65;295~Nc?k1vb?;66;295~Nc?k1vb?;67;295~Nc?k1vb?;68;295~Nc?k1vb?;69;295~Nc?k1vb?;6a;295~Nc?k1vb?;6b;295~Nc?k1vb?;6c;295~Nc?k1vb?;6d;295~Nc?k1vb?;6e;295~Nc?k1vb?;6f;295~Nc?k1vb?;n0;295~Nc?k1vb?;n1;295~Nc?k1vb?;n2;295~Nc?k1vb?;n3;295~Nc?k1vb?;n4;295~Nc?k1vb?;n5;295~Nc?k1vb?;n6;295~Nc?k1vb?;n7;295~Nc?k1vb?;n8;295~Nc?k1vb?;n9;295~Nc?k1vb?;na;295~Nc?k1vb?;nb;295~Nc?k1vb?;nc;295~Nc?k1vb?;nd;295~Nc?k1vb?;ne;295~Nc?k1vb?;nf;295~Nc?k1vb?;m0;295~Nc?k1vb?;m1;295~Nc?k1vb?;m2;295~Nc?k1vb?;m3;295~Nc?k1vb?;m4;295~Nc?k1vb?;m5;295~Nc?k1vb?;m6;295~Nc?k1vb?;m7;295~Nc?k1vb?;m8;295~Nc?k1vb?;m9;295~Nc?k1vb?;ma;295~Nc?k1vb?;mb;295~Nc?k1vb?;mc;295~Nc?k1vb?;md;295~Nc?k1vb?;me;295~Nc?k1vb?;mf;295~Nc?k1vb?;l0;295~Nc?k1vb?;l1;295~Nc?k1vb?;l2;295~Nc?k1vb?;l3;295~Nc?k1vb?;l4;295~Nc?k1vb?;l5;295~Nc?k1vb?;l6;295~Nc?k1vb?;l7;295~Nc?k1vb?;l8;295~Nc?k1vb?;l9;295~Nc?k1vb?;la;295~Nc?k1vb?;lb;295~Nc?k1vb?;lc;295~Nc?k1vb?;ld;295~Nc?k1vb?;le;295~Nc?k1vb?;lf;295~Nc?k1vb?;k0;295~Nc?k1vb?;k1;295~Nc?k1vb?;k2;295~Nc?k1vb?;k3;295~Nc?k1vb?;k4;295~Nc?k1vb?;k5;295~Nc?k1vb?;k6;295~Nc?k1vb?;k7;295~Nc?k1vb?;k8;295~Nc?k1vb?;k9;295~Nc?k1vb?;ka;295~Nc?k1vb?;kb;295~Nc?k1vb?;kc;295~Nc?k1vb?;kd;295~Nc?k1vb?;ke;295~Nc?k1vb?;kf;295~Nc?k1vb?;j0;295~Nc?k1vb?;j1;295~Nc?k1vb?;j2;295~Nc?k1vb?;j3;295~Nc?k1vb?;j4;295~Nc?k1vb?;j5;295~Nc?k1vb?;j6;295~Nc?k1vb?;j7;295~Nc?k1vb?;j8;295~Nc?k1vb?;j9;295~Nc?k1vb?;ja;295~Nc?k1vb?;jb;295~Nc?k1vb?;jc;295~Nc?k1vb?;jd;295~Nc?k1vb?;je;295~Nc?k1vb?;jf;295~Nc?k1vb?;i0;295~Nc?k1vb?;i1;295~Nc?k1vb?;i2;295~Nc?k1vb?;i3;295~Nc?k1vb?;i4;295~Nc?k1vb?;i5;295~Nc?k1vb?;i6;295~Nc?k1vb?;i7;295~Nc?k1vb?;i8;295~Nc?k1vb?;i9;295~Nc?k1vb?;ia;295~Nc?k1vb?;ib;295~Nc?k1vb?;ic;295~Nc?k1vb?;id;295~Nc?k1vb?;ie;295~Nc?k1vb?;if;295~Nc?k1vb?8?0;295~Nc?k1vb?8?1;295~Nc?k1vb?8?2;295~Nc?k1vb?8?3;295~Nc?k1vb?8?4;295~Nc?k1vb?8?5;295~Nc?k1vb?8?6;295~Nc?k1vb?8?7;295~Nc?k1vb?8?8;295~Nc?k1vb?8?9;295~Nc?k1vb?8?a;295~Nc?k1vb?8?b;295~Nc?k1vb?8?c;295~Nc?k1vb?8?d;295~Nc?k1vb?8?e;295~Nc?k1vb?8?f;295~Nc?k1vb?8>0;295~Nc?k1vb?8>1;295~Nc?k1vb?8>2;295~Nc?k1vb?8>3;295~Nc?k1vb?8>4;295~Nc?k1vb?8>5;295~Nc?k1vb?8>6;295~Nc?k1vb?8>7;295~Nc?k1vb?8>8;295~Nc?k1vb?8>9;295~Nc?k1vb?8>a;295~Nc?k1vb?8>b;295~Nc?k1vb?8>c;295~Nc?k1vb?8>d;295~Nc?k1vb?8>e;295~Nc?k1vb?8>f;295~Nc?k1vb?8=0;295~Nc?k1vb?8=1;295~Nc?k1vb?8=2;295~Nc?k1vb?8=3;295~Nc?k1vb?8=4;295~Nc?k1vb?8=5;295~Nc?k1vb?8=6;295~Nc?k1vb?8=7;295~Nc?k1vb?8=8;295~Nc?k1vb?8=9;295~Nc?k1vb?8=a;295~Nc?k1vb?8=b;295~Nc?k1vb?8=c;295~Nc?k1vb?8=d;295~Nc?k1vb?8=e;295~Nc?k1vb?8=f;295~Nc?k1vb?8<0;295~Nc?k1vb?8<1;295~Nc?k1vb?8<2;295~Nc?k1vb?8<3;295~Nc?k1vb?8<4;295~Nc?k1vb?8<5;295~Nc?k1vb?8<6;295~Nc?k1vb?8<7;295~Nc?k1vb?8<8;295~Nc?k1vb?8<9;295~Nc?k1vb?8<a;295~Nc?k1vb?8<b;295~Nc?k1vb?8<c;295~Nc?k1vb?8<d;295~Nc?k1vb?8<e;295~Nc?k1vb?8<f;295~Nc?k1vb?8;0;295~Nc?k1vb?8;1;295~Nc?k1vb?8;2;295~Nc?k1vb?8;3;295~Nc?k1vb?8;4;295~Nc?k1vb?8;5;295~Nc?k1vb?8;6;295~Nc?k1vb?8;7;295~Nc?k1vb?8;8;295~Nc?k1vb?8;9;295~Nc?k1vb?8;a;295~Nc?k1vb?8;b;295~Nc?k1vb?8;c;295~Nc?k1vb?8;d;295~Nc?k1vb?8;e;295~Nc?k1vb?8;f;295~Nc?k1vb?8:0;295~Nc?k1vb?8:1;295~Nc?k1vb?8:2;295~Nc?k1vb?8:3;295~Nc?k1vb?8:4;295~Nc?k1vb?8:5;295~Nc?k1vb?8:6;295~Nc?k1vb?8:7;295~Nc?k1vb?8:8;295~Nc?k1vb?8:9;295~Nc?k1vb?8:a;295~Nc?k1vb?8:b;295~Nc?k1vb?8:c;295~Nc?k1vb?8:d;295~Nc?k1vb?8:e;295~Nc?k1vb?8:f;295~Nc?k1vb?890;295~Nc?k1vb?891;295~Nc?k1vb?892;295~Nc?k1vb?893;295~Nc?k1vb?894;295~Nc?k1vb?895;295~Nc?k1vb?896;295~Nc?k1vb?897;295~Nc?k1vb?898;295~Nc?k1vb?899;295~Nc?k1vb?89a;295~Nc?k1vb?89b;295~Nc?k1vb?89c;295~Nc?k1vb?89d;295~Nc?k1vb?89e;295~Nc?k1vb?89f;295~Nc?k1vb?880;295~Nc?k1vb?881;295~Nc?k1vb?882;295~Nc?k1vb?883;295~Nc?k1vb?884;295~Nc?k1vb?885;295~Nc?k1vb?886;295~Nc?k1vb?887;295~Nc?k1vb?888;295~Nc?k1vb?889;295~Nc?k1vb?88a;295~Nc?k1vb?88b;295~Nc?k1vb?88c;295~Nc?k1vb?88d;295~Nc?k1vb?88e;295~Nc?k1vb?88f;295~Nc?k1vb?870;295~Nc?k1vb?871;295~Nc?k1vb?872;295~Nc?k1vb?873;295~Nc?k1vb?874;295~Nc?k1vb?875;295~Nc?k1vb?876;295~Nc?k1vb?877;295~Nc?k1vb?878;295~Nc?k1vb?879;295~Nc?k1vb?87a;295~Nc?k1vb?87b;295~Nc?k1vb?87c;295~Nc?k1vb?87d;295~Nc?k1vb?87e;295~Nc?k1vb?87f;295~Nc?k1vb?860;295~Nc?k1vb?861;295~Nc?k1vb?862;295~Nc?k1vb?863;295~Nc?k1vb?864;295~Nc?k1vb?865;295~Nc?k1vb?866;295~Nc?k1vb?867;295~Nc?k1vb?868;295~Nc?k1vb?869;295~Nc?k1vb?86a;295~Nc?k1vb?86b;295~Nc?k1vb?86c;295~Nc?k1vb?86d;295~Nc?k1vb?86e;295~Nc?k1vb?86f;295~Nc?k1vb?8n0;295~Nc?k1vb?8n1;295~Nc?k1vb?8n2;295~Nc?k1vb?8n3;295~Nc?k1vb?8n4;295~Nc?k1vb?8n5;295~Nc?k1vb?8n6;295~Nc?k1vb?8n7;295~Nc?k1vb?8n8;295~Nc?k1vb?8n9;295~Nc?k1vb?8na;295~Nc?k1vb?8nb;295~Nc?k1vb?8nc;295~Nc?k1vb?8nd;295~Nc?k1vb?8ne;295~Nc?k1vb?8nf;295~Nc?k1vb?8m0;295~Nc?k1vb?8m1;295~Nc?k1vb?8m2;295~Nc?k1vb?8m3;295~Nc?k1vb?8m4;295~Nc?k1vb?8m5;295~Nc?k1vb?8m6;295~Nc?k1vb?8m7;295~Nc?k1vb?8m8;295~Nc?k1vb?8m9;295~Nc?k1vb?8ma;295~Nc?k1vb?8mb;295~Nc?k1vb?8mc;295~Nc?k1vb?8md;295~Nc?k1vb?8me;295~Nc?k1vb?8mf;295~Nc?k1vb?8l0;295~Nc?k1vb?8l1;295~Nc?k1vb?8l2;295~Nc?k1vb?8l3;295~Nc?k1vb?8l4;295~Nc?k1vb?8l5;295~Nc?k1vb?8l6;295~Nc?k1vb?8l7;295~Nc?k1vb?8l8;295~Nc?k1vb?8l9;295~Nc?k1vb?8la;295~Nc?k1vb?8lb;295~Nc?k1vb?8lc;295~Nc?k1vb?8ld;295~Nc?k1vb?8le;295~Nc?k1vb?8lf;295~Nc?k1vb?8k0;295~Nc?k1vb?8k1;295~Nc?k1vb?8k2;295~Nc?k1vb?8k3;295~Nc?k1vb?8k4;295~Nc?k1vb?8k5;295~Nc?k1vb?8k6;295~Nc?k1vb?8k7;295~Nc?k1vb?8k8;295~Nc?k1vb?8k9;295~Nc?k1vb?8ka;295~Nc?k1vb?8kb;295~Nc?k1vb?8kc;295~Nc?k1vb?8kd;295~Nc?k1vb?8ke;295~Nc?k1vb?8kf;295~Nc?k1vb?8j0;295~Nc?k1vb?8j1;295~Nc?k1vb?8j2;295~Nc?k1vb?8j3;295~Nc?k1vb?8j4;295~Nc?k1vb?8j5;295~Nc?k1vb?8j6;295~Nc?k1vb?8j7;295~Nc?k1vb?8j8;295~Nc?k1vb?8j9;295~Nc?k1vb?8ja;295~Nc?k1vb?8jb;295~Nc?k1vb?8jc;295~Nc?k1vb?8jd;295~Nc?k1vb?8je;295~Nc?k1vb?8jf;295~Nc?k1vb?8i0;295~Nc?k1vb?8i1;295~Nc?k1vb?8i2;295~Nc?k1vb?8i3;295~Nc?k1vb?8i4;295~Nc?k1vb?8i5;295~Nc?k1vb?8i6;295~Nc?k1vb?8i7;295~Nc?k1vb?8i8;295~Nc?k1vb?8i9;295~Nc?k1vb?8ia;295~Nc?k1vb?8ib;295~Nc?k1vb?8ic;295~Nc?k1vb?8id;295~Nc?k1vb?8ie;295~Nc?k1vb?8if;295~Nc?k1vb?9?0;295~Nc?k1vb?9?1;295~Nc?k1vb?9?2;295~Nc?k1vb?9?3;295~Nc?k1vb?9?4;295~Nc?k1vb?9?5;295~Nc?k1vb?9?6;295~Nc?k1vb?9?7;295~Nc?k1vb?9?8;295~Nc?k1vb?9?9;295~Nc?k1vb?9?a;295~Nc?k1vb?9?b;295~Nc?k1vb?9?c;295~Nc?k1vb?9?d;295~Nc?k1vb?9?e;295~Nc?k1vb?9?f;295~Nc?k1vb?9>0;295~Nc?k1vb?9>1;295~Nc?k1vb?9>2;295~Nc?k1vb?9>3;295~Nc?k1vb?9>4;295~Nc?k1vb?9>5;295~Nc?k1vb?9>6;295~Nc?k1vb?9>7;295~Nc?k1vb?9>8;295~Nc?k1vb?9>9;295~Nc?k1vb?9>a;295~Nc?k1vb?9>b;295~Nc?k1vb?9>c;295~Nc?k1vb?9>d;295~Nc?k1vb?9>e;295~Nc?k1vb?9>f;295~Nc?k1vb?9=0;295~Nc?k1vb?9=1;295~Nc?k1vb?9=2;295~Nc?k1vb?9=3;295~Nc?k1vb?9=4;295~Nc?k1vb?9=5;295~Nc?k1vb?9=6;295~Nc?k1vb?9=7;295~Nc?k1vb?9=8;295~Nc?k1vb?9=9;295~Nc?k1vb?9=a;295~Nc?k1vb?9=b;295~Nc?k1vb?9=c;295~Nc?k1vb?9=d;295~Nc?k1vb?9=e;295~Nc?k1vb?9=f;295~Nc?k1vb?9<0;295~Nc?k1vb?9<1;295~Nc?k1vb?9<2;295~Nc?k1vb?9<3;295~Nc?k1vb?9<4;295~Nc?k1vb?9<5;295~Nc?k1vb?9<6;295~Nc?k1vb?9<7;295~Nc?k1vb?9<8;295~Nc?k1vb?9<9;295~Nc?k1vb?9<a;295~Nc?k1vb?9<b;295~Nc?k1vb?9<c;295~Nc?k1vb?9<d;295~Nc?k1vb?9<e;295~Nc?k1vb?9<f;295~Nc?k1vb?9;0;295~Nc?k1vb?9;1;295~Nc?k1vb?9;2;295~Nc?k1vb?9;3;295~Nc?k1vb?9;4;295~Nc?k1vb?9;5;295~Nc?k1vb?9;6;295~Nc?k1vb?9;7;295~Nc?k1vb?9;8;295~Nc?k1vb?9;9;295~Nc?k1vb?9;a;295~Nc?k1vb?9;b;295~Nc?k1vb?9;c;295~Nc?k1vb?9;d;295~Nc?k1vb?9;e;295~Nc?k1vb?9;f;295~Nc?k1vb?9:0;295~Nc?k1vb?9:1;295~Nc?k1vb?9:2;295~Nc?k1vb?9:3;295~Nc?k1vb?9:4;295~Nc?k1vb?9:5;295~Nc?k1vb?9:6;295~Nc?k1vb?9:7;295~Nc?k1vb?9:8;295~Nc?k1vb?9:9;295~Nc?k1vb?9:a;295~Nc?k1vb?9:b;295~Nc?k1vb?9:c;295~Nc?k1vb?9:d;295~Nc?k1vb?9:e;295~Nc?k1vb?9:f;295~Nc?k1vb?990;295~Nc?k1vb?991;295~Nc?k1vb?992;295~Nc?k1vb?993;295~Nc?k1vb?994;295~Nc?k1vb?995;295~Nc?k1vb?996;295~Nc?k1vb?997;295~Nc?k1vb?998;295~Nc?k1vb?999;295~Nc?k1vb?99a;295~Nc?k1vb?99b;295~Nc?k1vb?99c;295~Nc?k1vb?99d;295~Nc?k1vb?99e;295~Nc?k1vb?99f;295~Nc?k1vb?980;295~Nc?k1vb?981;295~Nc?k1vb?982;295~Nc?k1vb?983;295~Nc?k1vb?984;295~Nc?k1vb?985;295~Nc?k1vb?986;295~Nc?k1vb?987;295~Nc?k1vb?988;295~Nc?k1vb?989;295~Nc?k1vb?98a;295~Nc?k1vb?98b;295~Nc?k1vb?98c;295~Nc?k1vb?98d;295~Nc?k1vb?98e;295~Nc?k1vb?98f;295~Nc?k1vb?970;295~Nc?k1vb?971;295~Nc?k1vb?972;295~Nc?k1vb?973;295~Nc?k1vb?974;295~Nc?k1vb?975;295~Nc?k1vb?976;295~Nc?k1vb?977;295~Nc?k1vb?978;295~Nc?k1vb?979;295~Nc?k1vb?97a;295~Nc?k1vb?97b;295~Nc?k1vb?97c;295~Nc?k1vb?97d;295~Nc?k1vb?97e;295~Nc?k1vb?97f;295~Nc?k1vb?960;295~Nc?k1vb?961;295~Nc?k1vb?962;295~Nc?k1vb?963;295~Nc?k1vb?964;295~Nc?k1vb?965;295~Nc?k1vb?966;295~Nc?k1vb?967;295~Nc?k1vb?968;295~Nc?k1vb?969;295~Nc?k1vb?96a;295~Nc?k1vb?96b;295~Nc?k1vb?96c;295~Nc?k1vb?96d;295~Nc?k1vb?96e;295~Nc?k1vb?96f;295~Nc?k1vb?9n0;295~Nc?k1vb?9n1;295~Nc?k1vb?9n2;295~Nc?k1vb?9n3;295~Nc?k1vb?9n4;295~Nc?k1vb?9n5;295~Nc?k1vb?9n6;295~Nc?k1vb?9n7;295~Nc?k1vb?9n8;295~Nc?k1vb?9n9;295~Nc?k1vb?9na;295~Nc?k1vb?9nb;295~Nc?k1vb?9nc;295~Nc?k1vb?9nd;295~Nc?k1vb?9ne;295~Nc?k1vb?9nf;295~Nc?k1vb?9m0;295~Nc?k1vb?9m1;295~Nc?k1vb?9m2;295~Nc?k1vb?9m3;295~Nc?k1vb?9m4;295~Nc?k1vb?9m5;295~Nc?k1vb?9m6;295~Nc?k1vb?9m7;295~Nc?k1vb?9m8;295~Nc?k1vb?9m9;295~Nc?k1vb?9ma;295~Nc?k1vb?9mb;295~Nc?k1vb?9mc;295~Nc?k1vb?9md;295~Nc?k1vb?9me;295~Nc?k1vb?9mf;295~Nc?k1vb?9l0;295~Nc?k1vb?9l1;295~Nc?k1vb?9l2;295~Nc?k1vb?9l3;295~Nc?k1vb?9l4;295~Nc?k1vb?9l5;295~Nc?k1vb?9l6;295~Nc?k1vb?9l7;295~Nc?k1vb?9l8;295~Nc?k1vb?9l9;295~Nc?k1vb?9la;295~Nc?k1vb?9lb;295~Nc?k1vb?9lc;295~Nc?k1vb?9ld;295~Nc?k1vb?9le;295~Nc?k1vb?9lf;295~Nc?k1vb?9k0;295~Nc?k1vb?9k1;295~Nc?k1vb?9k2;295~Nc?k1vb?9k3;295~Nc?k1vb?9k4;295~Nc?k1vb?9k5;295~Nc?k1vb?9k6;295~Nc?k1vb?9k7;295~Nc?k1vb?9k8;295~Nc?k1vb?9k9;295~Nc?k1vb?9ka;295~Nc?k1vb?9kb;295~Nc?k1vb?9kc;295~Nc?k1vb?9kd;295~Nc?k1vb?9ke;295~Nc?k1vb?9kf;295~Nc?k1vb?9j0;295~Nc?k1vb?9j1;295~Nc?k1vb?9j2;295~Nc?k1vb?9j3;295~Nc?k1vb?9j4;295~Nc?k1vb?9j5;295~Nc?k1vb?9j6;295~Nc?k1vb?9j7;295~Nc?k1vb?9j8;295~Nc?k1vb?9j9;295~Nc?k1vb?9ja;295~Nc?k1vb?9jb;295~Nc?k1vb?9jc;295~Nc?k1vb?9jd;295~Nc?k1vb?9je;295~Nc?k1vb?9jf;295~Nc?k1vb?9i0;295~Nc?k1vb?9i1;295~Nc?k1vb?9i2;295~Nc?k1vb?9i3;295~Nc?k1vb?9i4;295~Nc?k1vb?9i5;295~Nc?k1vb?9i6;295~Nc?k1vb?9i7;295~Nc?k1vb?9i8;295~Nc?k1vb?9i9;295~Nc?k1vb?9ia;295~Nc?k1vb?9ib;295~Nc?k1vb?9ic;295~Nc?k1vb?9id;295~Nc?k1vb?9ie;295~Nc?k1vb?9if;295~Nc?k1vb?6?0;295~Nc?k1vb?6?1;295~Nc?k1vb?6?2;295~Nc?k1vb?6?3;295~Nc?k1vb?6?4;295~Nc?k1vb?6?5;295~Nc?k1vb?6?6;295~Nc?k1vb?6?7;295~Nc?k1vb?6?8;295~Nc?k1vb?6?9;295~Nc?k1vb?6?a;295~Nc?k1vb?6?b;295~Nc?k1vb?6?c;295~Nc?k1vb?6?d;295~Nc?k1vb?6?e;295~Nc?k1vb?6?f;295~Nc?k1vb?6>0;295~Nc?k1vb?6>1;295~Nc?k1vb?6>2;295~Nc?k1vb?6>3;295~Nc?k1vb?6>4;295~Nc?k1vb?6>5;295~Nc?k1vb?6>6;295~Nc?k1vb?6>7;295~Nc?k1vb?6>8;295~Nc?k1vb?6>9;295~Nc?k1vb?6>a;295~Nc?k1vb?6>b;295~Nc?k1vb?6>c;295~Nc?k1vb?6>d;295~Nc?k1vb?6>e;295~Nc?k1vb?6>f;295~Nc?k1vb?6=0;295~Nc?k1vb?6=1;295~Nc?k1vb?6=2;295~Nc?k1vb?6=3;295~Nc?k1vb?6=4;295~Nc?k1vb?6=5;295~Nc?k1vb?6=6;295~Nc?k1vb?6=7;295~Nc?k1vb?6=8;295~Nc?k1vb?6=9;295~Nc?k1vb?6=a;295~Nc?k1vb?6=b;295~Nc?k1vb?6=c;295~Nc?k1vb?6=d;295~Nc?k1vb?6=e;295~Nc?k1vb?6=f;295~Nc?k1vb?6<0;295~Nc?k1vb?6<1;295~Nc?k1vb?6<2;295~Nc?k1vb?6<3;295~Nc?k1vb?6<4;295~Nc?k1vb?6<5;295~Nc?k1vb?6<6;295~Nc?k1vb?6<7;295~Nc?k1vb?6<8;295~Nc?k1vb?6<9;295~Nc?k1vb?6<a;295~Nc?k1vb?6<b;295~Nc?k1vb?6<c;295~Nc?k1vb?6<d;295~Nc?k1vb?6<e;295~Nc?k1vb?6<f;295~Nc?k1vb?6;0;295~Nc?k1vb?6;1;295~Nc?k1vb?6;2;295~Nc?k1vb?6;3;295~Nc?k1vb?6;4;295~Nc?k1vb?6;5;295~Nc?k1vb?6;6;295~Nc?k1vb?6;7;295~Nc?k1vb?6;8;295~Nc?k1vb?6;9;295~Nc?k1vb?6;a;295~Nc?k1vb?6;b;295~Nc?k1vb?6;c;295~Nc?k1vqpsO@By1add=0l3i5>jr@A@x4xFGXrwKL
\ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v new file mode 100644 index 000000000..e231eaf35 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.v +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_ila( + CONTROL, + CLK, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [127 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo new file mode 100644 index 000000000..4c4ce1250 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo @@ -0,0 +1,30 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.veo +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_ila YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .TRIG0(TRIG0) // IN BUS [127:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco new file mode 100644 index 000000000..823a5cccf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 30 18:46:21 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=chipscope_ila +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=0 +CSET data_same_as_trigger=true +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=false +CSET exclude_from_data_storage_10=false +CSET exclude_from_data_storage_11=false +CSET exclude_from_data_storage_12=false +CSET exclude_from_data_storage_13=false +CSET exclude_from_data_storage_14=false +CSET exclude_from_data_storage_15=false +CSET exclude_from_data_storage_16=false +CSET exclude_from_data_storage_2=false +CSET exclude_from_data_storage_3=false +CSET exclude_from_data_storage_4=false +CSET exclude_from_data_storage_5=false +CSET exclude_from_data_storage_6=false +CSET exclude_from_data_storage_7=false +CSET exclude_from_data_storage_8=false +CSET exclude_from_data_storage_9=false +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=1024 +CSET sample_on=Rising +CSET trigger_port_width_1=128 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T14:13:15Z +# END Extra information +GENERATE +# CRC: 76868b6e diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt new file mode 100644 index 000000000..5c3195e53 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt @@ -0,0 +1,18 @@ +# Output products list for <chipscope_ila> +_xmsgs/pn_parser.xmsgs +chipscope_ila.asy +chipscope_ila.cdc +chipscope_ila.constraints/chipscope_ila.ucf +chipscope_ila.constraints/chipscope_ila.xdc +chipscope_ila.gise +chipscope_ila.ncf +chipscope_ila.ngc +chipscope_ila.ucf +chipscope_ila.v +chipscope_ila.veo +chipscope_ila.xco +chipscope_ila.xdc +chipscope_ila.xise +chipscope_ila_flist.txt +chipscope_ila_readme.txt +chipscope_ila_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt new file mode 100644 index 000000000..9d997cd6f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'chipscope_ila' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila.cdc + * chipscope_ila.constraints/chipscope_ila.ucf + * chipscope_ila.constraints/chipscope_ila.xdc + * chipscope_ila.ncf + * chipscope_ila.ngc + * chipscope_ila.ucf + * chipscope_ila.v + * chipscope_ila.veo + * chipscope_ila.xdc + * chipscope_ila_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila.gise + * chipscope_ila.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl new file mode 100755 index 000000000..b18241e0b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is <core_name>_xmdf +package provide chipscope_ila_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is <core_name>_xmdf +namespace eval ::chipscope_ila_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: <module_name> +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila +} +# ::chipscope_ila_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp new file mode 100644 index 000000000..127790678 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx150 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET package = csg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy new file mode 100644 index 000000000..fd6eef6f7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_4k_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[9:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[9:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf new file mode 100644 index 000000000..e69de29bb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc new file mode 100644 index 000000000..61795f415 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$67`44<,[o}e~g`n;"2*73>(-80!<m4/+])[WGIOL*!=6>6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ<UMNINM1>50?30?4<NFY__6_KHCD@?4?69981:6D@_UU8QWQG;83:5=<5>:HLSQQ<U[]H7<7>11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ<CAYK7?7>11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L:46@CB<>0FIHKJML??;7CBEDGFIHKJMLONAd95wi~0=jyl85:"301837<NFY__6IGAA=52>586;2=96D@_UU8GMKD;?80;2<<4738JJUSS2mce0:?50?36?24=G\^[YY4KOTVB827=87;>7:<5OTVSQQ<CG\^I0:?50?37?24=G\^[YY4kotv?34<76;1<J<574::345><09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52<?11?<2=?56:HLSQQ<FLMXJ054?>008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?<IMNYM1?<>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;><IMNYN191a:CG@WD;03:546OKDS@?<;><IMNYN1712:CF7>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K859@HND23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;1<L@K7=808;EKB8409?2NBM1?8>69GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1<L@K7>>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?<L@K7?<4?>69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0<L@H7>394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;><L@DJ0<?18:FJJD:6:720HD@N<01=<>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;><L@DJ0<717:FJJD:6611OECO321<;?AOII58:255KIOC?678?3MCEM1<<>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;><L@DJ0>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;><L@DJ0>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF4<?546JFN@>62;><L@DJ08918:FJJD:20720HD@N<4;=3>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;><L@DI0<:18:FJJG:6=720HD@M<04=<>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;><L@DI0>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;<?437IGAB=64:==CAGH78507;EKMF92>6>1OECL34?:8@LHE4<:546JFNC>65;><L@DI08<18:FJJG:2;720HD@M<46=<>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;1<L@DI0807;EKMF907611OECL360<;?AOIJ5<9255KIO@?268?3MCEN18;>99GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g<L@DI0:?50?:8@LHE4>;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;1<L@ZI0=08;EKSF979?2NB\O2=>89GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;1<LFK7=<08;EMB8449?2NDM1?<>69GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;0<LFK78384DNC?1;0<LFK7:384DNC?3;0<LFK74384DNC?=;1<LFKT^HI9;EMA85803MEI0<>17:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?<LF__M1<8>89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?<LF__M1:8>89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?<LF__M188>89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?<LF__N1<9>89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?<LF__N1:9>89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?<LF__N189>89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?<?230164=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@Hj4IOHFGQBEA]OY^i5FNKG@PVDN\LXY?6GAV39OM3=KGHNNH;5COBIF@2=KGNCHMA:4LTV10>JR\:>0@XZ;4:NVP02<D\^=96C\GNL6?HS_KPi0Ald`rWgqwliik2Gbbb|Yesqjkk4<F980B<=4N020?K75;2D:8>5A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^l;Q,14456889T@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN56^D_INVPKK43YXN:6^\DNLF5>W63[k0^LCM17@TAWe<ZMKOH_KLC=2=g>TCIMNYINM31?a8VAGCL[OHO1<1c:PGEABUMJI7?3m4RECG@WCDK5>5o6\KAEFQAFE;=7i0^IOKDSG@G909k2XOMIJ]EBA?3;c<ZMKOH_KLC=:94;e<ZMKOH_KLC=:=2>TBOJOJn6\JGBGB85<7601YIJMJA=2=2>TBOJOIn6\JGBGA85<7601YIJMJB=2=0>TT\H30^^ZN<183:2=U[]K7<3:4RRVA=>TT\K6;6=08;SQWF969?2XXXYW_Ed9QWQYWZ@EYEYWPAd9QWQYWZ@EYEYWPB09P3>UEA]OY^<?4SIPFCZC_\[KHXR@HCd9PLWC@WDCES^GUE018WLKXKFFGE^G@N^AKAFMf3ZCFSIKYIBG5?VIRZJO37^^NRUCM22=TZ_KGSL94SSTBHZD13Z^JXX];;R[MG1=SZ\O?7YW_E7d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~R\K_QLWV^6ZW[NT\CZ][0_\\JTX=;UdSua30?35b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\9TUYHR^ATSY1YZ^HZV?:SbQwo=2=53`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP?PQ]D^RMPW]3UVRD^R:6_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V:R_SF\TKRUS<WTTB\P49]l[}i;87;=j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtXZMU[BY\T5\]Q@ZVI\[Q=QRV@R^64[jYg5:5=;h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzVXOS]@[RZ4^[WBXXG^YW:SPXNP\03YhWqe7<3?9f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX3XYULVZEX_U7]^ZLVZ22WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]6UV]YS]@[RZ0^[]IUW>UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZV<TcRv`<1<22`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$Aua}_VP\TKRUS:WT[_Q_NUPX0XY_G[U>SbQwo=2=53c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^UQ[UHSZR>VSZ\PPOVQ_0[XPFXT8RaPxn>3:40b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]TVZVI\[Q>QRY]_QLWV^0ZWQEYS>Q`_ym?4;71m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\SWYWF]XP:PQXR^RMPW]0UVRD^R<Po^zl8586>l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[RTXXG^YW:SPWS]SJQT\0TUSC_Q>_n]{k9699?90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/SF\TKRUS9WT^IQ_NUPX5XY_G[U>>Ra93:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ULVZEX_U>]^PG[UHSZR8VSUA]_43\k35<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-Q@ZVI\[Q8QR\K_QLWV^2ZWQEYS97Po718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'[NT\CZ][5_\VAYWF]XP9PQWOS]7<Zi1;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!]D^RMPW]2UVXOS]@[RZ4^[]IUW==Tc;=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m57>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%YHR^ATSY4YZTCWYD_^V6R_YMQ[13Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS9WT[_Q_NUPX5XY_G[U3Sb8=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*QUWYD_^V?R_VP\TKRUS;WTTB\P7^m56>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\;TU\^R^ATSY7YZ^HZV?Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW9SPWS]SJQT\=TUSC_Q;_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ7^[RTXXG^YW;SPXNP\7Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]1UV]YS]@[RZ5^[]IUW;Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP;PQXR^RMPW]?UVRD^R?Po768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=6=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C4<4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J36?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:06?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!re]ppbYnfz~$H161669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#\Q}ef]222=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)VW{olS?88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/P]qabY4>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-R[wc`W1<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2?>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+A:?6?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!ws]ppbYnfz~$]R|jg^253>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT=;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ41?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&[T~hiP3758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,UZtboV>=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\131<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(YVxnkR897:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.S\v`aX??=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!ws]ppbYnfz~$]R|jg^:50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;87<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#I2>>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@949>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0>094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?0;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6>2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z D=4=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)C4>4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"J38?4;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumn6;2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc979>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<3<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7?387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=7=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol0;098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;?7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#\Q}ef>;:31<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR>97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`aX9?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg^053>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT?;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ21?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP5758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,UZtboV<=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"_Prde\331<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR694:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?4;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6:2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=0=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4:4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J34?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:26?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$H181659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-G8281<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&N74387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*rtX{}mTec}{/P]qab:76?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=3=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0?098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;;7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef>7:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1;1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4?4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?3;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij27>758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV:=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\531<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR<97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX;?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^653>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT9;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z Q^pfcZ01?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&[T~hiP7758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV2>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!}d^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:36<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce080:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>5:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4>4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec27>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[5353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ=539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\704<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW=?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR;:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]517=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX?<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkceS5;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[4363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW;?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS>;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[0363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW??:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS:;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_94g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n4f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n35`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m5a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m236=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:768<o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7?Wf=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7>9Vrd0=0>6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=4Q`729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>=?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX<XYummhiRv`r^03[j153\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX<XYummhiRv`r^025Z~h494=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY59Ve<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY5:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[74Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[756Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV88Sb;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=2=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flh;97?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVhbb1<1529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pbhl?7;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZdnf5>59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`35?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jj909=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`d7;3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=:=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX8<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^066>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY4=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT88<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_471?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ02:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU<9?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`P8438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\407<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT>8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP3438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\007<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX=<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT:8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP7438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\<25<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_022[}i;87;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY68Ve<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY698Usc1>16e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=<Q`739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=??Pxn>3:20<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_002[}i;87UX[=8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;9Sb9=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8=Rv`<1<5`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>3^m5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ9_n4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R8Po04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R9Po7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`1608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5?Pxn>3:40d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX<XYummhiRv`r^:\k24<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_83\|j:768<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ?Xg>n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\g|:66>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;:7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss4:4<i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU6]^EM@Z57?VeTmijP3^MVPZjr|5>5;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT9\]DJAY48>UdSljk_2]LQQYk}}6>2:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[8_\CKBX;9=TcRokd^1\KPRXd|~7:39j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.Ob`aYcaolT{Q}dZ;^[BHCW::<SbQnde]0[JSSWe0:08e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NeabXl`lmSz|PreY:YZAILV9;;RaPaef\7ZIR\Vf~x161789V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IhsWmcmjRy}_sfX=XY@FMU8<:Q`_lw{[0YH]];:;45Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mlw{[aoanV}ySjT9\]DJAY48>UdS`{w_4]LQQ46?01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A`{w_ekebZquW{nP5PQHNE]042YhWdsS8Q@UU123<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EdsSigif^uq[wb\1TULBIQ<06]l[hsW<UDYY:>6`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXkp6;2;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;97<j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^az8781i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2;>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7938n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4?4=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?4;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rbzt=3=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1=16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXd|~7838m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZjr|5?5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;>7<i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^nvp919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?<;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=2=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTtb|31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVrd~1<16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXpfx7?38m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZ~hz5>5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;=7<i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^zlv909>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?3;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=:=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5:5;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=3=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\585;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=1=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=6=541e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^783?>_RU333=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5>:o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>7:Zdcl9=>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ35?55?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;=7;<96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_224[jYj}qU>SB[[<7<42>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::<SbQbuy]6[JSS4?4:;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=5=3g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5=5=<Q\W15a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;?7;:S^Y>7c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,`l`aW~xT~iU6]^EM@Z57?VeTaxvP5^MVP91998UX[?99;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?3;40=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__05086:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8=86?<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X171779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,`l`aW~xT~iU6]^EM@Z57?VeTaxvP5^MVP9?99?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#igif^uq[wbX|dz7<3;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXa5:59o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;97?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\m949=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k?7;3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&xoSimPi=6=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRg35?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTe1815c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,vaYckVc7;3;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXa5259o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;17?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ62i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP24c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS>;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV>>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[lY2=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k\20g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_67b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR6:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U2:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>14`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/SCN[WC@G\^TIC?95:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7102_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?"Io4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb<8:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`70>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?#Fn07b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.gntqXn~lSkl:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!jmqvz[cqa|Vc<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%lcd`h_dosp|Yao~T`hoyioe\ahvsqVl|jyQ@R^:\k2><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+binfnUna}zv_guepZjbicekRkbpu{\br`sWFXT4Ra>5g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz ndzw[cqa|Vymyk30?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.wpawYqieco:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m?/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e7';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr`q-vvrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\<T$la~ bs478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,r4:76<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr`q-vvrt'j;$z<2?>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o<!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV<Tc;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT:Ra>539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos50d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{nT|cz}_qnvw4:76>=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HgclVmh<#m`uovX<XY@FMU958Q`_`fg[5YH]]Usc2>>0343>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW[}iu4;4:=:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>0:470?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1658Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@okd^e`4+eh}g~P4PQHNE]1=0YhWhnoS=Q@UU]{kw:268;<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<7<252><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY51<UdSljk_1]LQQYg{6=2<?>769V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT<RAZT^zlv91998=<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^Ttb|38?3210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5?5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=4=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5=5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=:=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R2VSJ@K_3;6[jYflmU;SB[[<0<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP287\kZgclV:TCXZ32?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=94]l[dbcW9UDYY2<>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<65^m\eabX8VE^X1:16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__0809c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^7:38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU958Q`_`fg[5YH]]6<2;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\525995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^360>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW;??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP3468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY3==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR;:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[3333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T;8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu];1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0=0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;97?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>1:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1=1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64=4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?1;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<29>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95=5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f28=82?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P0458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\601<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R=:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X<<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^763>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z12?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P87f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP303\kZKRPV;;<Ra>1728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\<T$la~ bs478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,r4:76<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j;$z<2?>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o<!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? v0>7:4073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:76?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.bcqv|hb|5;5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!hmtz-gdtuqgo0?090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.enq}(di{xrbhz33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW=S!gl3-i4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#ib[0_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/en_7[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW9S!glq-iv323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5';7<3;9;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/w3?4;72=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&|:0<0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.t28486=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k;%}=1<1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c3-u59499<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$z<2<>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,r4:468?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?34?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;<7;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>679V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} cnos5ZAILV9:=RaPMTZ\556Xg<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'jef|?;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"|nm^dvhiYajVc>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%ym`Qiumn\m2e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQly=3=3f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRmv<9<4a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^?ZWNDOS>?<_n]b`aY3WF__Snw38?323a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=0=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=1=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=6=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=7=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=4=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=5=3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRbzt=:=<0=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRv`r=3=54YNF_U;;k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVPZ~hz585=<9i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX;89TcRokd^6\KPRXpfx7?3?>7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:110:3?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|34?325=2<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQwos>7:476WZ];;k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVPZ~hz5>5=?9i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX;89TcRokd^6\KPRXpfx793?>7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~181105e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|37?323c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX<VE^XRv`r=:=540>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw30?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7=386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;:7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;0>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw34?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs79386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;>7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?3;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sa{{<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;;7<j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss414=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<6<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~161759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW8480<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^7>39;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU>0:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5>5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<4<40>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[8_\CKBX;89TcRokd^6\KPR;>7=?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#igif^uq[wb\1TULBIQ<12]l[dbcW=UDYY28>668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVP9>9>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`Zrjx5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2?>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?5;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4;4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1=1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>7:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;=7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0;092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=5=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:?6?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|Vc7538>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_142?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW;<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS>8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_542?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[0063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW?<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS:8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_942?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[<2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$~lcPws]fjZo6?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\0TULBIQ<08]l[dbcW9UDYYQwos>2:470k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-NeabXo`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^XRv`r=0=541d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Ob`aY`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__Sua}<2<252e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_=[XOGNT?=7Po^cg`Z6XG\^Ttb|34?323f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| M`fg[bod8'mh<#m`uovX<XY@FMU8<4Q`_`fg[5YH]]Usc2:>034g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~181105g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0;0>105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0:0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx743?>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6:28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;:7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw8682i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at=6=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~by2:>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~7:3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphs4>4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp9>9?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\5;5;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^X1<1709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^13=ZiXimnT<RAZT=1=34=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byU7]^EM@Z571VeTmijP0^MVP929?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\5?5;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^X181709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^13=ZiXimnT<RAZT=5=34=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byU7]^EM@Z571VeTmijP0^MVP9>9=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]21<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ=589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U8945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY3=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]61<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ9589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U<945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY?=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5969=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5979=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5949=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5959=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5929=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5939=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5909=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5919=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g59>9=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5Z62k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f2[43d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_e3\60e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczPd0]01f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk1^66g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_47`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<Q85b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Uo=R6:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90?0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90>0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9090:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9080:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90;0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90:0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9050:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9S?;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm8T?8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;U?9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc:V?>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb5W??h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X?<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7Y?=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z;7<3Qfnw7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt({U{by|Ppmwp5969W`d}=RGAV^263>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw7:66Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}=<0<\mkp6W@D]S=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;87>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5583i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<03=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?=>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6:?3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;9=4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8439<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=35:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>7?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=50;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4835845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m979<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=03:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2=1?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7>?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9436=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>11;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg327<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:517>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg331<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>?14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa59929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:4;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?7183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<27=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=1=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;<;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8159<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=67:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2;5?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc78;0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4==58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m92?6=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>7=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg34?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc79=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9356=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>67;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg355<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub08;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:2?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<4;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:197>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?2783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<71=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te18;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6=93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8319<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=4;:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd299?6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7:3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;?94?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8279<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=5=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te161489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa535855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ6302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_06:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT==:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX98>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\572>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP126:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=9:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX9<>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\532>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP166:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=5:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX90>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\61?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=05;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U9=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5:=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]171?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=45;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U99974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5>=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]131?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=85;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U95964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^130<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV99845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ54<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^170<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=:489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV9=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ50<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^1;0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=6499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[16312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_537=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS9<;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW=9?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_577=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS98;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW==?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[1>312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_5;7<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=9>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\142>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP536:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9>:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\102>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP576:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9::6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=1>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\1<2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=<974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY19=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]561?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ935;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=8974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY1==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]521?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ975;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=4974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY11=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]40<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9?489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV=:855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ>302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_8:2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?4;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9943>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0<?1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=31:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:6;7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=9072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<07=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9716180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:;36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg319<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j84?9081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1<?>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>15;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;:;43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?=1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=07:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5=7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>;072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<35=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94?6180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`69536>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg32?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?758?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1==>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>07;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;=43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>;1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=15:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:4?7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?5072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2;=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>;25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;1?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?078?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=954?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1:;>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>71;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;<?43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0991839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6;:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:3172:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7836=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg351<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80790;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:3?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?118?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4<?54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1;9>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>63;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=143>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0871809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=7=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9076180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6==36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg363<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5<?25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd295?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?238?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4?=54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe187>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5=;>63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;=072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<63=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m919081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5254<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe171809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&|j`dj!crvq0a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm0`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm565<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtcWld8;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zhgT~hi`uu]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld8j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zmU{by|30?]jjs7XAG\T<8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZtcW{ySl}}ef]DJAY6:VeTAXVP2^m2503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tRy}_sqw[duumnULBIQ>2^m\IP^X9Ve:=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/SQW[CSKDVMNB964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/fpppa7)o{ySk{cl/pppZb6<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e07<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th>:l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumn6;29m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy }d^pppZtbo5;58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4;4?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ73j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?<d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hi;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPGOF\57YhWD_SS?Q`419V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Ujkh_e374>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`75d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_bmnf6b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa56b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfc1c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfcZAILV;9SbQBUY]2[j273\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_`qqabYc9=:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\evtboVn9?n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYdgdh8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!xr^pppZehek;h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCF4=4=7ZKN<4<5?RCF4?437ZKN<683:3=PMH6<2;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA86813^OI0909;VGA80813^OI0;07;VGA82<76?1\IO28>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?6Wjs9c8[ZY_DGGTSR>P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FmijPgha3*be7&je~byU7]^EM@Z571VeTmijP0^MVPZ~hz5>5=<69;^]\\IHJWVU:<RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>1:47?02UTSUBAM^]\54YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR3VSJ@K_224[jYj}qU>SB[[105g?ZYXPEDFSRQ>2^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS<8Po05`?ZYXPEDFSRQ>3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`14g8[ZY_DGGTSR?;_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*tfeV}ySh`Pi05g?ZYXPEDFSRQ>5^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>05f?ZYXPEDFSRQ>6^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~R\K_QLWV^7ZW[NT\CZ][3_\\JTX=8UdSua30?34a>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<23`=XWVRGB@QP_0:\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\?TUYHR^ATSY;YZ^HZV>>SbQwo=2=52b<WVUS@CCP_^3:[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$Aua}_VP\TKRUS>WT[_Q_NUPX<XY_G[U:SbQwo=2=5=1<WVUS@CCP_^3\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X>?8e:]\[]JIEVUT>=QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY6YZTCWYD_^V8R_YMQ[11XgVrd0=0>7e9\[Z^KFDUTS??P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>659\[Z^KFDUTS?<P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-Nip~X{UyyQnssgd[BHCW88TcRCZX^3\k471<2UTSUBAM^]\66YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&GfyuQ}d^pppZgtzlmTKCJP13]l[HS_W;Ud=<6:;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EhnoSjm?.bmvjq]?UVMEHR<65^m\eabX8VE^XRv`r=6=54>63VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:7682;7RQPXMLN[ZY3WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^>ZW{ooynkPxnp\<4Yg5:5=:>4_^][HKKXWV?TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>8`9\[Z^KFDUTS;QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GjhiQhib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW[}iu4>4:=5;4_^][HKKXWV=TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<253?<WVUS@CCP_^:\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"hxfu-QEHYUMNE^XRKA1658[ZY_DGGTSR7P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa4*Ag;:46lck^ofiZabfVmnbh|fc^appw7?3kf`S`kb_fgm[utneV}bhyfP10:8fimXelgTkh`Ppskn[roc|aU9=i5mlj]nahY`mgU{~dcPwscst`tX{?U:=i5mlj]nahY`mgU{~dcPwscst`tX{?U9j6lck^ofiZabfV~d|<:4bmi\i`kXoldTz:Q>_yqw56=edbUfi`Qfnqww[gjhkb;?7obd_lgn[jssx|~Tnaalkc9`hnYWyd~~94cnosewca3jy~Rhxfu]ppdrb;2noa;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;1<l`d7=409;ekm84803mce0?>17:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1<l`d7>:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;1<l`d7?808;ekm8609?2nbb1=8>69gmk:407=0hd`338<5?aoi4:4<7iga<52=3>bnf5>:2:5kio>76;1<l`d78>08;ekm8129?2nbb1::>69gmk:3>7=0hd`346<4?aoi4=25;6jfn=6::3=cag6?2:5kio>64;1<l`d79<08;ekm8049?2nbb1;<>69gmk:2<7=0hd`354<4?aoi4<<5;6jfn=74:2=cag6>4394dhl?1<813mce0808;ekm8369?2nbb18>>69gmk:1:7=0hd`362<4?aoi4?>5;6jfn=46:2=cag6=:394dhl?22803mce0;617:fjj90>6?1oec29>69gmk:08730hd`37083:2=cag6<=384dhl?3;0<l`d74384dhl?=;1<lf0=07;emvp977611ocxz310<;?air|5;9255kotv?568?3me~x1?;>99gkpr;9<437iazt=35:==cg|~7=:07;emvp97?611ocxz318<4?air|5;546j`uu>14;><lf0??18:flqq:5:720hb{{<31=<>bh}}698364dnww873902ndyy2=6?:8`jss4;=546j`uu>1<;><lf0?717:flqq:5611ocxz331<;?air|59:255kotv?778?3me~x1=<>99gkpr;;=437iazt=16:==cg|~7?;07;emvp950611ocxz339<;?air|5922:5kotv?7;><lf09>18:flqq:39720hb{{<50=<>bh}}6??364dnww812902ndyy2;5?:8`jss4=<546j`uu>73;><lf09618:flqq:317=0hb{{<5<;?air|5?;255kotv?148?3me~x1;=>99gkpr;=:437iazt=77:==cg|~79807;emvp931611ocxz356<;?air|5?3255kotv?1<803me~x1;18:flqq:18720hb{{<73=<>bh}}6=>364dnww835902ndyy294?:8`jss4??546j`uu>52;><lf0;918:flqq:10720hb{{<7;=3>bh}}6=255kotv?358f3me~x19>:1<;?air|5=:2:5kotv?3;1<lf0508;emvp9?9=2of|ywl;gkekZ2lW;igg<>m4:djbjY3cV8h`f"iigm\c`hbzh~d~Rx6_2.xgZgclVdloRm`nrvqelhs59&hSl~lhabpliiW}s{iRowir?3(fYfxyfbolzfoo]w}ucXzhic1="l_`rshlef|`eeSywe^pggm;7$jUj|}bfc`vjkkYsqyoT{lmg=1.`[dvwd`ijxdaa_u{saZqcka7; nQnpqnjgdrnggUu}kPwsak95*dWhrbSljkr^uj`qn:;;&hSlvf_`pvw`tX`nd0?#c^c{mZgp}zoySzgkti?2(fYfp`Uiy~k}_vkgpm;6$jUjtdQkauc\slbs`4<? nQnxh]j`Zqnl}b68!mPayk\vpubzV}bhyf21-a\e}oX|pzn1="l_`zj[rstm{U|eizg=0.`[d~n{V~omyoPwhfwl803$jUjtd}PtegppZqnl}b68!mPaykp[qocW~coxe37,b]b|luX|bon|Ryfduj>0)eXiqcxSy}{rc]tmaro5=&hSlvfs^vvw`tX`nd0:#c^c{mvYsqyo6<!mPcnonkkYddfi`1="l_bmvjqYsqyo6<!mPd`vb[firf}U|eizg=8.`[acai|fS{ocud?Ahdhl[}xbbj"l_ekm[roc|a7<>!mPdhl\slbs`Vkse~3>,b]gmkYpam~cSolh<11(fYcagU|eizg_sf`l803$jUoecQxievk[rgd`499 nQkio]tmaroW~nhd08;,b]gmkYpam~cSz|lh<0/gZbh}}UyyQyam?3(fYcg|~T{dj{h<51(fYbfhhgiR|cobq>4)eXmgki`hQ}su]p}ke:9%iTi|`r^kmn`esafdTxt~j=1.`[`tug{Ubbgklthmm[qwmVkse~3?,b]fvwiuW`dainzfoo]w}ucXzhic1="l_dpqkwYnfcohxdaa_u{saZtcka7; nQjrsmq[lhmmj~bccQ{yqg\sdeo59&hSh|}os]jjocd|`eeSywe^uggm;7$jUn~a}_hliafrnggUu}kPwsak95*dWokfeaw2sqcqpdh1$jUmyabPfmcdwZtt|V|j`0?#c^jbwZgkefyShctx?3(fYoizUj``a|t^dvhi;7$jUcm~Qnxh]bvpubz4:'oRfns^c{mZgp}zoy1="l_icp[d~nWkxi3?,b]kevYfp`UyhRmgaolfh86+kVbjRowi^pvw`t:8%iTdl}Payk\swYd`hdeia3?,b]kevYfp`U|y~k}=1.`[mgtWhrbRzkauc>4)eX`hyTmug|_uffwq;7$jUcm~Qnxhq\plb:8%iTdl}Paykp[qmbmy7; nQgar]b|luX|ekxx0>#c^jbwZgazU~hoky<3/gZnf{Vkse~Q{supa95*dWakxSlvfs^vvw`t:8%iTdl}Pb`ahqu;7$jUcm~Qkauc\gjsi|4:'oRfns^fbpdYdg|dRowir?3(fYoizUomyoPcnwmpvYuijb6<!mPh`q\`drfWje~by}Preak95*dWakxSio{a^alqkrtW~khd0>#c^jbwZbf|hUhcx`{s^uggm;7$jUcm~Qkauc\gjsi|zU|~nf20-a\lduXag~Toae20-a\lduXehyiQle<2/gZnf{Vgnad`ft^djh`;7$jUcm~Q`vdpehjq:8%iTdl}Ppsmd[cjfozUjtd}20-a\lduXx{elSkbngr]qefn:8%iTdl}Ppsmd[cjfozUyhnf20-a\lduXx{elSkbngr]tefn:8%iTdl}Ppsmd[cjfozU|hnf20-a\lduXx{elSkbngr]tvfn:8%iTdl}Pre]geqgXkfex0?#c^jbwZtcW{y1="l_icp[wus58&hSeo|_rnbr`Ydm4:'oRfns^qqwq;7$jUcm~Qznegqbiip59&hSeo|_wcoma;7$jUcm~Qxr^c`o86+kVbjRy}_ecweZeh}g~6=!mPh`q\swYu{}7; nQfmqnfi`hsi}cdbRzvpd?1(fYneyfnah`{aukljZr~xlUjtd}21-a\mhvkmdoexlzfoo]w}ucXzhic1<"l_hosh`kbf}keb`Ptxrf[wbd`4;'oRgbpmgnakrf|`eeSywe^ubgm;6$jUba}bjmdlweqohfV~r|hQxdbj>5)eXadzgi`kat`vjkkYsqyoT{mg=0.`[lhn|V}yS}`{r^tbh86+kVcexh|iabg\p|vb59&hS`kbos{\p|vb58&hS`gi_gkoaZhfel7I`l`dSupjjb*dWdylccQyam?2(fYhx}cfewo{inl\ijbb59&hSbxjrgnlsZjh4:'oR~}emmb`Zjf|ldhu0>#c^rqaiiflVxnk~3>,b]svlkXn`ldSywe<726}15$jU{~biPelrw}ZrozlycSl}|esv\rdj:<%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkWhrb0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pbgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieUyhnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sdeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSzjlh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZquka7:<?<#c^rqkbYbey~rSyf}erj\j`af|lU}ma3:,b]svjaXmdzuRzvpd?3(fYwzfmTi`~{y^vzt`Yfp`y6<!mPpsmd[`kw|pUu}kPr`ak95*dWyxdkRkbpu{\p|vbW{nhd0>#c^rqkbYbey~rSywe^ubgm;7$jU{~biPelrw}Zr~xlU|hnf20-a\twi`Wlg{xtQ{yqg\sweo59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk5<;: nQrne\bpjkW}byi~fParqfvqYqieUjtd}21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]qefn:9988 nQrne\bpjkW}byi~fParqfvqYqieUyhnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tefn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|hnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tvfn:9988 nQrne\bpjkW}byi~fPndebp`Yqie7>=="l_qplcZ`rdeUu}k20-a\twi`Wog`Rzvpd]b|lu:8%iT|ah_gwohZr~xlUymnf20-a\twi`Wog`Rzvpd]q`fn:8%iT|ah_gwohZr~xlU|mnf20-a\twi`Wog`Rzvpd]t`fn:8%iT|ah_gwohZr~xlU|~nf20-a\vdeoW}s{i0>#c^pg[agsiVidyczPwhfwl877$jUyhRjjpuj>144+kVxoSk|jq<3/gZtcWyd~Ryfduj>=)eXzmicSywe<2/gZtboVygenkPmnff[d~n{4:'oR|jg^qomfcXefnnSolh<2/gZtboVygenkPmnff[wbd`4:'oR|jg^qomfcXefnnSzolh<2/gZtboVygenkPmnff[rbd`4:'oR|jg^qomfcXefnnSz|lh<2/gZu~fjbyccgues]ppdab5;&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}6<!mPurg\```f}e~Thz{ioep95*dW|ynSiazt^ppp87+kVxiRklc<2/gZstmVohoRowir?3(fYr{lUnonQ}abj>4)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag8<Tjaohs<2/gZstmVl|jyQkauc\gjsi|4;'oRxnlhf\hjq:8%iT{lmg_u{sa86+kV}ooeQ{yqg>4)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a7:<!mPws]gauro5<;9 nQxr^fftqnXiqcx1<>=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=8.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x443ocmcR:d_3aoo)`nnfUlick}aumq[s?X;%qv=;5iigm\c`hbzh~d~R|nmgkek44<n`ldSjkaescwkwYsgy;87kgio^efj`tf|fxTz4Q<2g9emciXoldn~lz`r^t:[6*'P`fbbu.LOSG#C`hbzh~d~-?;.634?coagVmnbh|ntnp\r<Y4Wqy86hzlm;8mkvr|Vhgg;5belmq}==iojh~eaj=;omb?jssx|~Tnae<4:pbiiihxR:V"ob.s-p2Zhhagc"ob/RFS*VOJXEOT\_GB19,qeh533{kf`ba[0_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjao5}d^`jjZei|{<0~iQllj33?wbXlh~jSnaznu>3:46<zmUomyoPcnwmp979991yhRjnt`]`kphs4;4:<6|k_ecweZeh}g~7?3??;sf\`drfWje~by2;>028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7jPd`vb[firf}632<<4re]geqgXkfex1750?33?wbXlh~jSnaznu>::47<zmUooRhxfu]f|qYf{<1yhRka7:pg[cqa|11yhRb`gha26>tcWz~jxx}PfmcdwZgt981yi~k{_cnh[wgjn`ld?6||tg9p}keozfdbvh|Pfg`8swYeagUhby|9;vp\gim682}ySio{a^alqkr;87;;7z|Pd`vb[firf}6:2<>4ws]geqgXkfex1<1119tvZbf|hUhcx`{<2<24>quWmkmRm`uov?0;773~xThlzn_bmvjq:268:0{Qkauc\gjsi|5<5==5xr^fbpdYdg|d0:0>0:uq[agsiVidycz38?31?rtXlh~jSnaznu>:>58682}ySio{a^alqkr;17;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw98>o7MNw7349B?2=9rY3m789c;0`b?74;>28:7<i18dxj30f281e:;l56:&52=<1>;1v_56567a96f`=9:9<4>852g3ba>U5j<0<?<4?:0103=512;l:n;5\898474<72898;5=9:3d2f2=c?;<1<7?51zQ;e?01k38hj7?<36:02?4a9hi0zY<i8;295?7=::<p_5o567a96f`=9:9<4>852g3bg>"1<h09n:5Y67;96~s5jo0:7x<l0;28y!4c838h7o9=6;29eg<6i809?8uG65:8 7`a2>8=7W8i:5y1b?552m0n6p*966846f=#?;0<>55+888462=#:o?1=6*=f5852a=n>m21<7*=d385`2=i:m;1<65f6e494?"5l;0=h:5a2e395>=n>m>1<7*=d385`2=i:m;1>65f6e194?"5l;0=h:5a2e397>=n>m81<7*=d385`2=i:m;1865f6e394?"5l;0=h:5a2e391>=n>m:1<7*=d385`2=i:m;1:65f6bd94?"5l;0=h:5a2e393>=n>jo1<7*=d385`2=i:m;1465f6bf94?"5l;0=h:5a2e39=>=n>ji1<7*=d385`2=i:m;1m65f6b`94?"5l;0=h:5a2e39f>=n>j31<7*=d385`2=i:m;1o65f6b:94?"5l;0=h:5a2e39`>=n>j=1<7*=d385`2=i:m;1i65f6b494?"5l;0=h:5a2e39b>=n>j?1<7*=d385`2=i:m;1==54i7a7>5<#:m81:i94n3f2>47<3`<h?7>5$3f1>3b03g8o=7?=;:k5g7<72-8o>78k7:l1`4<6;21b:n?50;&1`7<1l>1e>i?51598m3e7290/>i<56e58j7b628?07d8me;29 7b52?n<7c<k1;35?>o1jm0;6)<k2;4g3>h5l80:;65f6ca94?"5l;0=h:5a2e395==<a?hi6=4+2e092a1<f;n:6<74;h4ae?6=,;n96;j8;o0g5?7f32c=n44?:%0g6?0c?2d9h<4>b:9j2g>=83.9h?49d69m6a7=9j10e;l8:18'6a4=>m=0b?j>:0f8?l0e>3:1(?j=:7f4?k4c93;n76g9b483>!4c:3<o;6`=d082b>=n>k91<7*=d385`2=i:m;1>=54i7`1>5<#:m81:i94n3f2>77<3`<i=7>5$3f1>3b03g8o=7<=;:k5f5<72-8o>78k7:l1`4<5;21b:lh50;&1`7<1l>1e>i?52598m3gb290/>i<56e58j7b62;?07d8nd;29 7b52?n<7c<k1;05?>o1ij0;6)<k2;4g3>h5l809;65f6``94?"5l;0=h:5a2e396==<a?kj6=4+2e092a1<f;n:6?74;h4b<?6=,;n96;j8;o0g5?4f32c=m:4?:%0g6?0c?2d9h<4=b:9j2d0=83.9h?49d69m6a7=:j10e;o::18'6a4=>m=0b?j>:3f8?l0f<3:1(?j=:7f4?k4c938n76g9a283>!4c:3<o;6`=d081b>=n>h81<7*=d385`2=i:m;1?=54i7c2>5<#:m81:i94n3f2>67<3`<j<7>5$3f1>3b03g8o=7==;:k5=c<72-8o>78k7:l1`4<4;21b:4j50;&1`7<1l>1e>i?53598m3?d290/>i<56e58j7b62:?07d86b;29 7b52?n<7c<k1;15?>o11h0;6)<k2;4g3>h5l808;65f68;94?"5l;0=h:5a2e397==<a?336=4+2e092a1<f;n:6>74;h4:3?6=,;n96;j8;o0g5?5f32c=5;4?:%0g6?0c?2d9h<4<b:9j2<3=83.9h?49d69m6a7=;j10e;7;:18'6a4=>m=0b?j>:2f8?l0cj3:1(?j=:7f4?k4c939n76g9d`83>!4c:3<o;6`=d080b>=n>m31<7*=d385`2=i:m;18=54i7f6>5<#:m81:i94n3f2>17<3`<hm7>5$3f1>3b03g8o=7:=;:k5fc<72-8o>78k7:l1`4<3;21b:o:50;&1`7<1l>1e>i?54598m3g>290/>i<56e58j7b62=?07d86e;29 7b52?n<7c<k1;65?>o11:0;6)<k2;4g3>h5l80?;65f75;94?=n?=i1<75f72594?=n?<31<75f75694?=h?;;1<7*=d38465=i:m;1<65`70d94?"5l;0<>=5a2e395>=h?8n1<7*=d38465=i:m;1>65`70a94?"5l;0<>=5a2e397>=h?8h1<7*=d38465=i:m;1865`70c94?"5l;0<>=5a2e391>=h?831<7*=d38465=i:m;1:65`70:94?"5l;0<>=5a2e393>=h?8=1<7*=d38465=i:m;1465`70494?"5l;0<>=5a2e39=>=h?8?1<7*=d38465=i:m;1m65`70694?"5l;0<>=5a2e39f>=h?881<7*=d38465=i:m;1o65`70394?"5l;0<>=5a2e39`>=h?8:1<7*=d38465=i:m;1i65`71d94?"5l;0<>=5a2e39b>=h?9o1<7*=d38465=i:m;1==54o62g>5<#:m81;?>4n3f2>47<3f=;o7>5$3f1>2473g8o=7?=;:m44g<72-8o>79=0:l1`4<6;21d;=o50;&1`7<0:91e>i?51598k26>290/>i<57328j7b628?07b9?7;29 7b52>8;7c<k1;35?>i08?0;6)<k2;514>h5l80:;65`71794?"5l;0<>=5a2e395==<g>:?6=4+2e09376<f;n:6<74;n537?6=,;n96:<?;o0g5?7f32e<<?4?:%0g6?1582d9h<4>b:9l357=83.9h?48219m6a7=9j10c:>?:18'6a4=?;:0b?j>:0f8?j0an3:1(?j=:603?k4c93;n76a9fd83>!4c:3=9<6`=d082b>=h>oi1<7*=d38465=i:m;1>=54o7da>5<#:m81;?>4n3f2>77<3f<mm7>5$3f1>2473g8o=7<=;:m5b<<72-8o>79=0:l1`4<5;21d:k650;&1`7<0:91e>i?52598k3`0290/>i<57328j7b62;?07b8i6;29 7b52>8;7c<k1;05?>i1n<0;6)<k2;514>h5l809;65`6g694?"5l;0<>=5a2e396==<g?l86=4+2e09376<f;n:6?74;n4e5?6=,;n96:<?;o0g5?4f32e=j=4?:%0g6?1582d9h<4=b:9l2``=83.9h?48219m6a7=:j10c;kj:18'6a4=?;:0b?j>:3f8?j0bl3:1(?j=:603?k4c938n76a9eb83>!4c:3=9<6`=d081b>=h>lh1<7*=d38465=i:m;1?=54o7gb>5<#:m81;?>4n3f2>67<3f<n57>5$3f1>2473g8o=7==;:m5a=<72-8o>79=0:l1`4<4;21d:h850;&1`7<0:91e>i?53598k3c2290/>i<57328j7b62:?07b8j4;29 7b52>8;7c<k1;15?>i1m:0;6)<k2;514>h5l808;65`6d094?"5l;0<>=5a2e397==<g?o:6=4+2e09376<f;n:6>74;n4f4?6=,;n96:<?;o0g5?5f32e=hk4?:%0g6?1582d9h<4<b:9l2ac=83.9h?48219m6a7=;j10c;jk:18'6a4=?;:0b?j>:2f8?j15<3:1(?j=:603?k4c939n76a82283>!4c:3=9<6`=d080b>=h?;81<7*=d38465=i:m;18=54o63f>5<#:m81;?>4n3f2>17<3f=:?7>5$3f1>2473g8o=7:=;:m44=<72-8o>79=0:l1`4<3;21d:kj50;&1`7<0:91e>i?54598k3`5290/>i<57328j7b62=?07b8j7;29 7b52>8;7c<k1;65?>i1lj0;6)<k2;514>h5l80?;65`75094?"5l;0<8<5a2e394>=h?=:1<7*=d38404=i:m;1=65`72d94?"5l;0<8<5a2e396>=h?:o1<7*=d38404=i:m;1?65`72f94?"5l;0<8<5a2e390>=h?:i1<7*=d38404=i:m;1965`72`94?"5l;0<8<5a2e392>=h?:k1<7*=d38404=i:m;1;65`72;94?"5l;0<8<5a2e39<>=h?:21<7*=d38404=i:m;1565`74594?"5l;0<9;5a2e394>=h?<?1<7*=d38413=i:m;1=65`74694?"5l;0<9;5a2e396>=h?<91<7*=d38413=i:m;1?65`74094?"5l;0<9;5a2e390>=h?<;1<7*=d38413=i:m;1965`74294?"5l;0<9;5a2e392>=h?=l1<7*=d38413=i:m;1;65`75g94?"5l;0<9;5a2e39<>=h?=n1<7*=d38413=i:m;1565`73g94?=h?;?1<75m67494?7=83:p(?hi:76:?M01<2B=855`2bg94?=zj?<>6=4>:183!4an38>h6F9659K21><g;?h6=44}c66e?6=<3:1<v*=fg8112=O>?>0D;:7;%05`?e<a>:1<75f8c83>>o5l=0;66a=d783>>{e<kk1<7:50;2x 7`a2;?<7E894:J50==#:?n1o6g80;29?l>e2900e?j;:188k7b12900qo:m9;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a27c=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj?9h6=4;:183!4an38>;6F9659K21><@?i0(?lk:3df?l172900e5l50;9j6a2=831d>i850;9~f325290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;h53>5<<a1h1<75f2e694?=h:m<1<75rb712>5<3290;w)<if;063>N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a26`=8391<7>t$3de>7333A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g7b;29?j4c>3:17pl94483>6<729q/>kh52468L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2i6=44o3f5>5<<uk<8<7>54;294~"5no099:5G6768L32?3A<h7)<md;0ea>"5>m0h7d9?:188m=d=831b>i:50;9l6a0=831vn;=8:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`573<72=0;6=u+2gd9601<@?<?7E8;8:&12a<d3`=;6=44i9`94?=n:m>1<75`2e494?=zj?9>6=4;:183!4an38>;6F9659K21><,;<o6n5f7183>>o?j3:17d<k4;29?j4c>3:17pl93d83>6<729q/>kh52468L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2i6=44o3f5>5<<uk<8n7>54;294~"5no099:5G6768L32?3-8=h7m4i6294?=n0k0;66g=d583>>i5l?0;66sm62c94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg03<3:1?7>50z&1bc<5==1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9`94?=h:m<1<75rb70e>5<3290;w)<if;063>N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a1ag=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4f:>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;k7;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>h;4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=m?1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0b3290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7g7?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:d383>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9i?50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<n;6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3dn3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g`<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5ba94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8mm:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?hm7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2k00;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1f>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4a4>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l6;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>o84?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=j>1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0e4290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7`5?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:c183>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9oh50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<hn6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3el3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ff<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5c`94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8ln:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?i57>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2j10;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1g0=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4`6>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;m4;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n>4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=k81<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7a4?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:ag83>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9lk50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<ko6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3fj3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ed<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5`;94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8o7:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?j;7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2i?0;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1d3=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4c7>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;n3;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m?4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=h:1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0?a290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7:a?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:9e83>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi94m50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<3i6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3>i3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6=<<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm58:94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn878:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?oo7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2lk0;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4ag>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l2;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n:4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=hi1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7:2?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:9483>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi:?;50;363?5=ihqC:964$3de>3>13S<m6iu>3;32>6?=<809h7?;:0097d<3:38n6>>530877?{#>l097d<jb;29 7b52;oj7c<k1;28?l4b=3:1(?j=:3g7?k4c93:07d8:4;29 7b52??87c<k1;28?l02:3:1(?j=:770?k4c93;07d8:1;29 7b52??87c<k1;08?l0283:1(?j=:770?k4c93907d8;f;29 7b52??87c<k1;68?l03l3:1(?j=:770?k4c93?07d8;c;29 7b52??87c<k1;48?l03j3:1(?j=:770?k4c93=07d;7:18'6a4==>1e>i?50:9j13<72-8o>7;8;o0g5?7<3`?>6=4+2e0912=i:m;1>65f5583>!4c:3?<7c<k1;18?l34290/>i<5569m6a7=<21b9?4?:%0g6?303g8o=7;4;h72>5<#:m819:5a2e392>=n=90;6)<k2;74?k4c93=07d:n:18'6a4==>1e>i?58:9j54>=83.9h?4>169m6a7=821b=<850;&1`7<69>1e>i?51:9j542=83.9h?4>169m6a7=:21b=<=50;&1`7<69>1e>i?53:9j544=83.9h?4>169m6a7=<21b=<?50;&1`7<69>1e>i?55:9j546=83.9h?4>169m6a7=>21b==h50;&1`7<69>1e>i?57:9j55c=83.9h?4>169m6a7=021b==j50;&1`7<69>1e>i?59:9j55e=83.9h?4>169m6a7=i21b==l50;&1`7<69>1e>i?5b:9j55?=83.9h?4>169m6a7=k21b==650;&1`7<69>1e>i?5d:9j551=83.9h?4>169m6a7=m21b==850;&1`7<69>1e>i?5f:9j553=83.9h?4>169m6a7=9910e<>;:18'6a4=98=0b?j>:038?l77;3:1(?j=:034?k4c93;976g>0383>!4c:3;:;6`=d0827>=n99;1<7*=d38252=i:m;1=954i023>5<#:m81=<94n3f2>43<3`ln6=4+2e09541<f;n:6<84;hdg>5<#:m81=<94n3f2>41<3`lh6=4+2e09541<f;n:6<64;hda>5<#:m81=<94n3f2>4?<3`lj6=4+2e09541<f;n:6<o4;hd:>5<#:m81=<94n3f2>4d<3`l36=4+2e09541<f;n:6<m4;hd4>5<#:m81=<94n3f2>4b<3`l=6=4+2e09541<f;n:6<k4;hd6>5<#:m81=<94n3f2>4`<3`l86=4+2e09541<f;n:6?>4;hd1>5<#:m81=<94n3f2>77<3`l:6=4+2e09541<f;n:6?<4;hd3>5<#:m81=<94n3f2>75<3`om6=4+2e09541<f;n:6?:4;hgf>5<#:m81=<94n3f2>73<3`oo6=4+2e09541<f;n:6?84;hg`>5<#:m81=<94n3f2>71<3`oi6=4+2e09541<f;n:6?64;hgb>5<#:m81=<94n3f2>7?<3`o36=4+2e09541<f;n:6?o4;hg4>5<#:m81=<94n3f2>7d<3`o=6=4+2e09541<f;n:6?m4;hg6>5<#:m81=<94n3f2>7b<3`o?6=4+2e09541<f;n:6?k4;hg0>5<#:m81=<94n3f2>7`<3`o96=4+2e09541<f;n:6>>4;hg2>5<#:m81=<94n3f2>67<3`o;6=4+2e09541<f;n:6><4;hfe>5<#:m81=<94n3f2>65<3`no6=4+2e09541<f;n:6>:4;hf`>5<#:m81=<94n3f2>63<3`ni6=4+2e09541<f;n:6>84;hfb>5<#:m81=<94n3f2>61<3`n26=4+2e09541<f;n:6>64;hf;>5<#:m81=<94n3f2>6?<3`n<6=4+2e09541<f;n:6>o4;hf5>5<#:m81=<94n3f2>6d<3`n>6=4+2e09541<f;n:6>m4;hf7>5<#:m81=<94n3f2>6b<3`;:n7>5$3f1>4703g8o=7=j;:k25d<72-8o>7?>7:l1`4<4n21b=<750;&1`7<69>1e>i?54198m472290/>i<51058j7b62=;07d??a;29 7b528;<7c<k1;61?>oan3:1(?j=:034?k4c93>876gi4;29 7b528;<7c<k1;67?>ob13:1(?j=:034?k4c93>>76gke;29 7b528;<7c<k1;65?>oc;3:1(?j=:034?k4c93><76g=ed83>!4c:38nh6`=d083?>o5m10;6)<k2;0f3>h5l80;76g95d83>!4c:3<>h6`=d083?>o1=j0;6)<k2;46`>h5l80:76g95c83>!4c:3<>h6`=d081?>o1=h0;6)<k2;46`>h5l80876g95883>!4c:3<>h6`=d087?>o1=>0;6)<k2;46`>h5l80>76g95783>!4c:3<>h6`=d085?>o1=<0;6)<k2;46`>h5l80<76g98;29 7b52?=0b?j>:198m30=83.9h?497:l1`4<632c=97>5$3f1>31<f;n:6?54i7694?"5l;0=;6`=d080?>o1;3:1(?j=:758j7b62=10e;<50;&1`7<1?2d9h<4:;:k55?6=,;n96;94n3f2>3=<a?:1<7*=d3853>h5l80<76g:a;29 7b52?=0b?j>:998m406290/>i<51728j7b62910e<;i:18'6a4=9?:0b?j>:098m43c290/>i<51728j7b62;10e<;l:18'6a4=9?:0b?j>:298m43e290/>i<51728j7b62=10e<;n:18'6a4=9?:0b?j>:498m43>290/>i<51728j7b62?10e<;7:18'6a4=9?:0b?j>:698m430290/>i<51728j7b62110e<;9:18'6a4=9?:0b?j>:898m432290/>i<51728j7b62h10e<;;:18'6a4=9?:0b?j>:c98m435290/>i<51728j7b62j10e<;>:18'6a4=9?:0b?j>:e98m437290/>i<51728j7b62l10e<:i:18'6a4=9?:0b?j>:g98m42b290/>i<51728j7b628:07d?;d;29 7b528<;7c<k1;32?>o6<j0;6)<k2;354>h5l80:>65f15`94?"5l;0::=5a2e3956=<a8>j6=4+2e09536<f;n:6<:4;h37=?6=,;n96<8?;o0g5?7232c:8:4?:%0g6?7182d9h<4>6:9j510=83.9h?4>619m6a7=9>10e<:::18'6a4=9?:0b?j>:0:8?l73<3:1(?j=:043?k4c93;276g>4283>!4c:3;=<6`=d082e>=n9=81<7*=d38225=i:m;1=o54i062>5<#:m81=;>4n3f2>4e<3`;?<7>5$3f1>4073g8o=7?k;:k27c<72-8o>7?90:l1`4<6m21b=>k50;&1`7<6>91e>i?51g98m45d290/>i<51728j7b62;:07d?<b;29 7b528<;7c<k1;02?>o6;h0;6)<k2;354>h5l809>65f12;94?"5l;0::=5a2e3966=<a8936=4+2e09536<f;n:6?:4;h303?6=,;n96<8?;o0g5?4232c:?;4?:%0g6?7182d9h<4=6:9j563=83.9h?4>619m6a7=:>10e<=;:18'6a4=9?:0b?j>:3:8?l74;3:1(?j=:043?k4c938276g>3083>!4c:3;=<6`=d081e>=n9::1<7*=d38225=i:m;1>o54i00e>5<#:m81=;>4n3f2>7e<3`;9i7>5$3f1>4073g8o=7<k;:k26a<72-8o>7?90:l1`4<5m21b=?m50;&1`7<6>91e>i?52g98m44e290/>i<51728j7b62::07d?=a;29 7b528<;7c<k1;12?>o6:00;6)<k2;354>h5l808>65f13:94?"5l;0::=5a2e3976=<a88=6=4+2e09536<f;n:6>:4;h311?6=,;n96<8?;o0g5?5232c:>94?:%0g6?7182d9h<4<6:9j575=83.9h?4>619m6a7=;>10e<<=:18'6a4=9?:0b?j>:2:8?l7593:1(?j=:043?k4c939276g>2183>!4c:3;=<6`=d080e>=n98l1<7*=d38225=i:m;1?o54i03f>5<#:m81=;>4n3f2>6e<3`;:h7>5$3f1>4073g8o=7=k;:k221<72-8o>7?90:l1`4<4m21b=;=50;&1`7<6>91e>i?53g98m405290/>i<51728j7b62=:07d?:e;29 7b528<;7c<k1;62?>o6=:0;6)<k2;354>h5l80?>65f15:94?"5l;0::=5a2e3906=<a89o6=4+2e09536<f;n:69:4;h306?6=,;n96<8?;o0g5?2232c:>:4?:%0g6?7182d9h<4;6:9j54e=83.9h?4>619m6a7=<>10e:o50;9j61`=831b;k4?::k115<722c9n?4?::k1f4<722e9<?4?:%0g6?4792d9h<4?;:m145<72-8o>7<?1:l1`4<632e:jh4?:%0g6?4792d9h<4=;:m2ba<72-8o>7<?1:l1`4<432e:jn4?:%0g6?4792d9h<4;;:m2bg<72-8o>7<?1:l1`4<232e:jl4?:%0g6?4792d9h<49;:m2b<<72-8o>7<?1:l1`4<032e:j54?:%0g6?4792d9h<47;:m2b2<72-8o>7<?1:l1`4<>32e:j;4?:%0g6?4792d9h<4n;:m2b0<72-8o>7<?1:l1`4<e32e:j>4?:%0g6?4792d9h<4l;:m2b7<72-8o>7<?1:l1`4<c32e:j<4?:%0g6?4792d9h<4j;:m2b5<72-8o>7<?1:l1`4<a32e:ik4?:%0g6?4792d9h<4>0:9l5`c=83.9h?4=009m6a7=9810c<kk:18'6a4=:9;0b?j>:008?j7bk3:1(?j=:322?k4c93;876a>ec83>!4c:38;=6`=d0820>=h9lk1<7*=d38144=i:m;1=854o0g;>5<#:m81>=?4n3f2>40<3f;n;7>5$3f1>7663g8o=7?8;:m2a3<72-8o>7<?1:l1`4<6021d=h;50;&1`7<5881e>i?51898k4c3290/>i<52138j7b628k07b?j3;29 7b52;::7c<k1;3a?>i6m;0;6)<k2;035>h5l80:o65`1d394?"5l;09<<5a2e395a=<g8o;6=4+2e09657<f;n:6<k4;n3gb?6=,;n96?>>;o0g5?7a32e:hi4?:%0g6?4792d9h<4=0:9l5ae=83.9h?4=009m6a7=:810c<jm:18'6a4=:9;0b?j>:308?j7ci3:1(?j=:322?k4c938876a>d883>!4c:38;=6`=d0810>=h9m21<7*=d38144=i:m;1>854o0f4>5<#:m81>=?4n3f2>70<3f;o:7>5$3f1>7663g8o=7<8;:m2`0<72-8o>7<?1:l1`4<5021d=i:50;&1`7<5881e>i?52898k4b5290/>i<52138j7b62;k07b?k1;29 7b52;::7c<k1;0a?>i6l90;6)<k2;035>h5l809o65`1bd94?"5l;09<<5a2e396a=<g8in6=4+2e09657<f;n:6?k4;n3``?6=,;n96?>>;o0g5?4a32e:on4?:%0g6?4792d9h<4<0:9l5fd=83.9h?4=009m6a7=;810c<mn:18'6a4=:9;0b?j>:208?j7d13:1(?j=:322?k4c939876a>c683>!4c:38;=6`=d0800>=h9j<1<7*=d38144=i:m;1?854o0a6>5<#:m81>=?4n3f2>60<3f;h87>5$3f1>7663g8o=7=8;:m2g6<72-8o>7<?1:l1`4<4021d=n<50;&1`7<5881e>i?53898k4e6290/>i<52138j7b62:k07b?l0;29 7b52;::7c<k1;1a?>i6jo0;6)<k2;035>h5l808o65`1cg94?"5l;09<<5a2e397a=<g;:>6=4+2e09657<f;n:6>k4;n030?6=,;n96?>>;o0g5?5a32e9<>4?:%0g6?4792d9h<4;0:9l5c`=83.9h?4=009m6a7=<810c<h;:18'6a4=:9;0b?j>:508?j7b13:1(?j=:322?k4c93>876a>dd83>!4c:38;=6`=d0870>=h9m91<7*=d38144=i:m;18854o0a;>5<#:m81>=?4n3f2>10<3f;ih7>5$3f1>7663g8o=7:8;:m10g<72-8o>7<;a:l1`4<732e9844?:%0g6?43i2d9h<4>;:m102<72-8o>7<;a:l1`4<532e98;4?:%0g6?43i2d9h<4<;:m100<72-8o>7<;a:l1`4<332e9894?:%0g6?43i2d9h<4:;:m106<72-8o>7<;a:l1`4<132e98?4?:%0g6?43i2d9h<48;:m104<72-8o>7<;a:l1`4<?32e98=4?:%0g6?43i2d9h<46;:m17c<72-8o>7<;a:l1`4<f32e9?h4?:%0g6?43i2d9h<4m;:m17f<72-8o>7<;a:l1`4<d32e9?o4?:%0g6?43i2d9h<4k;:m17d<72-8o>7<;a:l1`4<b32e9?44?:%0g6?43i2d9h<4i;:m17=<72-8o>7<;a:l1`4<6821d>>950;&1`7<5<h1e>i?51098k751290/>i<525c8j7b628807b<<5;29 7b52;>j7c<k1;30?>i5;=0;6)<k2;07e>h5l80:865`22194?"5l;098l5a2e3950=<g;9:6=4+2e0961g<f;n:6<84;n004?6=,;n96?:n;o0g5?7032e9>k4?:%0g6?43i2d9h<4>8:9l67c=83.9h?4=4`9m6a7=9010c?<k:18'6a4=:=k0b?j>:0c8?j45k3:1(?j=:36b?k4c93;i76a=2c83>!4c:38?m6`=d082g>=h:;k1<7*=d3810d=i:m;1=i54o30:>5<#:m81>9o4n3f2>4c<3f8947>5$3f1>72f3g8o=7?i;:m163<72-8o>7<;a:l1`4<5821d>?;50;&1`7<5<h1e>i?52098k743290/>i<525c8j7b62;807b<=3;29 7b52;>j7c<k1;00?>i5:;0;6)<k2;07e>h5l809865`23394?"5l;098l5a2e3960=<g;8;6=4+2e0961g<f;n:6?84;n02b?6=,;n96?:n;o0g5?4032e9=h4?:%0g6?43i2d9h<4=8:9l64b=83.9h?4=4`9m6a7=:010c??m:18'6a4=:=k0b?j>:3c8?j46i3:1(?j=:36b?k4c938i76a=1883>!4c:38?m6`=d081g>=h:821<7*=d3810d=i:m;1>i54o334>5<#:m81>9o4n3f2>7c<3f8::7>5$3f1>72f3g8o=7<i;:m150<72-8o>7<;a:l1`4<4821d><:50;&1`7<5<h1e>i?53098k774290/>i<525c8j7b62:807b<>2;29 7b52;>j7c<k1;10?>i5990;6)<k2;07e>h5l808865`21d94?"5l;098l5a2e3970=<g;:n6=4+2e0961g<f;n:6>84;n03`?6=,;n96?:n;o0g5?5032e9<n4?:%0g6?43i2d9h<4<8:9l65d=83.9h?4=4`9m6a7=;010c?>n:18'6a4=:=k0b?j>:2c8?j4713:1(?j=:36b?k4c939i76a=0983>!4c:38?m6`=d080g>=h:9=1<7*=d3810d=i:m;1?i54o36f>5<#:m81>9o4n3f2>6c<3f8?h7>5$3f1>72f3g8o=7=i;:m10f<72-8o>7<;a:l1`4<3821d>9650;&1`7<5<h1e>i?54098k75c290/>i<525c8j7b62=807b<<2;29 7b52;>j7c<k1;60?>i5:>0;6)<k2;07e>h5l80?865`20a94?"5l;098l5a2e3900=<g;;:6=4+2e0961g<f;n:6984;n032?6=,;n96?:n;o0g5?2032e9i?4?:%0g6?4b92d9h<4?;:m1a5<72-8o>7<j1:l1`4<632e9hk4?:%0g6?4b92d9h<4=;:m1``<72-8o>7<j1:l1`4<432e9hi4?:%0g6?4b92d9h<4;;:m1`f<72-8o>7<j1:l1`4<232e9ho4?:%0g6?4b92d9h<49;:m1`d<72-8o>7<j1:l1`4<032e9h44?:%0g6?4b92d9h<47;:m1b6<722e3i7>5;c451?6=93:1<v*=fg811a=O>?>0D;:7;n06g?6=3th<?94?:ca94?6|,;lm6?j7;I450>N1<11Q:k4l{g824?7e28l1=n4=0;3g>4?=910:m7?j:059y!4dl3=8>6`7c;07?k>c2;>0b<7?:19m5g4=82.99<4=529'604=:oo0(?8::49'630==2.9::4:;%05<?3<,;<2685+27c91>"5>k0>7)<9c;78 70b2<1/>;h55:&135<23-8<=7;4$351>0=#:>9196*=7586?!40=3?0(?99:49'621==2.9;54:;%04=?3<,;=j685+26`91>"5?j0>7)<8d;78 71b2<1/>:h55:&1<5<23-83=7;4$3:1>0=#:19196*=8586?!4?=3?0(?69:49'6=1==2.9454:;%0;=?3<,;2j685+29`91>"50j0>7)<7d;78 7>b2<1/>5h55:&1=5<23-82=7;4$3;1>0=#:09196*=9586?!4>=3?0(?79:49'6<1==2.9554:;%0:=?3<,;3j685+28`91>"51j0>7)<6d;78 7?b2<1/>4h55:&1e5<23-8j=7;4$3c1>0=#:h9196*=a586?!4f=3?0(?o9:49'6d1==2.9m54:;%0b=?3<,;kj685+2``91>"5ij0>7)<nd;78 7gb2=1/>lh54:&1f5<5kk1/>hh52gf8 7`72>;0(?h>:638 7`12?h0(?h8:3d:?!4ai3>0(?hm:59'236=><l0(;8>:77e?l4b;3:17d9n:188m7372900e?kl:188m2`=831b>h850;9j6`?=831b>9h50;9j53?=83.9h?4>699m6a7=821b=;950;&1`7<6>11e>i?51:9j530=83.9h?4>699m6a7=:21b=;;50;&1`7<6>11e>i?53:9j21`=83.9h?494d9m6a7=821b:9j50;&1`7<1<l1e>i?51:9j21e=83.9h?494d9m6a7=:21b:9l50;&1`7<1<l1e>i?53:9l5=>=83.9h?4>869m6a7=821d=5850;&1`7<60>1e>i?51:9l5=2=83.9h?4>869m6a7=:21d=5=50;&1`7<60>1e>i?53:9l5=4=83.9h?4>869m6a7=<21d=5?50;&1`7<60>1e>i?55:9l5=6=83.9h?4>869m6a7=>21d=:h50;&1`7<60>1e>i?57:9l52c=83.9h?4>869m6a7=021d=:j50;&1`7<60>1e>i?59:9l52e=83.9h?4>869m6a7=i21d=:l50;&1`7<60>1e>i?5b:9l52?=83.9h?4>869m6a7=k21d=:650;&1`7<60>1e>i?5d:9l521=83.9h?4>869m6a7=m21d=:850;&1`7<60>1e>i?5f:9l523=83.9h?4>869m6a7=9910c<9;:18'6a4=91=0b?j>:038?j70;3:1(?j=:0:4?k4c93;976a>7383>!4c:3;3;6`=d0827>=h9>;1<7*=d382<2=i:m;1=954o053>5<#:m81=594n3f2>43<3f;3j7>5$3f1>4>03g8o=7?9;:m2<`<72-8o>7?77:l1`4<6?21d=5j50;&1`7<60>1e>i?51998k4>d290/>i<51958j7b628307b?7b;29 7b5282<7c<k1;3b?>i60h0;6)<k2;3;3>h5l80:n65`19;94?"5l;0:4:5a2e395f=<g82>6=4+2e095=1<f;n:6<j4;n34e?6=,;n96<68;o0g5?7b32e::k4?:%0g6?7??2d9h<4>f:9j0c<72-8o>7:j;o0g5?6<3`>o6=4+2e090`=i:m;1=65f4b83>!4c:3>n7c<k1;08?l2e290/>i<54d9m6a7=;21b944?:%0g6?2b3g8o=7:4;h7;>5<#:m818h5a2e391>=n=?0;6)<k2;6f?k4c93<07d;::18'6a4=<l1e>i?57:9j11<72-8o>7:j;o0g5?><3`?86=4+2e090`=i:m;1565f5383>!4c:3>n7c<k1;c8?l36290/>i<54d9m6a7=j21b9=4?:%0g6?2b3g8o=7m4;h6b>5<#:m818h5a2e39`>=n=o0;6)<k2;7f?k4c93:07d;k:18'6a4==l1e>i?51:9j1f<72-8o>7;j;o0g5?4<3`?i6=4+2e091`=i:m;1?65f6883>!4c:3?n7c<k1;68?l0?290/>i<55d9m6a7==21b:;4?:%0g6?3b3g8o=784;h46>5<#:m819h5a2e393>=n>=0;6)<k2;7f?k4c93207d8<:18'6a4==l1e>i?59:9j27<72-8o>7;j;o0g5?g<3`<:6=4+2e091`=i:m;1n65f6183>!4c:3?n7c<k1;a8?l3f290/>i<55d9m6a7=l21boo4?:%0g6?ef3g8o=7>4;ha:>5<#:m81ol5a2e395>=nk>0;6)<k2;ab?k4c93807dm9:18'6a4=kh1e>i?53:9jg0<72-8o>7mn;o0g5?2<3`i?6=4+2e09gd=i:m;1965fc283>!4c:3ij7c<k1;48?le5290/>i<5c`9m6a7=?21bo<4?:%0g6?ef3g8o=764;ha3>5<#:m81ol5a2e39=>=njo0;6)<k2;ab?k4c93k07dlj:18'6a4=kh1e>i?5b:9jff<72-8o>7mn;o0g5?e<3`hi6=4+2e09gd=i:m;1h65fb`83>!4c:3ij7c<k1;g8?ld>290/>i<5c`9m6a7=n21bn54?:%0g6?ef3g8o=7??;:ka3?6=,;n96no4n3f2>47<3`h=6=4+2e09gd=i:m;1=?54ic794?"5l;0hm6`=d0827>=nj=0;6)<k2;ab?k4c93;?76gm3;29 7b52jk0b?j>:078?lb5290/>i<5c`9m6a7=9?10ei?50;&1`7<di2d9h<4>7:9j`5<72-8o>7mn;o0g5?7?32chj7>5$3f1>fg<f;n:6<74;haf>5<#:m81ol5a2e395d=<ajn1<7*=d38`e>h5l80:n65fcb83>!4c:3ij7c<k1;3`?>od03:1(?j=:bc8j7b628n07dlk:18'6a4=kh1e>i?51d98mg4=83.9h?4la:l1`4<6n21d=o950;&1`7<6j?1e>i?50:9l5g3=83.9h?4>b79m6a7=921d=o:50;&1`7<6j?1e>i?52:9l5g5=83.9h?4>b79m6a7=;21b=;k50;&1`7<6>m1e>i?50:9j53e=83.9h?4>6e9m6a7=921b=;l50;&1`7<6>m1e>i?52:9j53g=83.9h?4>6e9m6a7=;21d=om50;&1`7<6jk1e>i?50:9l5gg=83.9h?4>bc9m6a7=921d=o750;&1`7<6jk1e>i?52:9l5g>=83.9h?4>bc9m6a7=;21d=lo50;&1`7<6i01e>i?50:9l5d>=83.9h?4>a89m6a7=921d=l850;&1`7<6i01e>i?52:9l5d3=83.9h?4>a89m6a7=;21d=l:50;&1`7<6i01e>i?54:9l5d5=83.9h?4>a89m6a7==21d=l<50;&1`7<6i01e>i?56:9l5d7=83.9h?4>a89m6a7=?21d=l>50;&1`7<6i01e>i?58:9l5<`=83.9h?4>a89m6a7=121d=4k50;&1`7<6i01e>i?5a:9l5<b=83.9h?4>a89m6a7=j21d=4l50;&1`7<6i01e>i?5c:9l5<g=83.9h?4>a89m6a7=l21d=4750;&1`7<6i01e>i?5e:9l5<>=83.9h?4>a89m6a7=n21d=4950;&1`7<6i01e>i?51198k4?1290/>i<51`;8j7b628;07b?65;29 7b528k27c<k1;31?>i61=0;6)<k2;3b=>h5l80:?65`18194?"5l;0:m45a2e3951=<g8396=4+2e095d?<f;n:6<;4;n3a5?6=,;n96<o6;o0g5?7132e:n=4?:%0g6?7f12d9h<4>7:9l5d`=83.9h?4>a89m6a7=9110c<oj:18'6a4=9h30b?j>:0;8?j7fl3:1(?j=:0c:?k4c93;j76a>ab83>!4c:3;j56`=d082f>=h9hh1<7*=d382e<=i:m;1=n54o0c4>5<#:m81=l74n3f2>4b<3f;2o7>5$3f1>4g>3g8o=7?j;:m2=4<72-8o>7?n9:l1`4<6n21b:8750;&1`7<1=11e>i?50:9j201=83.9h?49599m6a7=921b:8850;&1`7<1=11e>i?52:9j203=83.9h?49599m6a7=;21bml4?:%0g6?g>3g8o=7>4;hc;>5<#:m81m45a2e395>=ni?0;6)<k2;c:?k4c93807do::18'6a4=i01e>i?53:9je1<72-8o>7o6;o0g5?2<3`k86=4+2e09e<=i:m;1965fa383>!4c:3k27c<k1;48?lg6290/>i<5a89m6a7=?21bm=4?:%0g6?g>3g8o=764;h;e>5<#:m81m45a2e39=>=n1l0;6)<k2;c:?k4c93k07d7k:18'6a4=i01e>i?5b:9j=g<72-8o>7o6;o0g5?e<3`3j6=4+2e09e<=i:m;1h65f9883>!4c:3k27c<k1;g8?l??290/>i<5a89m6a7=n21b5:4?:%0g6?g>3g8o=7??;:k:2?6=,;n96l74n3f2>47<3`3>6=4+2e09e<=i:m;1=?54i8694?"5l;0j56`=d0827>=n1:0;6)<k2;c:?k4c93;?76g62;29 7b52h30b?j>:078?ld6290/>i<5a89m6a7=9?10eo>50;&1`7<f12d9h<4>7:9jec<72-8o>7o6;o0g5?7?32cji7>5$3f1>d?<f;n:6<74;hcg>5<#:m81m45a2e395d=<ahi1<7*=d38b=>h5l80:n65fac83>!4c:3k27c<k1;3`?>of?3:1(?j=:`;8j7b628n07d7l:18'6a4=i01e>i?51d98m<7=83.9h?4n9:l1`4<6n21vn:=::18ag?6=8r.9jk4=d99K232<@?>37W8i:bye>46=9k0:j7?l:3295a<613;36<o51d823?{#:jn1;><4n9a961=i0m0986`>9183?k7e:3:0(?;>:370?!42:38mi6*=6486?!41>3?0(?88:49'63>==2.9:44:;%05e?3<,;<i685+27a91>"5>l0>7)<9f;78 7172<1/>:?55:&137<23-8<?7;4$357>0=#:>?196*=7786?!40?3?0(?97:49'62?==2.9;l4:;%04f?3<,;=h685+26f91>"5?l0>7)<8f;78 7>72<1/>5?55:&1<7<23-83?7;4$3:7>0=#:1?196*=8786?!4??3?0(?67:49'6=?==2.94l4:;%0;f?3<,;2h685+29f91>"50l0>7)<7f;78 7?72<1/>4?55:&1=7<23-82?7;4$3;7>0=#:0?196*=9786?!4>?3?0(?77:49'6<?==2.95l4:;%0:f?3<,;3h685+28f91>"51l0>7)<6f;78 7g72<1/>l?55:&1e7<23-8j?7;4$3c7>0=#:h?196*=a786?!4f?3?0(?o7:49'6d?==2.9ml4:;%0bf?3<,;kh685+2`f91>"5il0?7)<nf;68 7d72;ii7)<jf;0e`>"5n90<=6*=f0845>"5n?0=n6*=f681b<=#:ok186*=fc87?!0183<>j6*960851c=n:l91<75f7`83>>o5=90;66g=eb83>>o0n3:17d<j6;29?l4b13:17d<;f;29?l7113:1(?j=:04;?k4c93:07d?97;29 7b528<37c<k1;38?l71>3:1(?j=:04;?k4c93807d?95;29 7b528<37c<k1;18?l03n3:1(?j=:76f?k4c93:07d8;d;29 7b52?>n7c<k1;38?l03k3:1(?j=:76f?k4c93807d8;b;29 7b52?>n7c<k1;18?j7?03:1(?j=:0:4?k4c93:07b?76;29 7b5282<7c<k1;38?j7?<3:1(?j=:0:4?k4c93807b?73;29 7b5282<7c<k1;18?j7?:3:1(?j=:0:4?k4c93>07b?71;29 7b5282<7c<k1;78?j7?83:1(?j=:0:4?k4c93<07b?8f;29 7b5282<7c<k1;58?j70m3:1(?j=:0:4?k4c93207b?8d;29 7b5282<7c<k1;;8?j70k3:1(?j=:0:4?k4c93k07b?8b;29 7b5282<7c<k1;`8?j7013:1(?j=:0:4?k4c93i07b?88;29 7b5282<7c<k1;f8?j70?3:1(?j=:0:4?k4c93o07b?86;29 7b5282<7c<k1;d8?j70=3:1(?j=:0:4?k4c93;;76a>7583>!4c:3;3;6`=d0825>=h9>91<7*=d382<2=i:m;1=?54o051>5<#:m81=594n3f2>45<3f;<=7>5$3f1>4>03g8o=7?;;:m235<72-8o>7?77:l1`4<6=21d=5h50;&1`7<60>1e>i?51798k4>b290/>i<51958j7b628=07b?7d;29 7b5282<7c<k1;3;?>i60j0;6)<k2;3;3>h5l80:565`19`94?"5l;0:4:5a2e395d=<g82j6=4+2e095=1<f;n:6<l4;n3;=?6=,;n96<68;o0g5?7d32e:484?:%0g6?7??2d9h<4>d:9l52g=83.9h?4>869m6a7=9l10c<8i:18'6a4=91=0b?j>:0d8?l2a290/>i<54d9m6a7=821b8i4?:%0g6?2b3g8o=7?4;h6`>5<#:m818h5a2e396>=n<k0;6)<k2;6f?k4c93907d;6:18'6a4=<l1e>i?54:9j1=<72-8o>7:j;o0g5?3<3`?=6=4+2e090`=i:m;1:65f5483>!4c:3>n7c<k1;58?l33290/>i<54d9m6a7=021b9>4?:%0g6?2b3g8o=774;h71>5<#:m818h5a2e39e>=n=80;6)<k2;6f?k4c93h07d;?:18'6a4=<l1e>i?5c:9j0d<72-8o>7:j;o0g5?b<3`?m6=4+2e091`=i:m;1<65f5e83>!4c:3?n7c<k1;38?l3d290/>i<55d9m6a7=:21b9o4?:%0g6?3b3g8o=7=4;h4:>5<#:m819h5a2e390>=n>10;6)<k2;7f?k4c93?07d89:18'6a4==l1e>i?56:9j20<72-8o>7;j;o0g5?1<3`<?6=4+2e091`=i:m;1465f6283>!4c:3?n7c<k1;;8?l05290/>i<55d9m6a7=i21b:<4?:%0g6?3b3g8o=7l4;h43>5<#:m819h5a2e39g>=n=h0;6)<k2;7f?k4c93n07dmm:18'6a4=kh1e>i?50:9jg<<72-8o>7mn;o0g5?7<3`i<6=4+2e09gd=i:m;1>65fc783>!4c:3ij7c<k1;18?le2290/>i<5c`9m6a7=<21bo94?:%0g6?ef3g8o=7;4;ha0>5<#:m81ol5a2e392>=nk;0;6)<k2;ab?k4c93=07dm>:18'6a4=kh1e>i?58:9jg5<72-8o>7mn;o0g5??<3`hm6=4+2e09gd=i:m;1m65fbd83>!4c:3ij7c<k1;`8?ldd290/>i<5c`9m6a7=k21bno4?:%0g6?ef3g8o=7j4;h`b>5<#:m81ol5a2e39a>=nj00;6)<k2;ab?k4c93l07dl7:18'6a4=kh1e>i?51198mg1=83.9h?4la:l1`4<6921bn;4?:%0g6?ef3g8o=7?=;:ka1?6=,;n96no4n3f2>45<3`h?6=4+2e09gd=i:m;1=954ic194?"5l;0hm6`=d0821>=nl;0;6)<k2;ab?k4c93;=76gk1;29 7b52jk0b?j>:058?lb7290/>i<5c`9m6a7=9110enh50;&1`7<di2d9h<4>9:9jg`<72-8o>7mn;o0g5?7f32chh7>5$3f1>fg<f;n:6<l4;ha`>5<#:m81ol5a2e395f=<aj21<7*=d38`e>h5l80:h65fbe83>!4c:3ij7c<k1;3f?>oe:3:1(?j=:bc8j7b628l07b?m7;29 7b528h=7c<k1;28?j7e=3:1(?j=:0`5?k4c93;07b?m4;29 7b528h=7c<k1;08?j7e;3:1(?j=:0`5?k4c93907d?9e;29 7b528<o7c<k1;28?l71k3:1(?j=:04g?k4c93;07d?9b;29 7b528<o7c<k1;08?l71i3:1(?j=:04g?k4c93907b?mc;29 7b528hi7c<k1;28?j7ei3:1(?j=:0`a?k4c93;07b?m9;29 7b528hi7c<k1;08?j7e03:1(?j=:0`a?k4c93907b?na;29 7b528k27c<k1;28?j7f03:1(?j=:0c:?k4c93;07b?n6;29 7b528k27c<k1;08?j7f=3:1(?j=:0c:?k4c93907b?n4;29 7b528k27c<k1;68?j7f;3:1(?j=:0c:?k4c93?07b?n2;29 7b528k27c<k1;48?j7f93:1(?j=:0c:?k4c93=07b?n0;29 7b528k27c<k1;:8?j7>n3:1(?j=:0c:?k4c93307b?6e;29 7b528k27c<k1;c8?j7>l3:1(?j=:0c:?k4c93h07b?6b;29 7b528k27c<k1;a8?j7>i3:1(?j=:0c:?k4c93n07b?69;29 7b528k27c<k1;g8?j7>03:1(?j=:0c:?k4c93l07b?67;29 7b528k27c<k1;33?>i61?0;6)<k2;3b=>h5l80:=65`18794?"5l;0:m45a2e3957=<g83?6=4+2e095d?<f;n:6<=4;n3:7?6=,;n96<o6;o0g5?7332e:5?4?:%0g6?7f12d9h<4>5:9l5g7=83.9h?4>a89m6a7=9?10c<l?:18'6a4=9h30b?j>:058?j7fn3:1(?j=:0c:?k4c93;376a>ad83>!4c:3;j56`=d082=>=h9hn1<7*=d382e<=i:m;1=l54o0c`>5<#:m81=l74n3f2>4d<3f;jn7>5$3f1>4g>3g8o=7?l;:m2e2<72-8o>7?n9:l1`4<6l21d=4m50;&1`7<6i01e>i?51d98k4?6290/>i<51`;8j7b628l07d8:9;29 7b52??37c<k1;28?l02?3:1(?j=:77;?k4c93;07d8:6;29 7b52??37c<k1;08?l02=3:1(?j=:77;?k4c93907don:18'6a4=i01e>i?50:9je=<72-8o>7o6;o0g5?7<3`k=6=4+2e09e<=i:m;1>65fa483>!4c:3k27c<k1;18?lg3290/>i<5a89m6a7=<21bm>4?:%0g6?g>3g8o=7;4;hc1>5<#:m81m45a2e392>=ni80;6)<k2;c:?k4c93=07do?:18'6a4=i01e>i?58:9j=c<72-8o>7o6;o0g5??<3`3n6=4+2e09e<=i:m;1m65f9e83>!4c:3k27c<k1;`8?l?e290/>i<5a89m6a7=k21b5l4?:%0g6?g>3g8o=7j4;h;:>5<#:m81m45a2e39a>=n110;6)<k2;c:?k4c93l07d78:18'6a4=i01e>i?51198m<0=83.9h?4n9:l1`4<6921b584?:%0g6?g>3g8o=7?=;:k:0?6=,;n96l74n3f2>45<3`386=4+2e09e<=i:m;1=954i8094?"5l;0j56`=d0821>=nj80;6)<k2;c:?k4c93;=76gm0;29 7b52h30b?j>:058?lga290/>i<5a89m6a7=9110elk50;&1`7<f12d9h<4>9:9jea<72-8o>7o6;o0g5?7f32cjo7>5$3f1>d?<f;n:6<l4;hca>5<#:m81m45a2e395f=<ah=1<7*=d38b=>h5l80:h65f9b83>!4c:3k27c<k1;3f?>o>93:1(?j=:`;8j7b628l07p}=ec83>6}Y:lh01:=;:3g:?814=38n56s|65`94??|V?>i709<4;47b>;0;=0=8i52726921e<5>9?6;:m;<501?03n27<?8494e9>363=>=i01:=::76a?xu203:1?vP:8:?471<3n27<?84;f:p13<72:qU9;5272690a=:?:?18i5rs4794?5|V<?01:=;:5a892522=i0q~;;:180[3334=887:m;<501?2e3ty>?7>53z\67>;0;=0>56383486=>{t=;0;6>uQ539>362==116;>;5599~w07=839pR8?4=617>00<5>9>6884}r73>5<4sW?;709<4;76?814=3?>7p};a;297~X3i27<?94:4:?470<2<2wxik4?:3y]ac=:?:>1=;74}rgf>5<5sWon709<4;cb?xubl3:1>vPjd:?471<f02wxin4?:3y]af=:?:>1m;5rsd`94?4|Vlh01:=;:`78yvcf2909wSkn;<500?g33tyn47>52z\f<>;0;=0j?6s|e683>7}Ym>16;>:5a39~w`0=838pRh84=617>d7<uzo>6=4={_g6?814<3;=;6s|e583>7}Ym=16;>:5a19~w`5=838pRh=4=617><`<uzo96=4={_g1?814<33n7p}j1;296~Xb927<?946d:pa5<72;qUi=527269=g=z{ml1<7<t^ed8925320k0q~jk:181[bc34=88776;|qgg?6=:rToo638358:<>{tlk0;6?uQdc9>362=9?<0q~jn:181[bf34=88778;|qg=?6=:rTo5638358:2>{tl10;6?uQd99>362=1<1vi950;0xZa1<5>9?64:4}rf5>5<5sWn=709<4;;0?xuc=3:1>vPk5:?471<>:2wxh94?:3y]`1=:?:>1n<5rs03a>5<5sW;:n638358a4>{t98k1<7<t^03b?814<3;=96s|10;94?4|V8;2709<4;ce?xu69<0;6?uQ107892532ho0q~??a;296~X68h16;>:5ae9~wc`=838pRkh4=617>de<uzl?6=4={_d7?814<3ki7p}j9;296~Xb127<?94n7:p``<72;qUhh527269=f=z{m91<7<t^e18925320;0q~?>8;296~X69116;>;517;8yv76>3:1>vP>179>363=ih1v<?;:181[76<27<?84n8:p545=838pR<?<;<501?g13ty:=?4?:3y]544<5>9>6l;4}r325?6=:rT:=<527279e1=z{8;;6=4={_324>;0;<0j?6s|11d94?4|V8:m709<5;c1?xu68l0;6?uQ11g892522h;0q~??d;296~X68m16;>;51758yv77k3:1>vP>0b9>363=i91v<>m:181[77j27<?846f:p55?=838pR<>6;<501??b3ty:<54?:3y]55><5>9>64j4}r333?6=:rT:<:527279=g=z{8:=6=4={_332>;0;<02m6s|11794?4|V8:>709<5;;:?xu68=0;6?uQ116892522020q~??3;296~X68:16;>;51748yv77:3:1>vP>039>363=1>1v<>>:181[77927<?8466:p556=838pR<>?;<501??23tymi7>52z\ea>;0;<0286s|fe83>7}Ynm16;>;5929~wce=838pRkm4=616><4<uzli6=4={_da?814=3h:7p}ia;296~Xai27<?84m0:pb<<72;qUj4527279533<uzl36=4={_d;?814=3km7p}i7;296~Xa?27<?84ne:pb3<72;qUj;527279ea=z{o?1<7<t^g7892522hi0q~h<:181[`434=897om;|qe6?6=:rTm>638348b3>{tn80;6?uQf09>363=1j1vk>50;0xZc6<5>9>64?4}r0fa?6=;rT9ih5272696`e<5>9>6?kl;|q5<?6=;rT=46383586b>;0;<0>j6s|6783>6}Y>?16;>:55e9>363==m1v;;50;1xZ33<5>9?68m4=616>0e<uz<?6=4<{_47?814<3?i709<5;7a?xu1;3:1?vP93:?471<1127<?8499:p27<72:qU:?5272692==:?:?1:55rs7394?5|V?;01:=;:74892522?<0q~8?:180[0734=8878:;<501?023ty>m7>53z\6e>;0;=0=863834850>{t?h0;6>uQ7`9>362=?h16;>;57`9~w72a2908wS<;f:?471<5<o16;>;525d8yv1a2908wS9i;<500?1a34=8979i;|q115<72:qU>8>4=617>73734=897<:0:p674=838pR?<=;<500?7ek2wx>??50;0xZ74634=887?na:p676=838pR?<?;<500?7f02wx><h50;0xZ77a34=887?n6:p64c=838pR??j;<500?7f=2wx><j50;0xZ77c34=887?n4:p64d=838pR??m;<500?7f;2wx><o50;0xZ77f34=887?n2:p64?=838pR??6;<500?7f92wx><650;0xZ77?34=887?ma:p641=838pR??8;<500?7f82wx><850;0xZ77134=887?6f:p643=838pR??:;<500?7>m2wx><:50;0xZ77334=887?6d:p645=838pR??<;<500?7>j2wx><<50;0xZ77534=887?6a:p646=838pR???;<500?7>12wx>=h50;0xZ76a34=887?68:p65c=838pR?>j;<500?7e12wx>=j50;0xZ76c34=887?67:p65e=838pR?>l;<500?7>>2wx>=l50;0xZ76e34=887?65:p65g=838pR?>n;<500?7><2wx>=750;0xZ76>34=887?63:p65>=838pR?>7;<500?7>:2wx>=950;0xZ76034=887?m1:p61c=838pR?:j;<500?7e82wx>9j50;0xZ72c34=887?m8:p61e=838pR?:l;<500?7fn2wx>9650;0xZ72?34=887?ne:p66b=838pR?=k;<500?7fl2wx>><50;0xZ75534=887?nc:p671=838pR?<8;<500?7fj2wx><m50;0xZ77d34=887?n7:p647=838pR??>;<500?7>k2wx>=850;0xZ76134=887?61:p61d=838pR?:m;<501?7ek2wx>9750;0xZ72>34=897?na:p611=838pR?:8;<501?7f02wx>9850;0xZ72134=897?n6:p613=838pR?::;<501?7f=2wx>9:50;0xZ72334=897?n4:p615=838pR?:<;<501?7f;2wx>9<50;0xZ72534=897?n2:p617=838pR?:>;<501?7f92wx>9>50;0xZ72734=897?ma:p66`=838pR?=i;<501?7f82wx>>k50;0xZ75b34=897?6f:p66e=838pR?=l;<501?7>m2wx>>l50;0xZ75e34=897?6d:p66g=838pR?=n;<501?7>j2wx>>750;0xZ75>34=897?6a:p66>=838pR?=7;<501?7>12wx>>950;0xZ75034=897?68:p660=838pR?=9;<501?7e12wx>>;50;0xZ75234=897?67:p662=838pR?=;;<501?7>>2wx>>=50;0xZ75434=897?65:p667=838pR?=>;<501?7><2wx>>>50;0xZ75734=897?63:p67`=838pR?<i;<501?7>:2wx>?k50;0xZ74b34=897?m1:p67b=838pR?<k;<501?7e82wx>?m50;0xZ74d34=897?m8:p67d=838pR?<m;<501?7fn2wx>?o50;0xZ74f34=897?ne:p67?=838pR?<6;<501?7fl2wx>?650;0xZ74?34=897?nc:p670=838pR?<9;<501?7fj2wx>?;50;0xZ74234=897?n7:p672=838pR?<;;<501?7>k2wx>?=50;0xZ74434=897?61:p<`<72kip1;8::37`?[>b3W8m?6P=e39]6`6<V;nm7S<ke:\1`a=Y:mi0R?jm;_0ge>X5l016;>:52d4892532;o87S?md:?471<2;27<?94:2:?471<2927<?94:0:?471<3i27<?9493:?471<1:27<?9491:?471<1827<?94:a:?471<dj27<?94l9:?471<d?27<?94l6:?471<d=27<?94l4:?471<d;27<?94l2:?471<d927<?94l0:?471<en27<?94me:?471<ek27<?94mb:?471<ei27<?94m9:?471<e027<?94m7:?471<e>27<?94m5:?471<e<27<?94m3:?471<c:27<?94k1:?471<c827<?94lf:?471<dm27<?94ld:?471<dk27<?94l8:?471<el27<?94m2:?471<6>l16;>:517a8925328<i709<4;35e>;0;=0=94527269201<5>9?6;;9;<500?02=2T:o55Q1e18Z4bb3W;n56P>f59]5c`<V;:87S<?4:\140=Y9ko0R<li;_3`4>X6k81U=n<4^0a0?[7d<2T:o85Q1b48Z4e03W;h56P>c`9]5fd<V8ih7S?ld:\2g`=Y9jl0R<j?;_3g5>X6l;1U=i:4^0f6?[7c>2T:h:5Q1e:8Z4b>34=897<j6:?470<5m:1U=io4=616>05<5>9>68<4=616>07<5>9>68>4=616>1g<5>9>6;=4=616>34<5>9>6;?4=616>36<5>9>68o4=616>fd<5>9>6n74=616>f1<5>9>6n84=616>f3<5>9>6n:4=616>f5<5>9>6n<4=616>f7<5>9>6n>4=616>g`<5>9>6ok4=616>ge<5>9>6ol4=616>gg<5>9>6o74=616>g><5>9>6o94=616>g0<5>9>6o;4=616>g2<5>9>6o=4=616>a4<5>9>6i?4=616>a6<5>9>6nh4=616>fc<5>9>6nj4=616>fe<5>9>6n64=616>gb<5>9>6o<4=616>40b34=897?9c:?470<6>k16;>;517c892522??2709<5;463>;0;<0=9;527279203<V8ni7S?kc:\2`a=Y9ml0R<k?;_3f5>X6m;1U=h=4^0g7?[7b=2T:i;5Q1d58Z4c?3W;nm6P>ec9]5`e<V8oo7S?je:\2ac=Y9o:0R<h>;_3e6>X6n:1U=k;4^0d5?[7a?2T:j55Q1g;8Z4`f3W;mn6P>fb9]5cb<V8ln7S<?0:\147=zuk>2;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c6:2?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>297>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c6:0?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>247>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c6be?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>j57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c6b<?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>j;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c6bf?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>8;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c602?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>897>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c600?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>847>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c67e?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>?57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c67<?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk>?;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c67f?6=<3:1<v*=fg81f`=O>?>0D;:7;%0``?14:2c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk9m;7>53;294~"5no0=:>5G6768L32?3`=86=44i3`7>5<<g;ih6=44}c1fa?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b3<72:0;6=u+2gd9235<@?<?7E8;8:k47?6=3`8i87>5;n0`g?6=3th8i84?:583>5}#:ol1>ok4H747?M0302c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk9nh7>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j84?:283>5}#:ol1:;=4H747?M0302c<?7>5;h0a0?6=3f8ho7>5;|`0a1<72=0;6=u+2gd96gc<@?<?7E8;8:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c1fg?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b1<72:0;6=u+2gd9235<@?<?7E8;8:k47?6=3`8i87>5;n0`g?6=3th8i>4?:583>5}#:ol1>ok4H747?M0302c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk9nn7>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j>4?:283>5}#:ol1:;=4H747?M0302c<?7>5;h0a0?6=3f8ho7>5;|`0a7<72=0;6=u+2gd96gc<@?<?7E8;8:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c1fe?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b7<72:0;6=u+2gd9235<@?<?7E8;8:k47?6=3`8i87>5;n0`g?6=3th8i<4?:583>5}#:ol1>ok4H747?M0302c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk9n57>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j<4?:283>5}#:ol1:;=4H747?M0302c<?7>5;h0a0?6=3f8ho7>5;|`0a5<72=0;6=u+2gd96gc<@?<?7E8;8:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c1f<?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b5<72:0;6=u+2gd9235<@?<?7E8;8:k47?6=3`8i87>5;n0`g?6=3th8hk4?:583>5}#:ol1>ok4H747?M0302c<?7>5;h;3>5<<a;l96=44o3a`>5<<uk9n;7>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8ik4?:283>5}#:ol1:;=4H747?M0302c<?7>5;h0a0?6=3f8ho7>5;|`0``<72=0;6=u+2gd96gc<@?<?7E8;8:k47?6=3`3;6=44i3d1>5<<g;ih6=44}c1f2?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`767<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e<;;1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn9<?:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c62b?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd39l0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8<j50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53`>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26j3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=l4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm40;94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f1>b290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5:g>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn96l:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj=2i6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f1>f290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5::>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn967:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj=2<6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f1>1290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55`>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn99m:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj==j6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f11>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55;>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn998:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj===6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f112290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb50;>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25=3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>k4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm43`94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg25i3:187>50z&1bc<5=>1C:;:4H76;?!41l3i0e:>50;9j<g<722c9h94?::m1`3<722wi8h750;194?6|,;lm6;8<;I450>N1<11b;>4?::k1f1<722e9on4?::a0`6=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c?29086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j8:187>5<7s-8mj7<me:J521=O>=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ih50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k8:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b1290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ac=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c129086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j::187>5<7s-8mj7<me:J521=O>=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ij50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k::180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b3290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ae=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c329086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j<:187>5<7s-8mj7<me:J521=O>=20e:=50;9j=5<722c9j?4?::m1gf<722wi8il50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k<:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b5290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ag=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c529086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j>:187>5<7s-8mj7<me:J521=O>=20e:=50;9j=5<722c9j?4?::m1gf<722wi8h?50;194?6|,;lm6;8<;I450>N1<11b;>4?::k1f1<722e9on4?::a0a6=83>1<7>t$3de>7db3A<=86F9499j36<722c2<7>5;h0e6?6=3f8ho7>5;|`643<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e=9?1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8>;:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c737?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd28;0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=?50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb423>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2an3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?jh4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm4gf94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f02?290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb464>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:9:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<>>6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f023290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb460>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:=:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<9j6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f05>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb41;>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<9=6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f052290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb417>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<996=4::183!4an38>46F9659K21><,;<o6n5f7183>>o093:17d6m:188m7b32900c?j9:188yg3503:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<<g;n=6=44}c713?6==3:1<v*=fg8113=O>?>0D;:7;%05`?5<a>:1<75f7083>>o??3:17d6m:188k7b12900qo;=6;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<<uk?997>55;294~"5no099;5G6768L32?3-8=h7=4i6294?=n?80;66g77;29?l>e2900c?j9:188yg35<3:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<<g;n=6=44}c717?6==3:1<v*=fg8113=O>?>0D;:7;%05`?5<a>:1<75f7083>>o??3:17d6m:188k7b12900qo;=2;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<<uk?9=7>55;294~"5no09955G6768L32?3-8=h7m4i6294?=n?80;66g7b;29?l4c<3:17b<k6;29?xd4j>0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o850;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`6>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e<3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n>4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm3c094?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d6290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk9i<7>54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<ag83>1<729q/>kh52478L3033A<?46*=6e80?l172900e5950;9j<g<722e9h;4?::a7=d=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:2j6=4;:183!4an38>96F9659K21><,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=79;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<=<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;1=1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>69:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c1;1?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd40=0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?5=50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;7>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm38394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6?7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk93j7>54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8d83>1<729q/>kh52478L3033A<?46*=6e80?l172900e5950;9j<g<722e9h;4?::a7=b=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:2h6=4;:183!4an38>96F9659K21><,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=l0;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0fc<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;ko1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>lk:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c1ag?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4jk0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?oo50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`:>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e03:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>;k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<5b83>1<729q/>kh52478L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb27a>5<3290;w)<if;061>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`01d<72=0;6=u+2gd9603<@?<?7E8;8:J5g>"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f63>290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4=10;694?:1y'6c`=:<?0D;8;;I47<>N1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:?<6=4;:183!4an38>96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89;4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=>:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3183>1<729q/>kh52478L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb20e>5<3290;w)<if;061>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`06`<72=0;6=u+2gd9603<@?<?7E8;8:J5g>"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f64c290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4:j0;694?:1y'6c`=:<?0D;8;;I47<>N1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:8i6=4;:183!4an38>96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8>l4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn><6:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7883>1<729q/>kh52478L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb25;>5<3290;w)<if;061>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`032<72=0;6=u+2gd9603<@?<?7E8;8:J5g>"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f611290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4?<0;694?:1y'6c`=:<?0D;8;;I47<>N1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:=?6=4;:183!4an38>96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8;>4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>9=:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7083>1<729q/>kh52478L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb260>5<3290;w)<if;061>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`007<72=0;6=u+2gd9603<@?<?7E8;8:J5g>"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f626290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4<90;694?:1y'6c`=:<?0D;8;;I47<>N1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:9m6=4;:183!4an38>96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8?h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3b83>1<729q/>kh52478L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb21a>5<3290;w)<if;061>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`642<72:0;6=u+2gd96g><@?<?7E8;8:&12a<6=2.9h>49729j60`=831b>;>50;9l6fe=831vn96i:185>5<7s-8mj7<mb:J521=O>=20(?8k:308 7b42?=87d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl92b83>6<729q/>kh52c:8L3033A<?46*=6e821>"5l:0=;i5f24d94?=n:?:1<75`2ba94?=zj?8o6=4<:183!4an38i46F9659K21><,;<o6<;4$3f0>31d3`8>j7>5;h054?6=3f8ho7>5;|`504<72:0;6=u+2gd96g><@?<?7E8;8:&12a<6=2.9h>497e9j60`=831b>;>50;9l6fe=831vn;:8:180>5<7s-8mj7<m8:J521=O>=20(?8k:078 7b42?=h7d<:f;29?l4183:17b<lc;29?xd3i90;684?:1y'6c`=:kk0D;8;;I47<>"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<0o1<7;50;2x 7`a2;hj7E894:J50==#:?n1?i5f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=3h6=4::183!4an38im6F9659K21><,;<o6>j4i37e>5<<a;<;6=44i342>5<<a;<96=44o3a`>5<<uk>2m7>55;294~"5no09nl5G6768L32?3-8=h7=k;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th?m?4?:283>5}#:ol1>o64H747?M0302.9:i4<c:k11c<722c9:=4?::m1gf<722wi8o=50;794?6|,;lm6?ln;I450>N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9l>:186>5<7s-8mj7<ma:J521=O>=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:nf;291?6=8r.9jk4=b`9K232<@?>37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;b483>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm45294?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:<l1<75f27294?=n:?;1<75f27094?=h:ji1<75rb51f>5<2290;w)<if;0ae>N1>=1C:964$34g>6b<a;?m6=44i343>5<<a;<:6=44i341>5<<g;ih6=44}c60g?6==3:1<v*=fg81fd=O>?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`77d<72<0;6=u+2gd96gg<@?<?7E8;8:&12a<4l2c99k4?::k125<722c9:<4?::k127<722e9on4?::a014=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f134290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2293:197>50z&1bc<5jh1C:;:4H76;?!41l39o7d<:f;29?l4183:17d<91;29?l41:3:17b<lc;29?xd3<o0;684?:1y'6c`=:kk0D;8;;I47<>"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<<?1<7=50;2x 7`a2;h37E894:J50==#:?n1?n5f24d94?=n:?:1<75`2ba94?=zj:lo6=4;:183!4an38i56F9659K21><,;<o6>=4i37e>5<<a;<;6=44i342>5<<g;ih6=44}c630?6=?3:1<v*=fg81ff=O>?>0D;:7;%05`?5?3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0`d<72=0;6=u+2gd96g?<@?<?7E8;8:&12a<302.9h>49719j60`=831b>;>50;9j637=831d>nm50;9~f6bd290>6=4?{%0eb?4ei2B=:95G65:8 70c211/>i=566;8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=kd;292?6=8r.9jk4=bc9K232<@?>37)<9d;;8 7b42?=27d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl<dc83>1<729q/>kh52c;8L3033A<?46*=6e84?!4c;3<<<6g=5g83>>o5>90;66g=6083>>i5kj0;66sm47394?5=83:p(?hi:3`;?M01<2B=855+27f97f=n:<l1<75f27294?=h:ji1<75rb540>5<3290;w)<if;0a=>N1>=1C:964$34g>12<,;n86;6<;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`721<72<0;6=u+2gd96gg<@?<?7E8;8:&12a<3=2.9h>49829j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<;:187>5<7s-8mj7<m9:J521=O>=20(?8k:7f8 7b42?2?7d<:f;29?l4183:17d<91;29?j4dk3:17pl;2e83>0<729q/>kh52cc8L3033A<?46*=6e80f>"5l:0=495f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=886=4::183!4an38im6F9659K21><,;<o6??4$3f0>3>63`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`762<72<0;6=u+2gd96gg<@?<?7E8;8:&12a<5;2.9h>49809j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<6:187>5<7s-8mj7<m9:J521=O>=20(?8k:7c8 7b42?2>7d<:f;29?l4183:17d<91;29?j4dk3:17pl;cg83>2<729q/>kh52ca8L3033A<?46*=6e87=>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4cf94?1=83:p(?hi:3``?M01<2B=855+27f92>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4b594?2=83:p(?hi:3`:?M01<2B=855+27f96==n:<l1<75f27294?=n:?;1<75`2ba94?=zj=i26=4;:183!4an38i56F9659K21><,;<o6>=4i37e>5<<a;<;6=44i342>5<<g;ih6=44}c6`2?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?433`8>j7>5;h054?6=3f8ho7>5;|`64a<72:0;6=u+2gd96g><@?<?7E8;8:&12a<4k2c99k4?::k125<722e9on4?::a15`=83>1<7>t$3de>7d>3A<=86F9499'63b=<=1/>i=56908m73a2900e?8?:188m7062900c?ml:188yg3683:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)<k3;4;6>o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm38494?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::?4i37e>5<<a;<;6=44o3a`>5<<uk9247>54;294~"5no09n45G6768L32?3-8=h7=j;%0g7?0092c99k4?::k125<722c9:<4?::m1gf<722wi?nl50;194?6|,;lm6?l7;I450>N1<11/>;j5259j60`=831b>;>50;9l6fe=831vn>mk:187>5<7s-8mj7<m9:J521=O>=20(?8k:2g8 7b42?=97d<:f;29?l4183:17d<91;29?j4dk3:17pl<0g83>6<729q/>kh52c:8L3033A<?46*=6e810>"5l:0=;55f24d94?=n:?:1<75`2ba94?=zj::n6=4<:183!4an38i46F9659K21><,;<o6?:4$3f0>31?3`8>j7>5;h054?6=3f8ho7>5;|`04a<72:0;6=u+2gd96g><@?<?7E8;8:&12a<5<2c99k4?::k125<722e9on4?::a75g=8391<7>t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f66d29086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:75a?l42n3:17d<90;29?j4dk3:17pl<0c83>6<729q/>kh52c:8L3033A<?46*=6e810>"5l:0=;o5f24d94?=n:?:1<75`2ba94?=zj::<6=4<:183!4an38i46F9659K21><,;<o6?:4$3f0>3103`8>j7>5;h054?6=3f8ho7>5;|`043<72:0;6=u+2gd96g><@?<?7E8;8:&12a<5<2.9h>49769j60`=831b>;>50;9l6fe=831vn>>::180>5<7s-8mj7<m8:J521=O>=20(?8k:368 7b42?=j7d<:f;29?l4183:17b<lc;29?xd48=0;6>4?:1y'6c`=:k20D;8;;I47<>"5>m0986*=d2853d=n:<l1<75f27294?=h:ji1<75rb222>5<4290;w)<if;0a<>N1>=1C:964$34g>72<,;n86;9:;h06b?6=3`8=<7>5;n0`g?6=3th8<>4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1??1b>8h50;9j636=831d>nm50;9~f66529086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:755?l42n3:17d<90;29?j4dk3:17pl<0183>6<729q/>kh52c:8L3033A<?46*=6e810>"5l:0=;85f24d94?=n:?:1<75`2ba94?=zj:326=4::183!4an38im6F9659K21><,;<o6?84$3f0>31a3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`0=g<72?0;6=u+2gd96gd<@?<?7E8;8:&12a<4n2.9h>497g9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f6?c290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5dm3:197>50z&1bc<5jh1C:;:4H76;?!41l38=7)<k3;4;4>o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm3e294?0=83:p(?hi:3`a?M01<2B=855+27f97c=#:m91:5>4i37e>5<<a;<;6=44i342>5<<a;<96=44i340>5<<g;ih6=44}c1`5?6=?3:1<v*=fg81ff=O>?>0D;:7;%05`?403`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0e1<72>0;6=u+2gd96ge<@?<?7E8;8:&12a<5?2c99k4?::k125<722c9:<4?::k127<722c9:>4?::k121<722e9on4?::a7f>=83=1<7>t$3de>7dd3A<=86F9499'63b=:>1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6?a290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5f:3:187>50z&1bc<5j01C:;:4H76;?!41l38>7d<:f;29?l4183:17d<91;29?j4dk3:17pl<a083>2<729q/>kh52ca8L3033A<?46*=6e874>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3b194?1=83:p(?hi:3``?M01<2B=855+27f962=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb2a5>5<3290;w)<if;0a=>N1>=1C:964$34g>73<,;n86;9=;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`0g0<72>0;6=u+2gd96ge<@?<?7E8;8:&12a<382c99k4?::k125<722c9:<4?::k127<722c9:>4?::k121<722e9on4?::a0db=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a01b=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a0a>=8391<7>t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f1b>29086=4?{%0eb?4e02B=:95G65:8 70c2;>0e?;i:188m7072900c?ml:188yg2dj3:197>50z&1bc<5jh1C:;:4H76;?!41l3827d<:f;29?l4183:17d<91;29?l41:3:17b<lc;29?xd3kj0;6;4?:1y'6c`=:kh0D;8;;I47<>"5>m09m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm4bf94?1=83:p(?hi:3``?M01<2B=855+27f96g=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb5ab>5<4290;w)<if;0a<>N1>=1C:964$34g>62<a;?m6=44i343>5<<g;ih6=44}c6`a?6=?3:1<v*=fg81ff=O>?>0D;:7;%05`?4e3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`71=<72>0;6=u+2gd96ge<@?<?7E8;8:&12a<5=k1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`a290<6=4?{%0eb?4ek2B=:95G65:8 70c2h1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`b29086=4?{%0eb?4e02B=:95G65:8 70c2:>0e?;i:188m7072900c?ml:188yg27:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l3;=7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b<lc;29?xd38?0;684?:1y'6c`=:kk0D;8;;I47<>"5>m0896g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<931<7950;2x 7`a2;hh7E894:J50==#:?n1m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<9=1<7850;2x 7`a2;hi7E894:J50==#:?n1?;5f24d94?=n:?:1<75f27394?=n:?81<75f27194?=h:ji1<75rb52a>5<0290;w)<if;0ag>N1>=1C:964$34g>d=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb52;>5<1290;w)<if;0af>N1>=1C:964$34g>60<a;?m6=44i343>5<<a;<:6=44i341>5<<a;<86=44o3a`>5<<uk>;h7>57;294~"5no09nn5G6768L32?3-8=h7=8;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?:?4?:683>5}#:ol1>om4H747?M0302.9:i47f:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi9=k50;594?6|,;lm6?ll;I450>N1<11/>;j58g9j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn>>7:180>5<7s-8mj7<m8:J521=O>=20(?8k:368 7b42?=?7d<:f;29?l4183:17b<lc;29?xd1:>0;6;4?:1y'6c`=:kh0D;8;;I47<>"5>m099l5+2e192=3<a;?m6=44i343>5<<a;<:6=44i341>5<<a;<86=44o3a`>5<<uk>>j7>56;294~"5no09no5G6768L32?3-8=h7:9;%0g7?00m2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8;>50;594?6|,;lm6?ll;I450>N1<11/>;j5469j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn9;j:186>5<7s-8mj7<ma:J521=O>=20(?8k:578 7b42?=n7d<:f;29?l4183:17d<91;29?l41:3:17b<lc;29?xd3=m0;694?:1y'6c`=:k30D;8;;I47<>"5>m0?86*=d2852c=n:<l1<75f27294?=n:?;1<75`2ba94?=zj=?h6=4<:183!4an38i46F9659K21><,;<o6>m4$3f0>30a3`8>j7>5;h054?6=3f8ho7>5;|`64g<72?0;6=u+2gd96gd<@?<?7E8;8:&12a<3>2.9h>496d9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f06d290<6=4?{%0eb?4ek2B=:95G65:8 70c2==0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg37i3:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)<k3;45a>o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm51;94?2=83:p(?hi:3`:?M01<2B=855+27f901=n:<l1<75f27294?=n:?;1<75`2ba94?=zj<:36=4<:183!4an38i46F9659K21><,;<o6>m4i37e>5<<a;<;6=44o3a`>5<<uk9;57>53;294~"5no09n55G6768L32?3-8=h7=l;%0g7?00<2c99k4?::k125<722e9on4?::a0f3=8381<7>t$3de>7d43A<=86F9499j60c=831d>nm50;9~f02f29096=4?{%0eb?4e;2B=:95G65:8m73b2900c?ml:188yg05j3:1>7>50z&1bc<5j:1C:;:4H76;?l42m3:17b<lc;29?xd2<j0;6?4?:1y'6c`=:k90D;8;;I47<>o5=l0;66a=cb83>>{t?=31<79t^66:?805n38o86393181`1=:>:;1>i:4=761>7b334<8o7<k4:?56`<??2wx>n?50;`x93012;in708=5;0f1>;1:<09i552486936=:<h=1;>52426936=:<==1;>523eg936=:;ll1;>524e2936=:<l;1;>5rs3a1>5<1mr7=:84=5b9>27`=0k16:>o58c9>26d=0k16:>;58c9>260=0k16:>958c9>273=:lh01;<::77f?805=3<>o63924851g=:>;?1:8o4=706>33>34<9978:7:?560<1=?16:?;56478934228<:708=5;36b>;1:<0:9i52637950e<5?8>6<;m;<411?72i27=>84>589>273=9<201;<::074?805=3;>:639248210=:>;?1=8:4=706>43534<997?:1:?560<6=916:?;515d8934228>n708=5;37`>;1:<0:8n52637951d<5?8>6<:n;<411?73127=>84>469>273=9=<01;<::066?805=3;?8639248206=:>;?1=9<4=706>42634<997?;0:?560<6;o16:?;512g89342289h708=5;30f>;1:<0:?l52637956?<5?8>6<=7;<411?74?27=>84>379>273=9:?01;<::017?805=3;8?639248274=:>;?1=>>4=706>44a34<997?=e:?560<6:m16:?;513a89342288i708=5;31e>;1:<0:>452637957><5?8>6<<9;<411?75=27=>84>259>273=9;901;<::001?805=3;9=639248265=:>;?1=<h4=706>47b34<997?>d:?560<6>=16:?;51718934228<9708=5;36a>;1:<0:9>52637951><5?8>6<=k;<411?74:27=>84>269>273=98i01;<::3`1?805=38i=63;998:4>;31=02<63;948:4>;31?02<63;968:4>;3ik02<63;a68:4>;3i102<63;a88:4>;3ih02<63;398:4>;3;=02<63;348:4>;3;?02<63;368:4>;3<k02<63;468:4>;3<102<63;488:4>;3<h02<6s|36c94?2|5:286?j9;<6:e?41927?mi4=609>0a>=:<l0q~=8b;290~;40=09h;5248c960`<5=ko6?;i;<6g=?42n2wx?:m50;6x96>22;n=70:6c;055>;3io09:<524ec960`<uz9<h7>54z?0<3<5l?1684m524d891ga2;?m70:kb;06b>{t;>o1<7:t=5f`>7073493;7<k6:?7=`<5>8168o?52738yv50n3:18v3;de8125=:;121>i84=5;f>73a34>i=7<:f:p7=6=83>p19jj:343?85?138o:63;a18124=:<k91>;?4}r1;5?6=<r7?hk4=619>7=g=:m<019o?:37e?82e;38>j6s|39094?2|5=o;6?8?;<1;f?4c>27?m?4=5g9>0g3=:<l0q~=n6;291~;4m?099k523eg9=5=:;hl1>i84=51b>70534>?h7<92:p7d1=83?p1>k8:37e?85cn33;70=m0;0g2>;3;h09:=5245f9636<uz9j47>55z?0a=<5=o16?h>5919>7g7=:m<019=l:341?823n38=>6s|3`;94?3|5:o26?;i;<1f5??7349i>7<k6:?77f<5>91689h52728yv5fi3:19v3<e`811c=:;l815=523c196a0<5=9n6?8=;<665?41:2wx?ll50;7x96ce2;?m70=j3;;3?85e<38o:63;3d8125=:<<;1>;>4}r1bg?6==r78in4=5g9>7`2=1916?o;52e4891272;<970::3;056>{t;hn1<7;t=2gg>73a349n977?;<1a2?4c>27?8=4=619>005=:?:0q~=ne;290~;4ml099k523c596a0<5=>96?8?;<661?4182wx:?o50;01805=3<>8639248517=:>;?1:8?4=706>33734<9978;f:?560<1<m16:?;565a893422?>i708=5;07b>;2:80<=63:23845>;2::0<=63:25845>;2:<0<=63:27845>;2:>0<=63:29845>;2;;0<=63:32845>;2;=0<=63:34845>;2;?0<=63:36845>;2;10<=63:38845>;2;h0<=63:43845>;2<:0<=63:45845>;2<<0<=63:47845>;2<>0<=63:49845>;28>09on5rs5`b>5<5s4>im7<k6:\46`=z{=l86=4={_57`>;3nm09h;5rs5d7>5<5sW=?i63;fd81`3=z{=l>6=4={_57b>;3no09h;5rs5d5>5<5sW=><63:0181`3=z{=l<6=4={_565>;28809h;5rs5d;>5<5sW=>>63:0381`3=z{=l26=4={_567>;28:09h;5rs5db>5<5sW=>863:0581`3=z{=li6=4={_561>;28<09h;5rs5d`>5<5sW=>;63:0781`3=z{<9i6=49{<411?3634>o>77?;<776?4c>278<l4=5g9>75?=:?:019jn:343?xu2;j0;6;u2637917=:<m915=5255196a0<5::j6?8?;<13f?42n27?ho4=619~w05c290=w08=5;70?82ck38>j63;d58:4>;2<=09h;5231a960`<5::i6?8?;|q67`<72?q6:?;5559>0ab=:<l019j::82890222;n=70=?d;06b>;48j09:=5rs41e>5<1s4<997;:;<6ga?42n27?h;460:?603<5l?16?=k524d8966c2;<;7p}:4183>3}:>;?19;524ed960`<5=n<64>4=464>7b1349;j7<:f:?04`<5>91v8:>:186805=3?370:j0;06b>;2<109h;523b29<g=:;9l1>;>4}r41<?6=9;q68:;5709>020=?8168:95709>02>=?8168:75709>02g=?8168:l5709>02e=?8168585709>0=1=?8168565709>0=?=?81685o5709>0=d=?81685m5709>0=b=?81685k5709>075=:ji0q~8=9;290<}:=0?1;<52584934=:=h;1;<525`a934=:=k=1;<525b0934=:=jn1;<525e:934=:=mh1;<525ea934=:=0=1;<5258:934=:=031;<5258c934=:=0h1;<5258a934=:=0n1;<5258g934=:=0l1;<525`2934=:=h81;<525`1934=:=h>1;<525`7934=:=h<1;<525`5934=:=h21;<525`;934=:=hk1;<525``934=:=hn1;<525`g934=:=hl1;<525c2934=:=k;1;<525c0934=:=k91;<525c6934=:=k?1;<525c4934=:=k21;<525c;934=:=kk1;<525c`934=:=ki1;<525cf934=:=ko1;<525cd934=:=j:1;<525b3934=:=j91;<525b6934=:=j?1;<525b4934=:=j=1;<525b:934=:=j31;<525bc934=:=jh1;<525ba934=:=jo1;<525bd934=:=m:1;<525e3934=:=m81;<525e1934=:=m>1;<525e7934=:=m<1;<525e5934=:=m31;<525ec934=:<;>1>nm4}r66e?6==r7?9l4=d79>075=:<l019<8:37e?822038=<63926811c=z{=8i6=4={_511>;3:k09h;5rs52e>5<5sW=8463;1881`3=z{=;;6=4={_50=>;39h09h;5rs532>5<5sW=8m63;1c81`3=z{=;96=4={_50f>;39j09h;5rs530>5<5sW=8o63;1e81`3=z{=;?6=4={_50`>;39l09h;5rs536>5<5sW=8i63;1g81`3=z{=;=6=4={_50b>;3:909h;5rs534>5<5sW=?<63;2081`3=z{=;36=4={_576>;3:;09h;5rs55g>5<cs4<997;n;<1f2?41827?4;4=d79>06g=:?;01>>?:37e?823l38==63;638125=:<<l1>;>4=543>70734>>i7<90:?71a<5>91688m52728934e2;?n7p};7d83>3}:>;?1:=523d59636<5=2<6?j9;<60e?42n278<<4=5g9>756=:?:0q~:8f;292~;1:<0==63<e98125=:<121>i84=51`>706349;=7<90:?047<5=o1v96?:185805=3<970=j9;054>;30009h;5242a960`<5::86?;i;<136?4182wx85?50;4x93422?901>kn:343?82?i38o:63;3d8124=:;9>1>8h4=220>7073ty?4?4?:7y>273=>=16?hl5272891>e2;n=70:<e;06b>;48<099k523169636<uz>3?7>56z?560<1=278in4=619>0=e=:m<019:?:342?857>38>j63<048125=z{=2?6=49{<411?01349nh7<90:?7<a<5l?1689>524d896602;?m70=?6;054>{t<1?1<78t=706>3><5:on6?8?;<6;a?4c>2785947b:?707<5=o16?=952728yv33l3:1>vP9db9>1<3=:m<0q~;:8;296~X1m>1694852e48yv31;3:1>vP9f39>1d7=:m<0q~;9e;296~X1nm169lm52e48yv3013:1>vP8099>1g1=:m<0q~;74;296~X09:169n<52e48yv3?n3:1>vP81d9>1fb=:m<0q~;62;296~X0:;169i652e48yv3>;3:1>vP8229>1ad=:m<0q~;64;296~X0:=169im52e48yv33m3:1>vP9de9>1<1=:m<0q~;;f;296~X1ll1694652e48yv3283:1>vP9dg9>1<?=:m<0q~;:1;296~X1m91694o52e48yv32:3:1>vP9e09>1<d=:m<0q~;:3;296~X1m;1694m52e48yv32<3:1>vP9e29>1<b=:m<0q~;:5;296~X1m=1694k52e48yv32>3:1>vP9e49>1<`=:m<0q~;:7;296~X1m?169l>52e48yv3213:1>vP9e99>1d4=:m<0q~;:a;296~X1m0169l=52e48yv32j3:1>vP9e`9>1d2=:m<0q~;:c;296~X1mk169l;52e48yv32l3:1>vP9eb9>1d0=:m<0q~;:e;296~X1mm169l952e48yv32n3:1>vP9ed9>1d>=:m<0q~;90;296~X1mo169l752e48yv3193:1>vP9f19>1dg=:m<0q~;92;296~X1n8169ll52e48yv31<3:1>vP9f29>1db=:m<0q~;95;296~X1n=169lk52e48yv31>3:1>vP9f49>1d`=:m<0q~;97;296~X1n?169o>52e48yv3103:1>vP9f69>1g7=:m<0q~;99;296~X1n1169o<52e48yv31i3:1>vP9f89>1g5=:m<0q~;9b;296~X1nh169o:52e48yv31k3:1>vP9fc9>1g3=:m<0q~;9d;296~X1nj169o852e48yv31n3:1>vP9fd9>1g>=:m<0q~;80;296~X1no169o752e48yv3093:1>vP8019>1gg=:m<0q~;82;296~X088169ol52e48yv30;3:1>vP8039>1ge=:m<0q~;84;296~X08:169oj52e48yv30=3:1>vP8059>1gc=:m<0q~;86;296~X08<169oh52e48yv30?3:1>vP8079>1f6=:m<0q~;88;296~X08>169n?52e48yv30i3:1>vP8089>1f5=:m<0q~;8b;296~X08h169n:52e48yv30k3:1>vP80c9>1f3=:m<0q~;8d;296~X08j169n852e48yv30m3:1>vP80e9>1f1=:m<0q~;8f;296~X08l169n652e48yv3?83:1>vP80g9>1f?=:m<0q~;71;296~X099169no52e48yv3?:3:1>vP8109>1fd=:m<0q~;73;296~X09;169nm52e48yv3?=3:1>vP8159>1fc=:m<0q~;76;296~X09<169nh52e48yv3??3:1>vP8179>1a6=:m<0q~;78;296~X09>169i?52e48yv3?13:1>vP8199>1a4=:m<0q~;7a;296~X090169i=52e48yv3?j3:1>vP81`9>1a2=:m<0q~;7c;296~X09k169i;52e48yv3?l3:1>vP81b9>1a0=:m<0q~;7e;296~X09m169i952e48yv3>83:1>vP81g9>1a?=:m<0q~;61;296~X0:8169io52e48yv0483:18v3;b881`1=:<kk1>i:4=712>=d<5?9;6?j9;|q56`<72;q6:?k52e4891>a2;?m7p}93583>74|5=?j6?j;;<403?4c>27?=4477:?75d<??27?=o477:?75f<??27?=i477:?75`<??27?=k477:?765<??27?><477:?767<??27?;8477:?733<??27?;:477:?73=<??27?;4477:?73d<??27?;o477:?73f<??27?4;477:?7<2<??27?45477:?7<<<??27?4l477:?7<g<??27?4n477:?7<a<??27?4h477:?76d<5l=168?l52e68914a21=019<::958914?21=0q~8<3;2960}:>:<1>i84=2:`>=1<5:2o6594=2:f>=1<5:2m6594=2;3>=1<5:3:6594=2;1>=1<5:386594=2;7>=1<5:km6594=2`3>=1<5:h:6594=2`1>=1<5:h86594=2`7>=1<5:h>6594=2`5>=1<5:h<6594=275>=1<5:?<6594=27;>=1<5:?26594=27b>=1<5:?i6594=27`>=1<5:?o6594=27f>=1<5:826594=20b>=1<5:8i6594=20`>=1<5:8o6594=20f>=1<5:8m6594=213>=1<5:9:6594}r406?6=<kq6:>;52e4890?22;n<70;66;0g3>;2i809h:525`a96a1<5<h<6?j8;<7`6?4c?27>oi4=d69>1a>=:m=018jm:3f4?83ck38o;63:9681`2=:=021>i94=4;:>7b034?2m7<k7:?6=g<5l>1694m52e5890?c2;n<70;6e;0g3>;21o09h:525`296a1<5<k96?j8;<7b7?4c?27>m94=d69>1d3=:m=018o9:3f4?83f?38o;63:a981`2=:=h31>i94=4cb>7b034?jn7<k7:?6ea<5l>169lk52e5890ga2;n<70;m0;0g3>;2j809h:525c096a1<5<h86?j8;<7a0?4c?27>n84=d69>1g0=:m=018l7:3f4?83e138o;63:b`81`2=:=kh1>i94=4``>7b034?ih7<k7:?6f`<5l>169oh52e5890e72;n<70;l1;0g3>;2k:09h:525b696a1<5<i>6?j8;<7`2?4c?27>o:4=d69>1f>=:m=018m6:3f4?83di38o;63:cc81`2=:=ji1>i94=4af>7b034?hj7<k7:?6`5<5l>169i?52e5890b52;n<70;k3;0g3>;2l=09h:525e796a1<5<n=6?j8;<7g3?4c?27>h44=d69>1ag=:m=01;<::3gf?805?38=?6s|62;94?44s4<8n7<k6:?7ba<??27?jh477:?7bc<??27><=477:?644<??27><?477:?646<??27><9477:?640<??27><;477:?664<5l=169?<5869>175=0>169?:5869>173=0>169?85869>171=0>169?65869>164=:m>018=<:958905321=018=::958905121=018=8:958905?21=018=6:958905f21=018:=:958902421=018:;:958902221=018:9:958902021=018:7:958yv0403:1>8u262c96a0<5:h36594=2`:>=1<5:hj6594=2`a>=1<5:hh6594=2`g>=1<5:hn6594=2`e>=1<5:i;6594=2:0>=1<5:2?6594=2:6>=1<5:2=6594=2:4>=1<5:236594=2::>=1<5:2j6594=2:a>=1<5:=:6594=251>=1<5:=86594=257>=1<5:=>6594=255>=1<5:=<6594=25;>=1<5:=26594=21a>=1<5:9h6594=21g>=1<5:9n6594=21e>=1<5:>;6594=262>=1<5:>96594=260>=1<uz=>57>53z\41<=:=9=1>8h4=5:e>7053ty<8n4?:41xZ22d34>i579?;<6ae?1734<9j79?;<470?1734<8m79?;<40f?1734<8<79?;<471?1734<8=79?;<476?1734<9i79?;<411?1f34>mh79?;<6ea?1734>mj79?;<734?1734?;=79?;<736?1734?;?79?;<730?1734?;979?;<732?1734?9=79?;<716?1734?9?79?;<710?1734?9979?;<712?1734?9;79?;<71<?1734?8>79?;<707?1734?8879?;<701?1734?8:79?;<703?1734?8479?;<70=?1734?8m79?;<776?1734???79?;<770?1734??979?;<772?1734??;79?;<77<?17349i479?;<1a=?17349im79?;<1af?17349io79?;<1a`?17349ii79?;<1ab?17349h<79?;<1;7?173493879?;<1;1?173493:79?;<1;3?173493479?;<1;=?173493m79?;<1;f?17349<=79?;<146?17349<?79?;<140?17349<979?;<142?17349<;79?;<14<?17349<579?;<10f?173498o79?;<10`?173498i79?;<10b?17349?<79?;<175?17349?>79?;<177?173ty<894?:9y]312<5=8o6?8=;<617?41:27?>:4=639>07?=:?;019;7:341?805?38=>63;25811c=z{>9<6=465z\472=:<<k1;=5262g935=:>:?1;=52624935=:>:=1;=5262d935=:>:i1;=52587935=:=0<1;=525`3935=:=hi1;=525c5935=:=j81;=525bf935=:=m21;=525e`935=:=mi1;=52585935=:=021;=5258;935=:=0k1;=5258`935=:=0i1;=5258f935=:=0o1;=5258d935=:=h:1;=525`0935=:=h91;=525`6935=:=h?1;=525`4935=:=h=1;=525`:935=:=h31;=525`c935=:=hh1;=525`f935=:=ho1;=525`d935=:=k:1;=525c3935=:=k81;=525c1935=:=k>1;=525c7935=:=k<1;=525c:935=:=k31;=525cc935=:=kh1;=525ca935=:=kn1;=525cg935=:=kl1;=525b2935=:=j;1;=525b1935=:=j>1;=525b7935=:=j<1;=525b5935=:=j21;=525b;935=:=jk1;=525b`935=:=ji1;=525bg935=:=jl1;=525e2935=:=m;1;=525e0935=:=m91;=525e6935=:=m?1;=525e4935=:=m=1;=525e;935=:=mk1;=5263793c=:<831;=5240c935=:<8h1;=5240a935=:<8n1;=5240g935=:<8l1;=52432935=:<;;1;=52430935=:<>?1;=52464935=:<>=1;=5246:935=:<>31;=5246c935=:<>h1;=5246a935=:<1<1;=52495935=:<121;=5249;935=:<1k1;=5249`935=:<1i1;=5249f935=:<1o1;=5243c935=:<;h1;=5243d935=:<;?1;=5243:935=:;1i1;=5239f935=:;1o1;=5239d935=:;0:1;=52383935=:;081;=52381935=:;0>1;=523`d935=:;k:1;=523c3935=:;k81;=523c1935=:;k>1;=523c7935=:;k<1;=523c5935=:;<<1;=52345935=:;<21;=5234;935=:;<k1;=5234`935=:;<i1;=5234f935=:;<o1;=5233;935=:;;k1;=5233`935=:;;i1;=5233f935=:;;o1;=5233d935=:;::1;=52323935=z{?386=4={_4:7>;1:<0o?6s|68g94?4|V?3n708=5;ff?xu1i00;6?uQ6`;893422l30q~8m4;296~X1j=16:?;5f59~w3da2909wS8mf:?560<an2wx:no50;0xZ3ef34<997??a:p2a3=838pR;j:;<411?76=2wx:i750;0xZ3b>34<997?>9:p2ag=838pR;jn;<411?76i2wx:il50;0xZ3be34<997?>b:p2<2=838pR;7;;<411?b33ty=584?:3y]2<3<5?8>6i;4}r4:2?6=:rT=5;526379`3=z{?3<6=4={_4:3>;1:<0o;6s|68:94?4|V?33708=5;f;?xu1100;6?uQ68;893422m30q~86a;296~X11h16:?;5d`9~w3?e2909wS86b:?560<cj2wx:4m50;0xZ3?d34<997jl;|q5=a<72;qU:4j4=706>ab<uz<2j7>52z\5=c=:>;?1hk5rs7c3>5<5sW<j<639248f4>{t>h;1<7<t^7c2?805=3o:7p}9a383>7}Y>h801;<::d08yv0f;3:1>vP9a29>273=m:1v;o;:181[0f<27=>84j4:p2d3=838pR;o:;<411?c23ty=m;4?:3y]2d0<5?8>6h84}r4b3?6=:rT=m:526379a2=z{?k36=4={_4b<>;1:<0n46s|6`c94?4|V?kj708=5;gb?xu1ik0;6?uQ6``893422lh0q~8nc;296~X1ij16:?;5eb9~w3gc2909wS8nd:?560<bl2wx:lk50;0xZ3gb34<997kj;|q5ec<72;qU:lh4=706>``<uz<i<7>52z\5f5=:>;?1j=5rs7`2>5<5sW<i=639248e5>{t>k81<7<t^7`1?805=3l97p}9b283>7}Y>k901;<::g18yv0e=3:1>vP9b49>273=n<1v;l9:181[0e>27=>84i6:p2g1=838pR;l8;<411?`03ty=n54?:3y]2g><5?8>6k64}r4a=?6=:rT=n4526379b<=z{?hj6=4={_4ae>;1:<0mm6s|6c`94?4|V?hi708=5;da?xu1jj0;6?uQ6ca893422oi0q~8md;296~X1jm16:?;5fe9~w3db2909wS8me:?560<am2wx:n>50;0xZ3e734<997??0:p2f7=838pR;m>;<411?7792wx:n<50;0xZ3e534<997??2:p2f5=838pR;m<;<411?77;2wx:n:50;0xZ3e334<997??4:p2f3=838pR;m:;<411?77=2wx:n850;0xZ3e134<997??6:p2f1=838pR;m8;<411?77?2wx:n650;0xZ3e?34<997??8:p2f?=838pR;m6;<411?7712wx:nl50;0xZ3ee34<997??b:p2fe=838pR;ml;<411?77k2wx:nj50;0xZ3ec34<997??d:p2fc=838pR;mj;<411?77m2wx:nh50;0xZ3ea34<997??f:p2a6=838pR;j?;<411?7682wx:i?50;0xZ3b634<997?>1:p2a4=838pR;j=;<411?76:2wx:i=50;0xZ3b434<997?>3:p2a2=838pR;j;;<411?76<2wx:i850;0xZ3b134<997?>6:p2a>=838pR;j7;<411?7602wx8?h50;`x914a2;n=70:?4;06b>;4lh099k523ea9636<5:no6?8?;<1gf?42n278jk4=5g9>7cc=:?:019>6:37e?827j38>j63;09811c=z{=8>6=4>2z?760<5l?168=:5272896bf2;<;70=kc;06b>;4lm099k523e`9636<5=8o6?8>;<617?41927?>:4=619>07?=:<l019;7:340?85an38=<63<fd811c=:<931>;>4=52a>70734<9;7<91:?761<5>8168=652728yv22j3:1>v3;878;f>;1:k09on5rs546>5<>s4><97<k6:?7<2<?j27?8i4=5g9>034=:?8019;i:342?821838==63;5d8124=:<<n1>;?4=57`>73a3ty?:;4?:9y>020=:m<01967:9`8912a2;<:70:92;055>;3=o09:?524729634<5=?n6?8=;<66`?42n2wx8;950;5x91102;n=70:79;:a?823n38>j63;638126=:<<l1>;=4=543>70434>>i7<:f:p03>=83<p1997:3f5?82?i32i70::1;055>;3>;09:95244d960`<5=<;6?8;;|q72<<72<q68:752e4891>e21h019;>:37e?821:38>j63;61811c=z{=<j6=49{<64e?4c>27?4n47b:?716<5>8168;?524d891042;<:70:94;055>{t<?h1<7;t=55a>7b134>3h76m;<667?42n27?:>4=5g9>032=:?80q~:9c;290~;3?j09h;5249g9<g=:<<?1>8h4=547>73a3ty?9:4?:3y>00g=0k1688652ba8yv2213:1>v3;4c81gf=:<<21>8h4}r662?6=:r7??54=cb9>00>=:?>0q~;>1;29`~;2:809h;525219<g=:<hn1>;>4=42f>705349;47<:f:?64g<5>8169=m52738906f2;<:70;?9;055>;281099k5231;960`<5<>h6?;j;<6g=?4182wx9<<50;:x90452;n=70;<4;:a?82fn38=>63:0d8124=:=9h1>;<4=42`>70534?;m7<92:?64<<5=o1v8?<:184835;38o:63:348;f>;3io09:=5251g9635<5<:i6?8<;<73g?41;27><l4=5g9~w073290=w0;=4;0g2>;2;?03n63;b08127=:=9o1>;:4=42a>73a34?;o7<94:p143=83?p18<::3f5?834?32i70:m1;054>;28l099k5251a960`<uz?::7>56z?663<5l?169>658c9>0g5=:?8018>k:37e?837n38==63:118124=z{<;<6=4:{<713?4c>27>?447b:?7f6<5>9169=h524d890772;<97p}:1983>1}:=;21>i84=41b>=d<5=h>6?8?;<724?42n2wx9?750;ax90552;n=70:6a;056>;3im09:?5251g9636<5::36?8?;<73f?41827><n4=619>15g=:?:018>6:343?837038=<63:4`811`=:<m21>;>4}r71e?6=:r7>?>4=d79>0<g=:?:0q~;=b;297~;2;=09h;525509<g=:<0i1>;<4}r71g?6=;r7>?84=d79>115=0k1684m52728yv35l3:1?v3:3781`3=:==>14o5248g9634<uz?9i7>53z?672<5l?1699;58c9>0<c=:?:0q~;=f;297~;2;109h;525549<g=:<h:1>;<4}r704?6=;r7>?44=d79>111=0k168l>52728yv3493:1?v3:3`81`3=:==214o524`09636<uz>i57>53z?7f<<5l?169=95272891>a2;<:7p};b683>6}:<k314o524cc9<g=:<1l1>nm4}r6a<?6=:r7?mo4=cb9>0=`=:?:0q~:m6;296~;31109on5249d9635<uz<8h7>52z?57f<?j27=>n4=cb9~w327290?w08<5;0g0>;1;?09h95262596a2<5?>:6?ml;|q506<72;q6:9<58c9>27b=:ji0q~8;6;297~;1;h09h95262`96a2<5?><6?ml;|q57c<72;q6:>h52e4893262;<;7p}93d83>6}:>:l14o5262g96a0<5?8h6?8?;|q57f<72=q6:>k58c9>26e=:m<01;<l:37e?803938>j6s|65794?4|5?>>6?j9;<473?4182wx:9:50;1x932221h01;:;:3f5?805l38=<6s|65094?2|5?>?65l4=761>7b134<9h7<:f:?502<5=o1v;=>:181805m32i708<1;0g2>{t>;l1<7<t=713>=d<5?8m6?j9;|q563<72;q6:?;5242893402;ih7p}:de83>7}:=0?14o526379650<uz?n47>52z?6=3<?j27=>84=109~w0`42909w0;n1;:a?805=38:o6s|5gg94?4|5<kh65l4=706>7403ty=<44?:3y>1g1=0k16:?;52208yv06<3:1>v3:c38;f>;1:<09?i5rs73e>5<5s4?hh76m;<411?4302wx:?<50;0x90b?21h01;<::36`?xu1::0;6?u25e`9<g=:>;?1>9j4}r410?6=:r7>hn47b:?560<5<l1v8jj:18183>?32i708=5;033>{t=ml1<7<t=4;;>=d<5?8>6?>7;|q6a5<72;q694758c9>273=:930q~;j1;296~;21h03n63924814d=z{<o96=4={<7:f?>e34<997<?b:p1`5=838p187l:9`893422;:h7p}:e583>7}:=0n14o52637965b<uz?n97>52z?6=`<?j27=>84=0d9~w0c12909w0;6f;:a?805=38;j6s|5d594?4|5<k;65l4=706>7773ty>i44?:3y>1d4=0k16:?;52008yv3bi3:1>v3:a28;f>;1:<09=>5rs4ga>5<5s4?j876m;<411?46<2wx9hm50;0x90g221h01;<::336?xu2mm0;6?u25`49<g=:>;?1><84}r7fa?6=:r7>m:47b:?560<59>1v8ki:18183f032i708=5;02<>{t=o:1<7<t=4c:>=d<5?8>6??6;|q6b4<72;q69lo58c9>273=:8k0q~;i2;296~;2ik03n63924815g=z{<l?6=4={<7b`?>e34<997<>d:p1c3=838p18oj:9`893422;;n7p}:f783>7}:=hl14o52637964`<uz?m;7>52z?6f5<?j27=>84=219~w0`?2909w0;m1;:a?805=389=6s|5g;94?4|5<h965l4=706>7453ty>jl4?:3y>1g5=0k16:?;52318yv3aj3:1>v3:b58;f>;1:<09>95rs4d`>5<5s4?i976m;<411?45=2wx9kj50;0x90d121h01;<::305?xu2no0;6?u25c:9<g=:>;?1>?64}r434?6=:r7>n447b:?560<5:01v;>>:18183ei32i708=5;01e>{t>981<7<t=4`a>=d<5?8>6?<m;|q546<72;q69om58c9>273=:;i0q~8?4;296~;2jm03n63924816a=z{?:>6=4={<7aa?>e34<997<=e:p250=838p18li:9`893422;8m7p}90683>7}:=j:14o526379666<uz<;47>52z?6g4<?j27=>84=309~w36f2909w0;l3;:a?805=388?6s|61`94?4|5<i?65l4=706>7533ty=<n4?:3y>1f3=0k16:?;52278yv07l3:1>v3:c78;f>;1:<09?;5rs72f>5<5s4?h;76m;<411?44?2wx:=h50;0x90e?21h01;<::31;?xu1990;6?u25b;9<g=:>;?1>>74}r425?6=:r7>ol47b:?560<5;h1v;?=:18183dj32i708=5;00f>{t>891<7<t=4a`>=d<5?8>6?=l;|q550<72;q69nk58c9>273=::o0q~8>6;296~;2ko03n63924817c=z{?;<6=4={<7g4?>e34<997<;0:p24>=838p18j>:9`893422;>:7p}91883>7}:=m814o526379614<uz<:m7>52z?6`6<?j27=>84=429~w37e2909w0;k4;:a?805=38?86s|60a94?4|5<n>65l4=706>7223ty==i4?:3y>1a0=0k16:?;52548yv06m3:1>v3:d68;f>;1:<098:5rs703>5<5s4?o576m;<411?4312wx:??50;0x90bf21h01;<::36a?xu31:0;6?u248:936=:<0=1>nm4}r6:6?6=:r7?5;4=cb9>0<1=?:1v97>:18182>=38ho63;97847>{t<0:1<7<t=5;7>7ed34>2979<;|q7=c<72;q684952g0891g72;ih7p};9e83>7}:<0<1>k<4=5;f>7ed3ty?5o4?:3y>0<3=:o80197l:3a`?xu3100;6?u248696c4<5=3j6?ml;|q7e4<72;q684652g0891g52;ih7p};a783>7}:<hh1;>524`c96fe<uz>j97>52z?7e<<5kj168lo5729~w1g32909w0:n8;0`g>;3i00<?6s|4`194?4|5=k<6?ml;<6b<?143ty?n?4?:3y>0dg=:o8019l<:3a`?xu3j90;6?u24`;96c4<5=h:6?ml;|q7e`<72;q68l652g0891ga2;ih7p};ab83>7}:<h=1>k<4=5cg>7ed3ty?n94?:3y>0dd=:o8019l::3a`?xu3;:0;6?u242:936=:<:=1>nm4}r606?6=:r7??;4=cb9>061=?:1v9=>:181824=38ho63;37847>{t<::1<7<t=517>7ed34>8979<;|q77c<72;q68>952g0891272;ih7p};3e83>7}:<:<1>k<4=51f>7ed3ty??o4?:3y>063=:o8019=l:3a`?xu3;00;6?u242696c4<5=9j6?ml;|q704<72;q68>652g0891252;ih7p};4783>7}:<=h1;>5245c96fe<uz>?97>52z?70<<5kj1689o5729~w1232909w0:;8;0`g>;3<00<?6s|45194?4|5=><6?ml;<67<?143ty?9?4?:3y>01g=:o8019;<:3a`?xu3=90;6?u245;96c4<5=?:6?ml;|q70`<72;q689652g08912a2;ih7p};4b83>7}:<==1>k<4=56g>7ed3ty?994?:3y>01d=:o8019;::3a`?xu3890;6?u23gf96fe<5=:?6?8;;|q0`<<72:q6?k952ba8916e2;<:70:?d;06b>{t;m21<7:t=2d5>7ed34>;57<91:?74g<5>;168=j52728yv5c?3:19v3<f481gf=:<9>1>;?4=52:>70534>;n7<93:?74a<5>81v>j9:18585a<38ho63;058126=:<981>;>4=52:>70434>;;7<:f:?74a<5>;1v>j::18485a;38ho63;058127=:;ol1>;?4=521>70634>;:7<:f:?742<5>9168=j52718yv5c<3:14v3<f381gf=:;on1>;?4=2fg>706349mj7<94:?747<5>;168=85272891602;<:70:?8;055>{t;m91<77t=2d2>7ed349mh7<90:?0`f<5>;16?ij5271896`a2;<870:?2;057>;38?09:<524159634<5=:36?8=;|q0`7<72hq6?k>52ba896`c2;?m70=kc;055>;4lm09:?523e`9637<5:lm6?8=;<636?41<27?<;4=639>051=:?9019>7:340?xu4ml0;6?u23dg96fe<5:l<6?l;;|q0a0<72;q6?h;52ba896`02>90q~=jd;297~;4mm09on523d796c4<5:l=6?l;;|q0a1<72:q6?h:52ba896c22>901>h9:618yv5bk3:1?v3<eb81gf=:;l>1>k<4=2d6>7d33ty8i>4?:2y>7`5=:ji01>k;:61896`22>90q~=jb;297~;4mk09on523d196c4<5:l?6?l;;|q0a7<72:q6?h<52ba896c42>901>h;:618yv5bi3:1?v3<e`81gf=:;l81>k<4=2d0>7d33ty8i<4?:2y>7`7=:ji01>k=:61896`42>90q~=j9;297~;4m009on523d396c4<5:l96?l;;|q0a5<72:q6?h>52ba896c62>901>h=:618yv5b03:1?v3<e981gf=:;l:1>k<4=2d2>7d33ty8hk4?:2y>7a`=:ji01>k?:61896`62>90q~=j7;297~;4m>09on523ed96c4<5:l;6?l;;|q0``<72:q6?ik52ba896ba2>901>h?:618yv5b>3:1?v3<e781gf=:;mo1>k<4=2ge>7d33ty8j54?:3y>04?=0k16?io52ba8yv5a13:1>v3;1`8;f>;4lk09on5rs2db>5<5s4>:n76m;<1gg?4dk2wx?kl50;0x917d21h01>jk:3a`?xu4nj0;6?u240f9<g=:;ol1>nm4}r635?6=:r7?=h47b:?747<5kj1v9><:181826n32i70:?4;0`g>{t<9?1<7<t=503>=d<5=:26?ml;|q74d<72;q68??58c9>05d=:ji0q~:?c;296~;3:;03n63;0e81gf=z{=:n6=4={<1fb?4dk278hl4=609~w106290?w0:91;054>;3>:09:=524769636<5=<96?ml;|q72a<72;q68:;58c9>00e=:ji0q~:9e;296~;3??03n63;5e81gf=z{=<m6=4={<643?>e34>>i7<lc:p026=838p1997:9`8913a2;ih7p};7083>7}:<>314o5247296fe<uz><>7>52z?73d<?j27?:<4=cb9~w1142909w0:8b;:a?821;38ho6s|46694?4|5==h65l4=547>7ed3ty?>;4?:3y>073=0k168?952ba8yv25k3:1?v3;2`8;f>;3:k03n63;2e81gf=z{=8n6=4<{<61b?>e34>9476m;<61=?4dk2wx8?650;:x914?2;n=70:=4;054>;3:m09:=524319636<5=8<6?8>;<61=?41827?954=609>271=:?:0q~:=a;296~;3:h09h;5243f960`<uz>h47>53z?7g<<5kj168nh5276891dc2;<?7p};bb83>7}:=9<14o524cf96fe<uz>h87>53z?7a<<5kj168nh524d891dc2;?m7p};c283>1}:<l21>nm4=5af>73a34>hj7<90:?7fa<5>91v9m=:18682b?38ho63;ce811c=:<jo1>;>4=5ae>70634>ih7<91:p0f7=83<p19k9:3a`?82dk38>j63;ce8126=:<jo1>;?4=5ae>70534>ih7<92:p0f6=83=p19k::3a`?82el38=?63;cc811c=:<ji1>;=4=5ag>70334>hi7<92:?7gc<5>:1v9li:18482b<38ho63;c6811c=:<j31>8h4=5aa>70734>ho7<90:?7ga<5>9168nk52718yv2em3:14v3;e281gf=:<j=1>;?4=5a:>70634>h:7<:f:?7gg<5>;168nm5270891ec2;<970:la;06b>{t<kh1<77t=5g1>7ed34>h;7<90:?7g<<5>9168n85272891ee2;<:70:lc;055>;3km09:<524bc9636<5=i>6?;j;|q7a5<72;q68h>52ba891c>2;h?7p};d683>7}:<m=1>nm4=5g:>25<uz>oj7>53z?7`c<5kj168i952g0891c?2;h?7p};d783>6}:<m<1>nm4=5f4>25<5=o36:=4}r6ga?6=;r7?hh4=cb9>0a0=:o8019k8:3`7?xu3l<0;6>u24e796fe<5=n=6:=4=5g4>25<uz>oh7>53z?7`a<5kj168i;52g0891c12;h?7p};d583>6}:<m>1>nm4=5f6>25<5=o=6:=4}r6gg?6=;r7?hn4=cb9>0a2=:o8019k::3`7?xu3l:0;6>u24e196fe<5=n?6:=4=5g6>25<uz>on7>53z?7`g<5kj168i=52g0891c32;h?7p};d383>6}:<m81>nm4=5f0>25<5=o?6:=4}r6ge?6=;r7?hl4=cb9>0a4=:o8019k<:3`7?xu3l80;6>u24e396fe<5=n96:=4=5g0>25<uz>o57>53z?7`4<5n;168h<52c6891b>2;ih7p};d183>6}:<m:1>nm4=5f2>25<5=o96:=4}r6g<?6=;r7?h=4=f39>0`7=:k>019j7:3a`?xu3mh0;6?u24gg9<g=:<j?1>nm4}r6ff?6=:r7?jk47b:?7g3<5kj1v9kl:181837832i70:l7;0`g>{t<ln1<7<t=422>=d<5=ii6?ml;|q7a`<72;q69=<58c9>0fe=:ji0q~:jf;296~;28:03n63;ce81gf=z{=l;6=4={<730?>e34>hi7<lc:p0c7=838p18>::9`891ea2;ih7p};f383>7}:<l;1>nm4=5dg>=d<uz?;h7>54z?64a<5>9169=h5272890772;<;70;?e;0`g>{t=831<7<t=402>=d<5<:36?ml;|q65d<72;q69?<58c9>15?=:ji0q~;>b;296~;2::03n63:0`81gf=z{<;h6=4={<710?>e34?;n7<lc:p14b=838p18<::9`8906d2;ih7p}:1d83>7}:=;<14o5251f96fe<uz?:j7>52z?662<?j27><k4=cb9~w0472909w0;=8;:a?836838ho6s|3b594?4|5:h;65l4=2a6>7ed3ty8o94?:3y>7g7=0k16?n=52ba8yv5d:3:1>v3<b38;f>;4k809on5rs2f2>5<5s49i?76m;<1g4?4dk2wx?nh50;1x96d321h01>mj:3a`?85d038=86s|3ba94?4|5:h>65l4=2ag>7ed3ty8ol4?:2y>7g0=0k16?nl52ba896e42;<?7p}<a283>7}:;1>14o523`396fe<uz9j<7>52z?0<0<?j2785k4=cb9~w6?b2909w0=76;:a?85>l38ho6s|38a94?4|5:2<65l4=2;a>7ed3ty85l4?:2y>7=>=0k16?4752ba896g32;<?7p}<9683>7}:;1314o5238:96fe<uz9297>53z?0<d<?j2785;4=cb9>7<`=:?>0q~=>0;296~;40j03n63<0181gf=z{:;:6=4={<1;`?>e349;=7<lc:p744=838p1>6j:9`896652;ih7p}<1283>7}:;1l14o5231196fe<uz9:87>52z?0=5<?j278<94=cb9~w6722909w0=61;:a?857=38ho6s|30494?4|5:3965l4=225>7ed3ty8=:4?:3y>7<5=0k16?=952ba8yv5603:1>v3<b98;f>;48109on5rs23:>5<5s49i576m;<13=?4dk2wx?<o50;0x96df21h01>>n:3a`?xu49k0;6?u23c`9<g=:;9h1>nm4}r12g?6=:r78nn47b:?04f<5kj1v>?k:18185el32i70=?d;0`g>{t;8o1<7<t=2`f>=d<5::n6?ml;|q05c<72;q6?oh58c9>75`=:ji0q~=l9;296~;4io03n63<c981gf=z{:k>6=4={<1;7?>e349j87<lc:p764=838p1>=m:9`896>d2;n=7p}<3283>7}:;:i14o5239f96a0<uz9887>52z?07a<?j2784h4=d79~w6522909w0=<e;:a?85?n38o:6s|32494?4|5:9m65l4=2;3>7b13ty8?:4?:3y>716=0k16?4?52e48yv5403:1>v3<408;f>;41;09h;5rs21:>5<5s49?>76m;<1:7?4c>2wx?>o50;0x962421h01>7;:3f5?xu4<m0;6?u234496a0<5:i36?8<;|q00`<72:q6?8952e4896e?2;<;70=l5;054>{t;=l1<7:t=27;>7b1349h47<:f:?0g6<5=o16?n;524d8yv5283:19v3<5881`3=:;j;1>8h4=2a;>705349h?7<91:?0g0<5>;1v>;>:185852i38o:63<d1811c=:;j;1>;>4=2a;>706349h?7<90:?0g0<5>81v>;=:185852j38o:63<cd811c=:;m:1>;>4=2a2>706349h?7<93:?0g3<5=o1v>;<:184852k38o:63<ce8124=:;jo1>;>4=2f3>706349h=7<92:?0g6<5>;16?n;52718yv52<3:1;v3<5e81`3=:;jh1>8h4=2ag>73a349hi7<91:?0`5<5>;16?n?5271896e12;<:7p}<5483>=}:;k=14o5234g96a0<5:ii6?8?;<1``?418278oh4=639>7a6=:?901>m>:347?85d>38=<6s|35694?4|5:?=65l4=20:>7b13ty8884?:3y>701=0k16??o52e48yv53>3:1>v3<598;f>;4:k09h;5rs264>5<5s49>576m;<11g?4c>2wx?9650;0x963f21h01><k:3f5?xu4<00;6?u234`9<g=:;;o1>i84}r17e?6=:r789n47b:?06c<5l?1v>:m:181852l32i70=<0;0g2>{t;=i1<7<t=27f>=d<5:9:6?j9;|q065<72;q6??758c9>7g>=:m<0q~==1;296~;4:h03n63<b881`3=z{:896=4={<11f?>e349im7<k6:p775=838p1><l:9`896de2;n=7p}<2583>7}:;;n14o523ca96a0<uz9997>52z?06`<?j278ni4=d79~w6412909w0==f;:a?85em38o:6s|33594?4|5:9;65l4=2`e>7b13ty8>54?:3y>767=0k16?n>52e48yv5103:1>v3<7081`3=:;h>1>;=4}r15=?6=;r78;?4=d79>7d2=:?:01>o>:343?xu4>h0;69u236196a0<5:k?6?;i;<1:b?42n278m<4=5g9~w60e290>w0=84;0g2>;41m099k523`69634<5:3m6?8>;<1b5?41:2wx?;m50;4x96122;n=70=6b;06b>;41m09:=523`69637<5:3m6?8?;<1b5?4192wx?;j50;4x96112;n=70=69;06b>;41k09:=5238f9637<5:3m6?8<;<1b6?42n2wx?;k50;5x96102;n=70=68;055>;41009:=5238`9637<5:3o6?8=;<1:b?41:278m<4=629~w60a290<w0=88;0g2>;41?099k5238:960`<5:326?8>;<1:f?41:2785i4=629>7d4=:?;0q~=80;29<~;40k03n63<7881`3=:;0<1>;>4=2;;>707349257<92:?0=g<5>:16?4j5276896g52;<;7p}<5g83>7}:;>;14o5232`96a0<uz9=<7>52z?037<?j278?n4=d79~w6062909w0=83;:a?854l38o:6s|37094?4|5:=?65l4=21f>7b13ty8:>4?:3y>723=0k16?>h52e48yv51<3:1>v3<778;f>;4<909h;5rs246>5<5s49<;76m;<175?4c>2wx?;850;0x961?21h01>:=:3f5?xu4>>0;6?u236;9<g=:;=91>i84}r77=?6=<r7=>84;a:?7`5<>827>??47b:?60d<5kj1v8:m:180805=3?;70:k1;;3?833k38ho6s|2b;94?4|5:k96?ml;<1b5?41<2wx>n=50;0x96e12;ih70=l5;050>{t:j>1<7<t=5ab>7ed34>hi7<94:p6f3=838p1>hj:3a`?827:38>j6s|2b494?4|5=:=6?ml;<63=?41<2wx>n950;0x91602;ih70:?b;050>{t:j21<7<t=52;>7ed34>;h7<94:~jfc5290:wE8;8:mg`5=83;pD;:7;|l`a1<72;qC:964}oaf1?6=9rB=855rnbg5>5<6sA<?46sacd594?7|@?>37p`le983>4}O>=20qcmj9;295~N1<11vbnkn:182M0302weohl50;3xL32?3tdhin4?:0yK21><uginh7>51zJ50==zfjon6=4>{I47<>{ikll1<7?tH76;?xhdn90;6<uG65:8ykea93:1=vF9499~jf`5290:wE8;8:mgc5=83;pD;:7;|l`b1<728qC:964}oae1?6=9rB=855rnbd5>5<6sA<?46sacg594?5|@?>37p`lf983>4}O>=20qcmi9;295~N1<11vbnhn:182M0302weokl50;3xL32?3tdhjn4?:0yK21><ugimh7>51zJ50==zfjln6=4>{I47<>{ikol1<7?tH76;?xhc890;6<uG65:8ykb793:1=vF9499~ja65290:wE8;8:m`55=838pD;:7;|lg41<72;qC:964}of31?6=:rB=855rne25>5<5sA<?46sad1594?4|@?>37p`k0983>7}O>=20qcj?9;296~N1<11vbi>n:182M0302weh=l50;3xL32?3tdo<n4?:0yK21><ugn;h7>51zJ50==zfm:n6=4>{I47<>{il9l1<7?tH76;?xhc990;6<uG65:8ykb693:1=vF9499~ja75290:wE8;8:m`45=83;pD;:7;|lg51<728qC:964}of21?6=9rB=855rne35>5<6sA<?46sad0594?7|@?>37p`k1983>7}O>=20qcj>9;296~N1<11vbi?n:181M0302weh<l50;0xL32?3tdo=n4?:3yK21><ugn:h7>52zJ50==zfm;n6=4={I47<>{il8l1<7<tH76;?xhc:90;6?uG65:8ykb593:1=vF9499~ja45290:wE8;8:m`75=83;pD;:7;|lg61<728qC:964}of11?6=9rB=855rne05>5<6sA<?46sad3594?7|@?>37p`k2983>4}O>=20qcj=9;295~N1<11vbi<n:182M0302weh?l50;3xL32?3tdo>n4?:0yK21><ugn9h7>51zJ50==zfm8n6=4>{I47<>{il;l1<7?tH76;?xhc;90;6<uG65:8ykb493:1=vF9499~ja55290:wE8;8:m`65=83;pD;:7;|lg71<728qC:964}of01?6=9rB=855rne15>5<6sA<?46sad2594?7|@?>37p`k3983>4}O>=20qcj<9;295~N1<11vbi=n:182M0302weh>l50;3xL32?3tdo?n4?:0yK21><ugn8h7>51zJ50==zfm9n6=4>{I47<>{il:l1<7?tH76;?xhc<90;6<uG65:8ykb393:1=vF9499~ja25290:wE8;8:m`15=83;pD;:7;|lg01<728qC:964}of71?6=9rB=855rne65>5<6sA<?46sad5594?7|@?>37p`k4983>4}O>=20qcj;9;295~N1<11vbi:n:182M0302weh9l50;3xL32?3tdo8n4?:0yK21><ugn?h7>51zJ50==zfm>n6=4>{I47<>{il=l1<7?tH76;?xhc=90;6<uG65:8ykb293:1=vF9499~ja35290:wE8;8:m`05=83;pD;:7;|lg11<728qC:964}of61?6=9rB=855rne75>5<6sA<?46sad4594?7|@?>37p`k5983>4}O>=20qcj:9;295~N1<11vbi;n:182M0302weh8l50;3xL32?3tdo9n4?:0yK21><ugn>h7>51zJ50==zfm?n6=4>{I47<>{il<l1<7?tH76;?xhc>90;6<uG65:8ykb193:1=vF9499~ja05290:wE8;8:m`35=83;pD;:7;|lg21<728qC:964}of51?6=9rB=855rne45>5<6sA<?46sad7594?7|@?>37p`k6983>4}O>=20qcj99;295~N1<11vbi8n:182M0302weh;l50;3xL32?3tdo:n4?:0yK21><ugn=h7>52zJ50==zfm<n6=4>{I47<>{il?l1<7?tH76;?xhc?90;6<uG65:8ykb093:1>vF9499~ja15290:wE8;8:m`25=838pD;:7;|lg31<72;qC:964}of41?6=:rB=855rne55>5<5sA<?46sad6594?4|@?>37p`k7983>7}O>=20qcj89;296~N1<11vbi9n:181M0302weh:l50;0xL32?3tdo;n4?:3yK21><ugn<h7>52zJ50==zfm=n6=4={I47<>{il>l1<7<tH76;?xhc090;6?uG65:8ykb?93:1>vF9499~ja>52909wE8;8:m`=5=838pD;:7;|lg<1<72;qC:964}of;1?6=:rB=855rne:5>5<5sA<?46sad9594?4|@?>37p`k8983>7}O>=20qcj79;296~N1<11vbi6n:181M0302weh5l50;0xL32?3tdo4n4?:3yK21><ugn3h7>52zJ50==zfm2n6=4={I47<>{il1l1<7<tH76;?xhc190;6?uG65:8ykb>93:1>vF9499~ja?52909wE8;8:m`<5=838pD;:7;|lg=1<72;qC:964}of:1?6=:rB=855rne;5>5<5sA<?46sad8594?4|@?>37p`k9983>7}O>=20qcj69;296~N1<11vbi7n:181M0302weh4l50;0xL32?3tdo5n4?:3yK21><ugn2h7>52zJ50==zfm3n6=4={I47<>{il0l1<7<tH76;?xhci90;6?uG65:8ykbf93:1>vF9499~jag52909wE8;8:m`d5=838pD;:7;|lge1<72;qC:964}ofb1?6=:rB=855rnec5>5<5sA<?46sad`594?4|@?>37p`ka983>7}O>=20qcjn9;296~N1<11vbion:181M0302wehll50;0xL32?3tdomn4?:3yK21><ugnjh7>52zJ50==zfmkn6=4={I47<>{ilhl1<7<tH76;?xhcj90;6?uG65:8ykbe93:1>vF9499~jad52909wE8;8:m`g5=838pD;:7;|lgf1<72;qC:964}ofa1?6=:rB=855rne`5>5<5sA<?46sadc594?4|@?>37p`kb983>7}O>=20qcjm9;296~N1<11vbiln:181M0302wehol50;0xL32?3tdonn4?:0yK21><ugnih7>51zJ50==zfmhn6=4>{I47<>{ilkl1<7?tH76;?xhck90;6<uG65:8ykbd93:1=vF9499~jae5290:wE8;8:m`f5=83;pD;:7;|lgg1<728qC:964}of`1?6=9rB=855rnea5>5<6sA<?46sadb594?7|@?>37p`kc983>4}O>=20qcjl9;295~N1<11vbimn:182M0302wehnl50;3xL32?3tdoon4?:0yK21><ugnhh7>51zJ50==zfmin6=4>{I47<>{iljl1<7?tH76;?xhcl90;6<uG65:8ykbc93:1=vF9499~jab5290:wE8;8:m`a5=83;pD;:7;|lg`1<728qC:964}ofg1?6=9rB=855rnef5>5<6sA<?46sade594?7|@?>37p`kd983>4}O>=20qcjk9;295~N1<11vbijn:182M0302wehil50;3xL32?3tdohn4?:0yK21><ugnoh7>51zJ50==zfmnn6=4>{I47<>{ilml1<7?tH76;?xhcm90;6<uG65:8ykbb93:1=vF9499~jac5290:wE8;8:m``5=83;pD;:7;|lga1<728qC:964}off1?6=9rB=855rneg5>5<6sA<?46sadd594?7|@?>37p`ke983>4}O>=20qcjj9;295~N1<11vbikn:182M0302wehhl50;0xL32?3tdoin4?:3yK21><ugnnh7>52zJ50==zfmon6=4={I47<>{illl1<7<tH76;?xhcn90;6?uG65:8ykba93:1>vF9499~ja`52909wE8;8:m`c5=838pD;:7;|lgb1<72;qC:964}ofe1?6=:rB=855rned5>5<5sA<?46sadg594?4|@?>37p`kf983>7}O>=20qcji9;296~N1<11vbihn:181M0302wehkl50;0xL32?3tdojn4?:3yK21><ugnmh7>52zJ50==zfmln6=4={I47<>{ilol1<7<tH76;?xhb890;6?uG65:8ykc793:1>vF9499~j`652909wE8;8:ma55=838pD;:7;|lf41<72;qC:964}og31?6=:rB=855rnd25>5<5sA<?46sae1594?4|@?>37p`j0983>7}O>=20qck?9;296~N1<11vbh>n:181M0302wei=l50;0xL32?3tdn<n4?:3yK21><ugo;h7>52zJ50==zfl:n6=4={I47<>{im9l1<7<tH76;?xhb990;6?uG65:8ykc693:1>vF9499~j`752909wE8;8:ma45=838pD;:7;|lf51<72;qC:964}og21?6=:rB=855rnd35>5<5sA<?46sae0594?4|@?>37p`j1983>7}O>=20qck>9;296~N1<11vbh?n:181M0302wei<l50;0xL32?3tdn=n4?:3yK21><ugo:h7>52zJ50==zfl;n6=4={I47<>{im8l1<7<tH76;?xhb:90;6?uG65:8ykc593:1>vF9499~j`452909wE8;8:ma75=838pD;:7;|lf61<72;qC:964}og11?6=:rB=855rnd05>5<5sA<?46sae3594?4|@?>37p`j2983>7}O>=20qck=9;296~N1<11vbh<n:181M0302wei?l50;0xL32?3tdn>n4?:3yK21><ugo9h7>52zJ50==zfl8n6=4={I47<>{im;l1<7<tH76;?xhb;90;6?uG65:8ykc493:1>vF9499~j`552909wE8;8:ma65=838pD;:7;|lf71<728qC:964}og01?6=9rB=855rnd15>5<6sA<?46sae2594?7|@?>37p`j3983>4}O>=20qck<9;295~N1<11vbh=n:182M0302wei>l50;3xL32?3tdn?n4?:0yK21><ugo8h7>51zJ50==zfl9n6=4>{I47<>{im:l1<7?tH76;?xhb<90;6<uG65:8ykc393:1=vF9499~j`25290:wE8;8:ma15=83;pD;:7;|lf01<728qC:964}og71?6=9rB=855rnd65>5<6sA<?46sae5594?7|@?>37p`j4983>4}O>=20qck;9;295~N1<11vbh:n:182M0302wei9l50;3xL32?3tdn8n4?:0yK21><ugo?h7>51zJ50==zfl>n6=4>{I47<>{im=l1<7?tH76;?xhb=90;6<uG65:8ykc293:1=vF9499~j`35290:wE8;8:ma05=83;pD;:7;|lf11<728qC:964}og61?6=9rB=855rnd75>5<6sA<?46sae4594?7|@?>37p`j5983>4}O>=20qck:9;295~N1<11vbh;n:182M0302wei8l50;3xL32?3tdn9n4?:0yK21><ugo>h7>51zJ50==zfl?n6=4>{I47<>{im<l1<7?tH76;?xhb>90;6<uG65:8ykc193:1=vF9499~j`05290:wE8;8:ma35=83;pD;:7;|lf21<728qC:964}og51?6=9rB=855rnd45>5<6sA<?46sae7594?7|@?>37p`j6983>4}O>=20qck99;295~N1<11vbh8n:182M0302wei;l50;3xL32?3tdn:n4?:0yK21><ugo=h7>51zJ50==zfl<n6=4>{I47<>{im?l1<7?tH76;?xhb?90;6<uG65:8ykc093:1=vF9499~j`15290:wE8;8:ma25=83;pD;:7;|lf31<728qC:964}og41?6=9rB=855rnd55>5<6sA<?46sae6594?7|@?>37p`j7983>4}O>=20qck89;295~N1<11vbh9n:182M0302wei:l50;3xL32?3tdn;n4?:0yK21><ugo<h7>51zJ50==zfl=n6=4>{I47<>{im>l1<7?tH76;?xhb090;6<uG65:8ykc?93:1=vF9499~j`>5290:wE8;8:ma=5=83;pD;:7;|lf<1<728qC:964}og;1?6=9rB=855rnd:5>5<6sA<?46sae9594?7|@?>37p`j8983>4}O>=20qck79;295~N1<11vbh6n:182M0302wei5l50;3xL32?3tdn4n4?:0yK21><ugo3h7>51zJ50==zfl2n6=4>{I47<>{im1l1<7?tH76;?xhb190;6<uG65:8ykc>93:1=vF9499~j`?5290:wE8;8:ma<5=83;pD;:7;|lf=1<728qC:964}og:1?6=9rB=855rnd;5>5<6sA<?46sae8594?7|@?>37p`j9983>4}O>=20qck69;295~N1<11vbh7n:182M0302wei4l50;3xL32?3tdn5n4?:0yK21><ugo2h7>51zJ50==zfl3n6=4>{I47<>{im0l1<7?tH76;?xhbi90;6<uG65:8ykcf93:1=vF9499~j`g5290:wE8;8:mad5=83;pD;:7;|lfe1<728qC:964}ogb1?6=9rB=855rndc5>5<6sA<?46sae`594?7|@?>37p`ja983>4}O>=20qckn9;295~N1<11vbhon:182M0302weill50;3xL32?3tdnmn4?:0yK21><ugojh7>51zJ50==zflkn6=4>{I47<>{imhl1<7?tH76;?xhbj90;6<uG65:8ykce93:1=vF9499~j`d5290:wE8;8:mag5=83;pD;:7;|lff1<728qC:964}oga1?6=9rB=855rnd`5>5<6sA<?46saec594?7|@?>37p`jb983>4}O>=20qckm9;295~N1<11vbhln:182M0302weiol50;3xL32?3tdnnn4?:0yK21><ugoih7>51zJ50==zflhn6=4>{I47<>{imkl1<7?tH76;?xhbk90;6<uG65:8ykcd93:1=vF9499~j`e5290:wE8;8:maf5=83;pD;:7;|lfg1<728qC:964}og`1?6=9rB=855rnda5>5<6sA<?46saeb594?7|@?>37p`jc983>4}O>=20qckl9;295~N1<11vbhmn:182M0302weinl50;3xL32?3tdnon4?:0yK21><ugohh7>51zJ50==zflin6=4>{I47<>{imjl1<7?tH76;?xhbl90;6<uG65:8ykcc93:1=vF9499~j`b5290:wE8;8:maa5=83;pD;:7;|lf`1<728qC:964}ogg1?6=9rB=855rndf5>5<6sA<?46saee594?7|@?>37p`jd983>4}O>=20qckk9;295~N1<11vbhjn:182M0302weiil50;3xL32?3tdnhn4?:0yK21><ugooh7>51zJ50==zflnn6=4>{I47<>{imml1<7?tH76;?xhbm90;6<uG65:8ykcb93:1=vF9499~j`c5290:wE8;8:ma`5=83;pD;:7;|lfa1<728qC:964}ogf1?6=9rB=855rndg5>5<6sA<?46saed594?7|@?>37p`je983>4}O>=20qckj9;295~N1<11vbhkn:182M0302weihl50;3xL32?3tdnin4?:0yK21><ugonh7>51zJ50==zflon6=4>{I47<>{imll1<7?tH76;?xhbn90;6<uG65:8ykca93:1=vF9499~j``5290:wE8;8:mac5=83;pD;:7;|lfb1<728qC:964}oge1?6=9rB=855rndd5>5<6sA<?46saeg594?7|@?>37p`jf983>4}O>=20qcki9;295~N1<11vbhhn:182M0302weikl50;3xL32?3tdnjn4?:0yK21><ugomh7>51zJ50==zflln6=4>{I47<>{imol1<7?tH76;?xha890;6<uG65:8yk`793:1=vF9499~jc65290:wE8;8:mb55=83;pD;:7;|le41<728qC:964}od31?6=9rB=855rng25>5<6sA<?46saf1594?7|@?>37p`i0983>4}O>=20qch?9;295~N1<11vbk>n:182M0302wej=l50;3xL32?3tdm<n4?:0yK21><ugl;h7>51zJ50==zfo:n6=4={I47<>{in9l1<7<tH76;?xha990;6<uG65:8yk`693:1=vF9499~jc75290:wE8;8:mb45=83;pD;:7;|le51<728qC:964}od21?6=9rB=855rng35>5<6sA<?46sa62f94?7|@?>37psr}AB@473l3k<<o?kd2CDG}7uIJ[wpNO
\ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v new file mode 100644 index 000000000..0d514578b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v @@ -0,0 +1,491 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2015 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file fifo_4k_2clk.v when simulating +// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module fifo_4k_2clk( + rst, + wr_clk, + rd_clk, + din, + wr_en, + rd_en, + dout, + full, + empty, + rd_data_count, + wr_data_count +); + +input rst; +input wr_clk; +input rd_clk; +input [71 : 0] din; +input wr_en; +input rd_en; +output [71 : 0] dout; +output full; +output empty; +output [9 : 0] rd_data_count; +output [9 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V9_3 #( + .C_ADD_NGC_CONSTRAINT(0), + .C_APPLICATION_TYPE_AXIS(0), + .C_APPLICATION_TYPE_RACH(0), + .C_APPLICATION_TYPE_RDCH(0), + .C_APPLICATION_TYPE_WACH(0), + .C_APPLICATION_TYPE_WDCH(0), + .C_APPLICATION_TYPE_WRCH(0), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_AXI_DATA_WIDTH(64), + .C_AXI_ID_WIDTH(4), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_TYPE(0), + .C_AXI_WUSER_WIDTH(1), + .C_AXIS_TDATA_WIDTH(64), + .C_AXIS_TDEST_WIDTH(4), + .C_AXIS_TID_WIDTH(8), + .C_AXIS_TKEEP_WIDTH(4), + .C_AXIS_TSTRB_WIDTH(4), + .C_AXIS_TUSER_WIDTH(4), + .C_AXIS_TYPE(0), + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(9), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(72), + .C_DIN_WIDTH_AXIS(1), + .C_DIN_WIDTH_RACH(32), + .C_DIN_WIDTH_RDCH(64), + .C_DIN_WIDTH_WACH(32), + .C_DIN_WIDTH_WDCH(64), + .C_DIN_WIDTH_WRCH(2), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(72), + .C_ENABLE_RLOCS(0), + .C_ENABLE_RST_SYNC(1), + .C_ERROR_INJECTION_TYPE(0), + .C_ERROR_INJECTION_TYPE_AXIS(0), + .C_ERROR_INJECTION_TYPE_RACH(0), + .C_ERROR_INJECTION_TYPE_RDCH(0), + .C_ERROR_INJECTION_TYPE_WACH(0), + .C_ERROR_INJECTION_TYPE_WDCH(0), + .C_ERROR_INJECTION_TYPE_WRCH(0), + .C_FAMILY("spartan6"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_AXI_ARUSER(0), + .C_HAS_AXI_AWUSER(0), + .C_HAS_AXI_BUSER(0), + .C_HAS_AXI_RD_CHANNEL(0), + .C_HAS_AXI_RUSER(0), + .C_HAS_AXI_WR_CHANNEL(0), + .C_HAS_AXI_WUSER(0), + .C_HAS_AXIS_TDATA(0), + .C_HAS_AXIS_TDEST(0), + .C_HAS_AXIS_TID(0), + .C_HAS_AXIS_TKEEP(0), + .C_HAS_AXIS_TLAST(0), + .C_HAS_AXIS_TREADY(1), + .C_HAS_AXIS_TSTRB(0), + .C_HAS_AXIS_TUSER(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_DATA_COUNTS_AXIS(0), + .C_HAS_DATA_COUNTS_RACH(0), + .C_HAS_DATA_COUNTS_RDCH(0), + .C_HAS_DATA_COUNTS_WACH(0), + .C_HAS_DATA_COUNTS_WDCH(0), + .C_HAS_DATA_COUNTS_WRCH(0), + .C_HAS_INT_CLK(0), + .C_HAS_MASTER_CE(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_PROG_FLAGS_AXIS(0), + .C_HAS_PROG_FLAGS_RACH(0), + .C_HAS_PROG_FLAGS_RDCH(0), + .C_HAS_PROG_FLAGS_WACH(0), + .C_HAS_PROG_FLAGS_WDCH(0), + .C_HAS_PROG_FLAGS_WRCH(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SLAVE_CE(0), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_IMPLEMENTATION_TYPE_AXIS(1), + .C_IMPLEMENTATION_TYPE_RACH(1), + .C_IMPLEMENTATION_TYPE_RDCH(1), + .C_IMPLEMENTATION_TYPE_WACH(1), + .C_IMPLEMENTATION_TYPE_WDCH(1), + .C_IMPLEMENTATION_TYPE_WRCH(1), + .C_INIT_WR_PNTR_VAL(0), + .C_INTERFACE_TYPE(0), + .C_MEMORY_TYPE(1), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("512x72"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_EMPTY_TYPE_AXIS(0), + .C_PROG_EMPTY_TYPE_RACH(0), + .C_PROG_EMPTY_TYPE_RDCH(0), + .C_PROG_EMPTY_TYPE_WACH(0), + .C_PROG_EMPTY_TYPE_WDCH(0), + .C_PROG_EMPTY_TYPE_WRCH(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(511), + .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023), + .C_PROG_FULL_THRESH_NEGATE_VAL(510), + .C_PROG_FULL_TYPE(0), + .C_PROG_FULL_TYPE_AXIS(0), + .C_PROG_FULL_TYPE_RACH(0), + .C_PROG_FULL_TYPE_RDCH(0), + .C_PROG_FULL_TYPE_WACH(0), + .C_PROG_FULL_TYPE_WDCH(0), + .C_PROG_FULL_TYPE_WRCH(0), + .C_RACH_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(10), + .C_RD_DEPTH(512), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(9), + .C_RDCH_TYPE(0), + .C_REG_SLICE_MODE_AXIS(0), + .C_REG_SLICE_MODE_RACH(0), + .C_REG_SLICE_MODE_RDCH(0), + .C_REG_SLICE_MODE_WACH(0), + .C_REG_SLICE_MODE_WDCH(0), + .C_REG_SLICE_MODE_WRCH(0), + .C_SYNCHRONIZER_STAGE(2), + .C_UNDERFLOW_LOW(0), + .C_USE_COMMON_OVERFLOW(0), + .C_USE_COMMON_UNDERFLOW(0), + .C_USE_DEFAULT_SETTINGS(0), + .C_USE_DOUT_RST(1), + .C_USE_ECC(0), + .C_USE_ECC_AXIS(0), + .C_USE_ECC_RACH(0), + .C_USE_ECC_RDCH(0), + .C_USE_ECC_WACH(0), + .C_USE_ECC_WDCH(0), + .C_USE_ECC_WRCH(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(1), + .C_VALID_LOW(0), + .C_WACH_TYPE(0), + .C_WDCH_TYPE(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(10), + .C_WR_DEPTH(512), + .C_WR_DEPTH_AXIS(1024), + .C_WR_DEPTH_RACH(16), + .C_WR_DEPTH_RDCH(1024), + .C_WR_DEPTH_WACH(16), + .C_WR_DEPTH_WDCH(1024), + .C_WR_DEPTH_WRCH(16), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(9), + .C_WR_PNTR_WIDTH_AXIS(10), + .C_WR_PNTR_WIDTH_RACH(4), + .C_WR_PNTR_WIDTH_RDCH(10), + .C_WR_PNTR_WIDTH_WACH(4), + .C_WR_PNTR_WIDTH_WDCH(10), + .C_WR_PNTR_WIDTH_WRCH(4), + .C_WR_RESPONSE_LATENCY(1), + .C_WRCH_TYPE(0) + ) + inst ( + .RST(rst), + .WR_CLK(wr_clk), + .RD_CLK(rd_clk), + .DIN(din), + .WR_EN(wr_en), + .RD_EN(rd_en), + .DOUT(dout), + .FULL(full), + .EMPTY(empty), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .BACKUP(), + .BACKUP_MARKER(), + .CLK(), + .SRST(), + .WR_RST(), + .RD_RST(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .INT_CLK(), + .INJECTDBITERR(), + .INJECTSBITERR(), + .ALMOST_FULL(), + .WR_ACK(), + .OVERFLOW(), + .ALMOST_EMPTY(), + .VALID(), + .UNDERFLOW(), + .DATA_COUNT(), + .PROG_FULL(), + .PROG_EMPTY(), + .SBITERR(), + .DBITERR(), + .M_ACLK(), + .S_ACLK(), + .S_ARESETN(), + .M_ACLK_EN(), + .S_ACLK_EN(), + .S_AXI_AWID(), + .S_AXI_AWADDR(), + .S_AXI_AWLEN(), + .S_AXI_AWSIZE(), + .S_AXI_AWBURST(), + .S_AXI_AWLOCK(), + .S_AXI_AWCACHE(), + .S_AXI_AWPROT(), + .S_AXI_AWQOS(), + .S_AXI_AWREGION(), + .S_AXI_AWUSER(), + .S_AXI_AWVALID(), + .S_AXI_AWREADY(), + .S_AXI_WID(), + .S_AXI_WDATA(), + .S_AXI_WSTRB(), + .S_AXI_WLAST(), + .S_AXI_WUSER(), + .S_AXI_WVALID(), + .S_AXI_WREADY(), + .S_AXI_BID(), + .S_AXI_BRESP(), + .S_AXI_BUSER(), + .S_AXI_BVALID(), + .S_AXI_BREADY(), + .M_AXI_AWID(), + .M_AXI_AWADDR(), + .M_AXI_AWLEN(), + .M_AXI_AWSIZE(), + .M_AXI_AWBURST(), + .M_AXI_AWLOCK(), + .M_AXI_AWCACHE(), + .M_AXI_AWPROT(), + .M_AXI_AWQOS(), + .M_AXI_AWREGION(), + .M_AXI_AWUSER(), + .M_AXI_AWVALID(), + .M_AXI_AWREADY(), + .M_AXI_WID(), + .M_AXI_WDATA(), + .M_AXI_WSTRB(), + .M_AXI_WLAST(), + .M_AXI_WUSER(), + .M_AXI_WVALID(), + .M_AXI_WREADY(), + .M_AXI_BID(), + .M_AXI_BRESP(), + .M_AXI_BUSER(), + .M_AXI_BVALID(), + .M_AXI_BREADY(), + .S_AXI_ARID(), + .S_AXI_ARADDR(), + .S_AXI_ARLEN(), + .S_AXI_ARSIZE(), + .S_AXI_ARBURST(), + .S_AXI_ARLOCK(), + .S_AXI_ARCACHE(), + .S_AXI_ARPROT(), + .S_AXI_ARQOS(), + .S_AXI_ARREGION(), + .S_AXI_ARUSER(), + .S_AXI_ARVALID(), + .S_AXI_ARREADY(), + .S_AXI_RID(), + .S_AXI_RDATA(), + .S_AXI_RRESP(), + .S_AXI_RLAST(), + .S_AXI_RUSER(), + .S_AXI_RVALID(), + .S_AXI_RREADY(), + .M_AXI_ARID(), + .M_AXI_ARADDR(), + .M_AXI_ARLEN(), + .M_AXI_ARSIZE(), + .M_AXI_ARBURST(), + .M_AXI_ARLOCK(), + .M_AXI_ARCACHE(), + .M_AXI_ARPROT(), + .M_AXI_ARQOS(), + .M_AXI_ARREGION(), + .M_AXI_ARUSER(), + .M_AXI_ARVALID(), + .M_AXI_ARREADY(), + .M_AXI_RID(), + .M_AXI_RDATA(), + .M_AXI_RRESP(), + .M_AXI_RLAST(), + .M_AXI_RUSER(), + .M_AXI_RVALID(), + .M_AXI_RREADY(), + .S_AXIS_TVALID(), + .S_AXIS_TREADY(), + .S_AXIS_TDATA(), + .S_AXIS_TSTRB(), + .S_AXIS_TKEEP(), + .S_AXIS_TLAST(), + .S_AXIS_TID(), + .S_AXIS_TDEST(), + .S_AXIS_TUSER(), + .M_AXIS_TVALID(), + .M_AXIS_TREADY(), + .M_AXIS_TDATA(), + .M_AXIS_TSTRB(), + .M_AXIS_TKEEP(), + .M_AXIS_TLAST(), + .M_AXIS_TID(), + .M_AXIS_TDEST(), + .M_AXIS_TUSER(), + .AXI_AW_INJECTSBITERR(), + .AXI_AW_INJECTDBITERR(), + .AXI_AW_PROG_FULL_THRESH(), + .AXI_AW_PROG_EMPTY_THRESH(), + .AXI_AW_DATA_COUNT(), + .AXI_AW_WR_DATA_COUNT(), + .AXI_AW_RD_DATA_COUNT(), + .AXI_AW_SBITERR(), + .AXI_AW_DBITERR(), + .AXI_AW_OVERFLOW(), + .AXI_AW_UNDERFLOW(), + .AXI_AW_PROG_FULL(), + .AXI_AW_PROG_EMPTY(), + .AXI_W_INJECTSBITERR(), + .AXI_W_INJECTDBITERR(), + .AXI_W_PROG_FULL_THRESH(), + .AXI_W_PROG_EMPTY_THRESH(), + .AXI_W_DATA_COUNT(), + .AXI_W_WR_DATA_COUNT(), + .AXI_W_RD_DATA_COUNT(), + .AXI_W_SBITERR(), + .AXI_W_DBITERR(), + .AXI_W_OVERFLOW(), + .AXI_W_UNDERFLOW(), + .AXI_B_INJECTSBITERR(), + .AXI_W_PROG_FULL(), + .AXI_W_PROG_EMPTY(), + .AXI_B_INJECTDBITERR(), + .AXI_B_PROG_FULL_THRESH(), + .AXI_B_PROG_EMPTY_THRESH(), + .AXI_B_DATA_COUNT(), + .AXI_B_WR_DATA_COUNT(), + .AXI_B_RD_DATA_COUNT(), + .AXI_B_SBITERR(), + .AXI_B_DBITERR(), + .AXI_B_OVERFLOW(), + .AXI_B_UNDERFLOW(), + .AXI_AR_INJECTSBITERR(), + .AXI_B_PROG_FULL(), + .AXI_B_PROG_EMPTY(), + .AXI_AR_INJECTDBITERR(), + .AXI_AR_PROG_FULL_THRESH(), + .AXI_AR_PROG_EMPTY_THRESH(), + .AXI_AR_DATA_COUNT(), + .AXI_AR_WR_DATA_COUNT(), + .AXI_AR_RD_DATA_COUNT(), + .AXI_AR_SBITERR(), + .AXI_AR_DBITERR(), + .AXI_AR_OVERFLOW(), + .AXI_AR_UNDERFLOW(), + .AXI_AR_PROG_FULL(), + .AXI_AR_PROG_EMPTY(), + .AXI_R_INJECTSBITERR(), + .AXI_R_INJECTDBITERR(), + .AXI_R_PROG_FULL_THRESH(), + .AXI_R_PROG_EMPTY_THRESH(), + .AXI_R_DATA_COUNT(), + .AXI_R_WR_DATA_COUNT(), + .AXI_R_RD_DATA_COUNT(), + .AXI_R_SBITERR(), + .AXI_R_DBITERR(), + .AXI_R_OVERFLOW(), + .AXI_R_UNDERFLOW(), + .AXIS_INJECTSBITERR(), + .AXI_R_PROG_FULL(), + .AXI_R_PROG_EMPTY(), + .AXIS_INJECTDBITERR(), + .AXIS_PROG_FULL_THRESH(), + .AXIS_PROG_EMPTY_THRESH(), + .AXIS_DATA_COUNT(), + .AXIS_WR_DATA_COUNT(), + .AXIS_RD_DATA_COUNT(), + .AXIS_SBITERR(), + .AXIS_DBITERR(), + .AXIS_OVERFLOW(), + .AXIS_UNDERFLOW(), + .AXIS_PROG_FULL(), + .AXIS_PROG_EMPTY() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo new file mode 100644 index 000000000..faaf82107 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2015 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 * +* * +* Rev 1. The FIFO Generator is a parameterizable first-in/first-out * +* memory queue generator. Use it to generate resource and performance * +* optimized FIFOs with common or independent read/write clock domains, * +* and optional fixed or programmable full and empty flags and * +* handshaking signals. Choose from a selection of memory resource * +* types for implementation. Optional Hamming code based error * +* detection and correction as well as error injection capability for * +* system test help to insure data integrity. FIFO width and depth are * +* parameterizable, and for native interface FIFOs, asymmetric read and * +* write port widths are also supported. * +*******************************************************************************/ + +// Interfaces: +// AXI4Stream_MASTER_M_AXIS +// AXI4Stream_SLAVE_S_AXIS +// AXI4_MASTER_M_AXI +// AXI4_SLAVE_S_AXI +// AXI4Lite_MASTER_M_AXI +// AXI4Lite_SLAVE_S_AXI +// master_aclk +// slave_aclk +// slave_aresetn + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_4k_2clk your_instance_name ( + .rst(rst), // input rst + .wr_clk(wr_clk), // input wr_clk + .rd_clk(rd_clk), // input rd_clk + .din(din), // input [71 : 0] din + .wr_en(wr_en), // input wr_en + .rd_en(rd_en), // input rd_en + .dout(dout), // output [71 : 0] dout + .full(full), // output full + .empty(empty), // output empty + .rd_data_count(rd_data_count), // output [9 : 0] rd_data_count + .wr_data_count(wr_data_count) // output [9 : 0] wr_data_count +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_4k_2clk.v when simulating +// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco new file mode 100644 index 000000000..bd508490c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco @@ -0,0 +1,213 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Thu Mar 26 19:28:41 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:9.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=fifo_4k_2clk +CSET data_count=false +CSET data_count_width=9 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=5 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Independent_Clocks_Block_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=511 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=510 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=72 +CSET input_depth=512 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=72 +CSET output_depth=512 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET programmable_full_type_axis=No_Programmable_Full_Threshold +CSET programmable_full_type_rach=No_Programmable_Full_Threshold +CSET programmable_full_type_rdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wach=No_Programmable_Full_Threshold +CSET programmable_full_type_wdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wrch=No_Programmable_Full_Threshold +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=10 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=true +CSET use_embedded_registers=false +CSET use_extra_logic=true +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=10 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T12:39:56Z +# END Extra information +GENERATE +# CRC: d3cdd696 diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html new file mode 100644 index 000000000..fefce62a3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html @@ -0,0 +1,247 @@ +<HTML> +<HEAD> +<TITLE>fifo_generator_v9_3_vinfo</TITLE> +<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1"> +</HEAD> +<BODY> +<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1"> +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A> + +For system requirements: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A> + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + <A HREF="http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm">www.xilinx.com/products/ipcenter/FIFO_Generator.htm</A> + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A> + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A> +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. +</FONT> +</PRE> +</BODY> +</HTML> diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf Binary files differnew file mode 100644 index 000000000..5ec45fbcb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd new file mode 100755 index 000000000..2674443c8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_4k_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_4k_2clk_exdes; + + + +architecture xilinx of fifo_4k_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_4k_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_4k_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat new file mode 100755 index 000000000..e6d9fb0f6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_4k_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh new file mode 100755 index 000000000..0b56fa62c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_4k_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..e0e613a94 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..fa07eb47d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..a48fea790 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_4k_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..7171a0e5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_4k_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..98c6556e8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_4k_2clk +set design fifo_4k_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_4k_2clk_exdes +add_files -norecurse {../../example_design/fifo_4k_2clk_exdes.vhd} +add_files -norecurse {./fifo_4k_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_4k_2clk_exdes.xdc} +set_property top fifo_4k_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_4k_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_4k_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj new file mode 100755 index 000000000..573a1716f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_4k_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr new file mode 100755 index 000000000..11c7d5a89 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_4k_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_4k_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd new file mode 100755 index 000000000..d14bb7a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_4k_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd new file mode 100755 index 000000000..0a7c2aa87 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_4k_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd new file mode 100755 index 000000000..fa32e4781 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_4k_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd new file mode 100755 index 000000000..46d4ac9cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_4k_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_4k_2clk_pkg; + + + +PACKAGE BODY fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_4k_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd new file mode 100755 index 000000000..bed58d88e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_4k_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_4k_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd new file mode 100755 index 000000000..4149735c5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_4k_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_4k_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_4k_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_4k_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 9, + C_RD_PNTR_WIDTH => 9, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_4k_2clk_inst : fifo_4k_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd new file mode 100755 index 000000000..51d699e21 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_4k_2clk_arch OF fifo_4k_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_4k_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_4k_2clk_synth + + fifo_4k_2clk_synth_inst:fifo_4k_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 76 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..3d0783055 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_4k_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..c3abd5a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_4k_2clk.v +vhpcomp -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..af53abefb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_4k_2clk.v +vcom -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0d3376452 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_4k_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..8c26af20c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_4k_2clk.v +vhdlan ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..b9ef9837a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..a6f8ce91f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..f5ad36b7e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..74e930a13 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..a1967adcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_4k_2clk_synth_inst:fifo_4k_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..f62f540be --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..28ebc1163 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt new file mode 100644 index 000000000..a0152ac70 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for <fifo_4k_2clk> +_xmsgs/pn_parser.xmsgs +fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_4k_2clk/doc/pg057-fifo-generator.pdf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +fifo_4k_2clk/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/implement/implement.bat +fifo_4k_2clk/implement/implement.sh +fifo_4k_2clk/implement/implement_synplify.bat +fifo_4k_2clk/implement/implement_synplify.sh +fifo_4k_2clk/implement/planAhead_ise.bat +fifo_4k_2clk/implement/planAhead_ise.sh +fifo_4k_2clk/implement/planAhead_ise.tcl +fifo_4k_2clk/implement/xst.prj +fifo_4k_2clk/implement/xst.scr +fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +fifo_4k_2clk/simulation/functional/simulate_isim.bat +fifo_4k_2clk/simulation/functional/simulate_isim.sh +fifo_4k_2clk/simulation/functional/simulate_mti.bat +fifo_4k_2clk/simulation/functional/simulate_mti.do +fifo_4k_2clk/simulation/functional/simulate_mti.sh +fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +fifo_4k_2clk/simulation/functional/simulate_vcs.sh +fifo_4k_2clk/simulation/functional/ucli_commands.key +fifo_4k_2clk/simulation/functional/vcs_session.tcl +fifo_4k_2clk/simulation/functional/wave_isim.tcl +fifo_4k_2clk/simulation/functional/wave_mti.do +fifo_4k_2clk/simulation/functional/wave_ncsim.sv +fifo_4k_2clk/simulation/timing/simulate_isim.bat +fifo_4k_2clk/simulation/timing/simulate_isim.sh +fifo_4k_2clk/simulation/timing/simulate_mti.bat +fifo_4k_2clk/simulation/timing/simulate_mti.do +fifo_4k_2clk/simulation/timing/simulate_mti.sh +fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +fifo_4k_2clk/simulation/timing/simulate_vcs.sh +fifo_4k_2clk/simulation/timing/ucli_commands.key +fifo_4k_2clk/simulation/timing/vcs_session.tcl +fifo_4k_2clk/simulation/timing/wave_isim.tcl +fifo_4k_2clk/simulation/timing/wave_mti.do +fifo_4k_2clk/simulation/timing/wave_ncsim.sv +fifo_4k_2clk.asy +fifo_4k_2clk.gise +fifo_4k_2clk.ngc +fifo_4k_2clk.v +fifo_4k_2clk.veo +fifo_4k_2clk.xco +fifo_4k_2clk.xise +fifo_4k_2clk_flist.txt +fifo_4k_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl new file mode 100644 index 000000000..bdc5c355d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is <core_name>_xmdf +package provide fifo_4k_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is <core_name>_xmdf +namespace eval ::fifo_4k_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_4k_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: <module_name> +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_4k_2clk +} +# ::fifo_4k_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_4k_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_4k_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy new file mode 100644 index 000000000..1c03599f3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_short_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[5:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[5:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf new file mode 100644 index 000000000..e69de29bb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc new file mode 100644 index 000000000..6d6ba3499 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5a044<,[o}e~g`n;"2*73>(-80!<?40028456789:;<=>?012355=78123<=6?892;<56682:34=>7092;4=6?09;;7=6789:;<=6789:;<<5>8:3305668=;0>95=32124>4=AGZ^X7JFA=394;773;0BB][[:EKA84<768:0>7GAPTV9@LE;93:5==5=:HLSQQ<CAM6:6=0>1:09KPRW]]0OCL2>:1<25>4=G\^[YY4KOC>2>5869281CXZ_UU8GKF:6294:=6<5OTVSQQ<CGM6:6=0;;24@=46<=<>;8=:?54234567991>9LO69746=D0>I???78;K5028123A?OLM<<>:147657=22@D[YY4NDEPB81<7688097GAPTV9EABUJ5>1<3?=;48JJUSS2HNO^N2;:1<26>3=AGZ^X7OKDSF?0?69991>M;ON5876100FIH3:7;:4681@<>0>>?32:4:468;55g=12F__\XZ5re]geqgXkfex1;50?3a?3<H]]Z^X7y}_ecweZeh}g~797>12:4B0>0FIH20:NMLCBA@a>0tdq=>o~i;85/05>16:2=96D@_UU8gmk:093:5=9582;MVPUSS2me~x19>:1<24>1>MOLMJK691123457731:;<=>?0123456788:04=>7812;<56?812;==570521446709>;>=?;;90BE46<0?;;<=>?01234567<22N4L?4999:456780:?74>?9028=56>89:;<=>?912:0>?4>J805;??;84523011?<=:;86999:23?110<?7479959:BC?682K;<=HI5G:3<5>70920M886687B6>G1<2KJ:L64A@CB230F02KOH_O30?:8EABUI5;546OKDSC?6;><IMNYM1=1a:CG@WG;<3:546OKDSC?0;><IMNYN1>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;><IMNYH1<18:CG@WB;;7k0MIJ]D=694;><IMNYH1:12:CF<>GBIHK9ML74AR[MGZTBO;1I<55M4D3;37B?3K_XSD@IO09@<>E1KJIHON<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CN?1OEL2?>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:6681N86KL8133?@@BN1<<5:776022446<N:L8;<9>F2523457:2LO?6HKC59E@FC43ON[86HKPD68B@@A92M87J@K1:K1?L653@;97D<=;H11?L253@?27D@FTRVBP@0<AGC_SL84IOKW[G0<AGC_SN84IOKW[A5<AG\=7AANDDF5?IIDCLN<7AAHIBCO0>JR\;>0@XZ<4:NVP12<D\^>86BZT778IVAHF<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah5<F9;97C?<;O337>H69:1E=?=4N010?K72;2D::?5A639M<7=I1>1EIYY@RJ38Kf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0];5]AL11I4=T981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN:6]@USAF2>USI]_X86Z]UD68P\VB>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW=SPRE]SJQT\9TUSC_Q>8^m\|j:768<m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U>]^PG[UHSZR8VSUA]_05\kZ~h494::k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]22ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY0YZTCWYD_^V:R_YMQ[43XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_5[X_[U[BY\T1\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^7ZW^XT\CZ][3_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]5UV]YS]@[RZ1^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\;TU\^R^ATSY7YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ7?Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT=:Q`629V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ZMU[BY\T2\]Q@ZVI\[Q8QRV@R^35[j043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX9<Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP<PQXR^RMPW]6UVRD^R:Po708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'^XT\CZ][0_\SWYWF]XP>PQWOS]0[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^4ZW^XT\CZ][2_\\JTX:Ve=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-TVZVI\[Q8QRY]_QLWV^2ZWQEYS<Q`659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-G8581<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&N7=38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/E>1:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(L595:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<5<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\032<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_144?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU:::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[7003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ<669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W=<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#I2?>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6?2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[1033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6;2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=3=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4;4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J33?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef>0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef]222=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{olS?88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*rtX{}mTec}{/P]qabY4>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_570?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj969=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7=3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=0=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;;7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb1:1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\404<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW8?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR<:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]017=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX<<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT8;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc<8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb?83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U:8<Qwo=2=53b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beXizxnkRbnsuY7YZtbl|inSua}_06\k25<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beXizxnkRbnsuY7YZtbl|inSua}_072[}i;87;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS=WT~hjzcd]{kwY6=Ve>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:36<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS=;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY5=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT?8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[5363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadW8?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhS?;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_272?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[10d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX0XYummhiRv`r^4\k3b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_7]l53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_6]l2a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P7^m237=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P80]{k9699?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[=Yh?;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\=4Yg5:5=;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW0Ud;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT5\]DJAY49VeTmijP3^MVPZe~484<h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU:]^EM@Z56WfUjhiQ<_NWW[iss4;4<h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU:]^EM@Z56WfUjhiQ<_NWW[iss4:4<h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Baef\`l`aW~xT~iU:]^EM@Z56WfUjhiQ<_NWW[iss4=4<46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU:]^EM@Z56WfUfyuQ:_NWW541?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ=16:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_0[XOGNT?<Q`_lw{[0YH]]9:;55Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mlw{[aoanV}ySjT5\]DJAY49VeTaxvP5^MVP170k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ>QRIAD^12[jYj}qU>SB[[40]PS51d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ;1^QT52><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dg~tRjffg]tvZtcS<WTKCJP30]l[hsW<UDYY;>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IhsWmcmjRy}_sfX1XY@FMU8=RaPmtz\1ZIR\?;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}969>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>3:471j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw33?322g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz31?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1?117`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZjr|595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;;7;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:668;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:568;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;=j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;TJ;h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4:4:=RI9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6?2<?84:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV;R_FLG[67XgVg~tR;POTV?4;133\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_0[XOGNT?<Q`_lw{[0YH]]6:2::4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP9PQHNE]05ZiXe|rT9RAZT=0=31=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY6YZAILV9:SbQbuy]6[JSS4:4<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR?VSJ@K_23\kZkrpV?TCXZ34?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[4_\CKBX;8UdS`{w_4]LQQ:26<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#jPdb]j8582j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYnW9?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ72i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]11d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP34c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS9;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV?=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz Mlw{[WGJW[NTICQIWGV25Zebxf~=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>_bgskqYNF_U;:45Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>1^pppuis=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(ZHGT^HI@UU]FJ4023\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<87;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4+Nf?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km533<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo>;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg6(Oi9<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'lg{xtQiwgv\bg3>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*cjx}sTjzh{_h54?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.elmkaXmdzuRhxfu]oadpnfnUna}zv_guepZIUW<Ud;55Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$kbgag^gntqXn~lSaknvhld[`kw|pUm{kzPOS]6[j72n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)imq~Tjzh{_rvbp`:76<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'|yn~Rxnlhf6b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`khv7Wjef|<Q@R^1\k36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+fijx9Uhc`~>_NP\7Zi6>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{<Rm`mq3\KWY4Wf8T_Z>94:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!lolr3[fijx8UD^R=Po3]PS4033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[6Yh:VY\>;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT?Ra<619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q<_n66f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-qehYbey~rSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)ulVzexQltq2858Xag|<o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^Ttb|30?32[LHQW9==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_1[XOGNT>>Q`_`fg[5YH]]Usc2>>0342>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV:R_FLG[75XgVkohR>POTV\|jt;:7;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=2=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R>VSJ@K_31\kZgclV:TCXZ31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\<TULBIQ=3^m\eabX8VE^X1<16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^7?38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX0XY@FMU9?RaPaef\4ZIR\595=;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY7YZAILV88SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[5_\CKBX::UdSljk_1]LQQ:368??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP04;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY7Wmzdx8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]211=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V8>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_277?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX<<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;;7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>7:01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\60?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R<P1458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V9>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\7Z72?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P47c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP34]l[HS_W08Tc<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"Cbuy]`khv6WNDOS>;Po^OV\Z?5Wf;::o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU89RaPMTZ\=7Yh9;<i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&GfyuQlolr2[BHCW:?TcRCZX^;1[j74>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(EdsSnabp0]DJAY4=VeTAXVP93]l510e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*Kj}qUhc`~>_FLG[63XgVG^TR7=_n362g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,IhsWjef|<QHNE]01ZiXE\RT5?Q`1747?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.aliu7XOGNT?8Q`_LW[[<4Xg<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'{kfSk{cl^da[l303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*tfeVl~`aQf7c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY6YZAILV9<SbQnde]7[JSSWjs7839k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYdq5>5=<9l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYk}}682:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZjr|5>5;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU:]^EM@Z50WfUjhiQ;_NWW[}iu484:=:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZ~hz585=<9j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYg{682<?8e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ7^[BHCW:=TcRokd^6\KPRXpfx783?>689V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq5:5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}96998<j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;71j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<2<253g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0?0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{6;2<?9c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=<9?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu4:4:=R]X0628Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7?3?>_RU235=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc2<>03\WR41k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=6=54143\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'mcmjRy}_sfX1XY@FMU8;RaPaef\0ZIR\5;5;>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR?VSJ@K_25\kZgclV>TCXZ32?50?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT5\]DJAY4?VeTmijP4^MVP959?:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`^3ZWNDOS>9Po^cg`Z2XG\^7838>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nTx`~30?41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5;5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2=>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?7;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4=4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1;1609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]324=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY6>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U9:<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ<609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]724=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY2<o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"|nm^uq[`hXa8=i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R>VSJ@K_21\kZgclV:TCXZPxnp?5;76?k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\<TULBIQ<3^m\eabX8VE^XRv`r=0=543f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{<0<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;<7=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6:2:>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr\<TULBIQ<3^m\eabX8VE^X1<1719V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q?QRIAD^10[jYflmU;SB[[<2<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV:R_FLG[65XgVkohR>POTV?7;7082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ6^[BHCW:9TcRokd^2\KPR;<7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6?2<;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dS9;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;783;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a7X<<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7:56<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7:46<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7:36<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7Y5=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g6Z52k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f1[1303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${Qnup\tist95:5Sd`y5d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*quWyd~R~cur3?4;Ynf;TECXP0458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pzVzexQltq1848Xag|>i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~?2>>^kmr4YNF_U;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m969<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=33:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>1?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9736=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>21;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg317<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:617>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg321<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0??14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<37=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=0=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8659<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=17:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2<5?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7?;0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4:=58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m95?6=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>0=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg33?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc78=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9256=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>77;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg345<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:297>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<41=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8019<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=7;:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2:9?6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc793:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>94?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8379<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=41:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd293?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7:90;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4??58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9016=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>53;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg369<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;71489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9176=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>45;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg37?6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc743:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;17>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\41><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ76<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^310<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ72<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^350<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;3845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ7><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^07=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[74312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_317=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[70312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_357=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[62>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP316:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\762>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP356:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\722>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP396:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?4:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]741?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]701?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]7<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ37<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^720<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ33<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^760<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;9489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ3?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^7:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[37312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_707=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;=;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[33312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_747=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?2?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[3?302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_66:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT;=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX?8>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\<1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ6519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL365>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI46292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/LpbiZTFE8;>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<<:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM373?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN706<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Br`o\VDK3=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.OqehYUID?><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA;;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB7428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%F~lcPR@O;15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"C}al]QEH?282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;87?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:<3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>1?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>26;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6;7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:83;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>5?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>22;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6?7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:43;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>9?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>2:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209476<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=00:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209436<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=04:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122094?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<22=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378679=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<26=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378639=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<2:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013786?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>78=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:340<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?078292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7890:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:344<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?038292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7850:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:348<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?0;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:287?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>67;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:2<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>63;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:207?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=43:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209066<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::818<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=47:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209026<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::8188>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=4;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122090>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0:>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<63=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0137828282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;07?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<02=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8479=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<06=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8439=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<0:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j84?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn484>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg320<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?678292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;::4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg324<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?638292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;:>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg328<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?6;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:487?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`68=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>07;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:4<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6893;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>03;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:407?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6853;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=63:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9266<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=67:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9226<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=6;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m92>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<43=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8049=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<47=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8009=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0861509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<4;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j808292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:<0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg363<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?268292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>=4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:80:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg367<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?228292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:40:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg36?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>44;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:097?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6<28>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te161519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<8<7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\402<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ6Xlye8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>0478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^33[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<?Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[442=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9;Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP1276?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]27Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:88;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?;_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ72=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8?Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0461>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\53Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=:;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>7^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY60<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;3Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^3:10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[4?Xlye995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<Qkpnv7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\606<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ47=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;:Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\64Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>?;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=2^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5;<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV88Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^0710=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[72Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS?;:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP24]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX:??>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U9:Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1303<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ40Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<7549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3:\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;3>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>4Qkpnv60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6Zbwg}>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U89=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>>:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP31]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8=Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0603<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ55Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=<549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_21\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:>>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?9Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\70323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY4=Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ<6478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^15[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV9<985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>9Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[6>2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;1Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3876?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0=Zbwg}??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8Si~`t5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\05323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY38Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^62[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>9985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9<Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[152=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX<:Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP4576?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]70Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U?98;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR::_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ21=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW=<Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_5561>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\02Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT85;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;8^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY31<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>2Si~`t468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^6\`uis<o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U><8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;?_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ36=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<;Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4061>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\17Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT9>;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ:3^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY2<<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV??Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^7610=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[03Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS88:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP57]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX=>?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U>;Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]6<03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ3?Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;6549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4;\`uis==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<Uo|bz;f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^4310=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[36Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP60]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>;?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=>Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5703<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ04Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR8;549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_76\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW??>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT:8Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\23323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1>Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ97478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^44[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV<3985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;6Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3?2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>0Uo|bz:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6^fskq2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY0=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW>:>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT;=Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\34323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY09Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ8_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ>2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX0Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ6559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_8]gtjr3j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&xjaR|k_dl\m61<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtbofSh`<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPws]fj03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tR|k_sqw[duumnULBIQ>2^m\IP^X:Ve:=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZquW{ySl}}ef]DJAY6:VeTAXVP1^m256b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'[Y_SK[CL^EFJ1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'nxxxi?!gsqw[cskd'xxxRj>499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm8?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`62d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>3:1e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg=3=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh<3<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|VxnkR?;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlmT>9m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtbo5:58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`484?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"z|Prrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ74l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`a3m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`aXOGNT=?Q`_LW[[7Yh<91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]bwwc`Wm;?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh?=l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWjefn>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXkfgi=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnk9k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnkRIAD^31[jYJ]QU:Sb:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusWhyyijQk1528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f17f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQlol`0`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)pzVxxxRm`mc3`?PUBZV\B_DLCE89UM@QX\PZN86YLLJ08S@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0<PZ^GEO94XRV\CKBe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn5o4_^][HKKXWV:TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU:]^EM@Z56WfUfyuQ:_NWW04YT_92<7RQPXMLN[ZY68VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'nebbjQjmqvz[cqa|Vfnm{gag^gntqXn~lSB\P5^m23d=XWVRGB@QP_03\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1<11978[ZY_DGGTSR?=_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q?QRIAD^00[jYflmU;SB[[_ymq87869>n0SRQWLOO\[Z74WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh9>i0SRQWLOO\[Z73WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\2Zi6?h1TSRVCNL]\[43XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<1<252d<WVUS@CCP_^35[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2?>034e>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?<7d9\[Z^KFDUTS<6P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX7XYULVZEX_U;]^ZLVZ72WfUsc1>116f8[ZY_DGGTSR?6_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_6[X_[U[BY\T4\][KWY6WfUsc1>116g8[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=<Q\W15f?ZYXPEDFSRQ=0^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~R\K_QLWV^4ZW[NT\CZ][2_\\JTX9?UdSua30?34`>YXWQFEARQP20]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]7UV]YS]@[RZ3^[]IUW=UdSua30?34e>YXWQFEARQP23]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?;659\[Z^KFDUTS?=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-Nip~X{UyyQnssgd[BHCW88TcRCZX^3\k471<2UTSUBAM^]\61YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&GfyuQ}d^pppZgtzlmTKCJP13]l[HS_W;Ud=<9=;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$obc0^aliu7XG[U8Sb<PSV34b>YXWQFEARQP3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~P8PQHNE]07ZiXimnT<RAZT=1=5=7<WVUS@CCP_^6\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[5_\v`brklUscQ>50]{k9699>k0SRQWLOO\[Z3XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW9SPGOF\66YhWhnoS=Q@UU>0:4>73VUTTA@B_^]5[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P90]{k9699>o0SRQWLOO\[Z1XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=1=54YT_;=i7RQPXMLN[ZY?WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?5;76?>1TSRVCNL]\[<YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<#Fn0`8gimXpVzex}8;bmntdtbn2i~~Qiwgv\wqgsm:1oh`84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<4?aoi4:;5;6jfn=11:2=cag68?394dhl?71803mce0>;17:fjj9516>1oec2<7?58`lh;;14<7iga<2;=2>bnf595;6jfn=63:2=cag6?=394dhl?07803mce09=17:fjj9236>1oec2;5?58`lh;<?4<7iga<55=3>bnf5>32:5kio>7=;0<l`d78394dhl?15803mce08?17:fjj9356>1oec2:3?58`lh;==4<7iga<47=3>bnf5?=2:5kio>63;1<l`d79508;ekm80?9>2nbb1;17:fjj9076>1oec291?58`lh;>;4<7iga<71=3>bnf5<?2:5kio>51;1<l`d7:;08;ekm8319?2nbb187>69gmk:117<0hd`36?58`lh;?9427iga<6394;1<l`d7;<09;ekm82813mce0509;ekm8<833mgna:5kotv?4;><lf0<>18:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<546j`uu>23;><lf0<618:flqq:617=0hb{{<0<;?air|58;255kotv?648?3me~x1<=>99gkpr;::437iazt=07:==cg|~7>807;emvp941611ocxz326<;?air|583255kotv?6<803me~x1<18:flqq:48720hb{{<23=<>bh}}68>364dnww865902ndyy2<4?:8`jss4:?546j`uu>02;><lf0>918:flqq:40720hb{{<2;=3>bh}}68255kotv?058?3me~x1:>>99gkpr;<;437iazt=60:==cg|~78907;emvp922611ocxz347<;?air|5><255kotv?0=8?3me~x1:6>69gkpr;<720hb{{<42=<>bh}}6>=364dnww804902ndyy2:3?:8`jss4<>546j`uu>61;><lf08818:flqq:2?720hb{{<4:=<>bh}}6>5394dnww808?3me~x18?>99gkpr;>8437iazt=41:==cg|~7:>07;emvp903611ocxz364<;?air|5<=255kotv?228?3me~x187>99gkpr;>04<7iazt=4=<>bh}}6<<3o4dnww827=8720hb{{<63=3>bh}}6<2:5kotv?<;1<lf040:;dosp|713ocmcRijndpbpjtXzhgmeka>2:djbjY`mgoymya}_ums56=aaoeTkh`jr`vlvZp>W:8m7kgio^efj`tf|fxTz4Q<,!Zjhlh(JEYI-Ijndpbpjt'9=$<=:5iigm\c`hbzh~d~Rx6_2]{wq`<n`ldS~f`ru]1gim68k;0jdh`_rjlvqY5kea'jdh`_fgmawgsg{U}5R=#{b]b`aYiojUhcc}{r`kmp86+kVk{|aglaukljZr~xlUjtd}20-a\euvkajkeb`Ptxrf[wgd`4:'oRopmk`eqohfV~r|hQ}dbj>4)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4:'oRm`uov\p|vb59&hSio{a^alqkrX`nd0;#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:?;&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe382-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y6<!mPesplvZoiblieb`Ptxrf[wgd`4:'oRk}rnp\mklbk}cdbRzvpd]q`fn:8%iTi|`r^kmn`esafdTxt~j_vc`l86+kVoy~b|PiohfgqohfV~r|hQxdbj>4)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7: nQgar]bhhit|Vof|yw20-a\lduXiegdyQiumn>4)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6<!mPh`q\e}oXzmUhdl`aem?3(fYoizUjtdQ}urgq95*dWakxSlvf_vp\gmgiflf6<!mPh`q\e}oX|yn~0>#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7: nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>4)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs58&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k22-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`4;'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>5)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0?#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa84+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb21-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7; nQrdnleaYumny6=!mPpskn[coagV~r|h3:13z46)eXx{elShctx]wlwct`Vkxh|{_wco91*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZgaz7:<?<#c^rqkbYbey~rSyf}erj\evubz}U}maQ}abj>5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUu}k20-a\twi`Wlg{xtQ{yqg\e}ot59&hS}|`g^gntqX|pznSolh<2/gZvugnUna}zv_u{saZtcka7; nQrne\ahvsqV~r|hQxabj>4)eXx{elShctx]w}ucXmic1="l_qplcZcjx}sTxt~j_vp`l86+kVzycjQiumn\pmtb{aUj~k}t^tbh856$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;48%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?3(fYwzfmTjxbc_u{saZtfka7; nQrne\bpjkW}s{iR|kci?3(fYwzfmTjxbc_u{saZqfka7; nQrne\bpjkW}s{iRykci?3(fYwzfmTjxbc_u{saZquka7; nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=7.`[wbXllzd0==,b]q`Z`umx7: nQ}d^rmpwYpam~c18"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQ|yoakvjhnrlxTyohe<0/gZsillxm`byPlnu>4)eX}zoTobcboo]lr`tadf}6<!mPurg\gjkjggU~bik}fmmt95*dW|ynSikiatnw[vcs|`dl0>#c^wpaZbh}}Uyy3>,b]vw`Ybkj7; nQzsd]fgfYfp`y6<!mPurg\afeXzhic1="l_tqf[`edW{nhd0>#c^wpaZcdkV}joe3?,b]vw`YbkjU|hnf20-a\qvcXmjiT{mg=1.`[pubWlgiiijjd^pfc86+kVxiRhffn35[cjfoz7; nQzsd]escrXlh~jSnaznu?2(fYqiecoSaax=1.`[rgd`V~r|h3?,b]t`fnX|pzn1="l_vp\efmXdf}6<!mPws]geqgXkfexRyfduj>2)eX{Uoi}zg=20/gZquWmo{xeQnxhq>5543$jU|~Rjjpuj\vdeo58<'oRy}_egspmYuljb6==<;,b]tvZbbx}bT{lmg=04/gZquWmo{xeQxdbj>5543$jU|~Rjjpuj\sweo58<'oRy}_gpfu87+kV}yS}`{r^uj`qn:=%iT{Qnup\slbs`Vkse~3>0-a\swYwf}xT{dj{h^pbgm;3$jU|~R~ats]tmaroW{nhd0??,b]tvZvi|{U|eizg_vc`l82+kV}yS}`{r^uj`qnXmic1<>#c^uq[uhszV}bhyfPwsak91*dW~xT~h}ooqf[igsmgir1<"l_vp`lZr~xl7;q?84fhdl[vnhz}U9oae#fhdl[bcim{kcQy9^1/x2<n|fg56gaptv\fim13dofcw7;oe`fpoklh1dyy~zt^`oog=ulVhbbRmats48vaYddb;;7jPd`vb[firf}6;2<>4re]geqgXkfex1?1119q`Zbf|hUhcx`{<3<24>tcWmkmRm`uov?7;773{nThlzn_bmvjq:36880~iQkauc\gjsi|5?1<3??;sf\`drfWje~by2:>038vaYckVl|jyQjxu]bw0=ulVoe;6|k_guep==ulVfdkdm>2:pg[vrf||yTjaohs^cp54=umzoSobd_scnbl`h;2xxxk5|yoakvjhnrlxTjkl4ws]amkYdf}x=7z|Pcmi24>quWmkmRm`uov?4;773~xThlzn_bmvjq:668:0{Qkauc\gjsi|585==5xr^fbpdYdg|d0>0>0:uq[agsiVidycz34?31?rtXlh~jSnaznu>6>58682}ySio{a^alqkr;=7;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw99;:7MNw48f9B?2=9rY<:7=j5;a7>454?19=6?h=19ym7`5=92d8i949;%1f5?5cl2wX;94<e48`0?74;>28:7<i2328Wdg=<h91<7?<36:02?4a:<;0_::54`194?74;>28:7<i2418`1?c290:6<u\7780a0<d<3;8?:6<6;0e64c<~]n;6=4>:08163}T??08i84l4;3072>4>38m><k4$2fb>de<^:o96?uzb582?pd2291v(n;5279a0<b=83k86<7k:305M5c12.o?7:6d:X6`?2|:10957<>:309y!5b83>2n6*91;6:b>"0=3>2i6*lf;38 fc=;l<0e9:6:18'g2<3<11eo;4?;:k702<72-i<69:7;oa5>4=<a=>>6=4+c6870==ik?0976g;4583>!e02=>37cm9:298m124290/o:4;499mg3<332c?8?4?:%a4>12?3gi=6854i562>5<#k>0?855ac785?>o3<90;6)m8:56;?ke12>10e9=i:18'g2<3<11eo;47;:k77`<72-i<69:7;oa5><=<a=9o6=4+c6870==ik?0j76g;3b83>!e02=>37cm9:c98m15f290/o:4;499mg3<d32c??44?:%a4>12?3gi=6i54i51;>5<#k>0?855ac78f?>o3;>0;6)m8:56;?ke12o10e9=9:18'g2<3<11eo;4>0:9j063=83.h;7:;8:l`2?7632c??94?:%a4>12?3gi=6<<4;h607?6=,j=18964nb4956=<a=996=4+c6870==ik?0:865f42394?"d?3>?46`l6;36?>o3:o0;6)m8:56;?ke128<07d:=e;29 f1=<=20bn851698m14c290/o:4;499mg3<6021b8?m50;&`3?2302dh:7?6;:k76g<72-i<69:7;oa5>4g<3`>9m7>5$b5901><fj<1=o54i50:>5<#k>0?855ac782g>=n<;21<7*l7;67<>hd>3;o76g;2683>!e02=>37cm9:0g8?l25>3:1(n9545:8jf0=9o10e9<;:18'g2<3<11eo;4=0:9j075=83.h;7:;8:l`2?4632c?>?4?:%a4>12?3gi=6?<4;h615?6=,j=18964nb4966=<a=8;6=4+c6870==ik?09865f40d94?"d?3>?46`l6;06?>o39l0;6)m8:56;?ke12;<07d:>d;29 f1=<=20bn852698m17d290/o:4;499mg3<5021b8<l50;&`3?2302dh:7<6;:k75<<72-i<69:7;oa5>7g<3`>:47>5$b5901><fj<1>o54i534>5<#k>0?855ac781g>=n<8<1<7*l7;67<>hd>38o76g;1483>!e02=>37cm9:3g8?l26<3:1(n9545:8jf0=:o10e9?<:18'g2<3<11eo;4<0:9j044=83.h;7:;8:l`2?5632c?=<4?:%a4>12?3gi=6><4;h624?6=,j=18964nb4976=<a=:n6=4+c6870==ik?08865f41f94?"d?3>?46`l6;16?>o38j0;6)m8:56;?ke12:<07d:?b;29 f1=<=20bn853698m16f290/o:4;499mg3<4021b8=750;&`3?2302dh:7=6;:k74=<72-i<69:7;oa5>6g<3`>;;7>5$b5901><fj<1?o54i525>5<#k>0?855ac780g>=n<9?1<7*l7;67<>hd>39o76g;4b83>!e02=>37cm9:2g8?l23j3:1(n9545:8jf0=;o10e9:n:18'g2<3<11eo;4;0:9j010=83.h;7:;8:l`2?2632c??o4?:%a4>12?3gi=69<4;h604?6=,j=18964nb4906=<a=8>6=4+c6870==ik?0?865f40c94?"d?3>?46`l6;66?>o38o0;6)m8:56;?ke12=<07d:?4;29 f1=<=20bn854698m1d32900e9l8:188m1g12900e9m?:188m1ga2900c97<:18'g2<31;1eo;4?;:m7=4<72-i<697=;oa5>4=<g=2m6=4+c687=7=ik?0976a;8d83>!e02=397cm9:298k1>c290/o:4;939mg3<332e?4n4?:%a4>1?53gi=6854o5:a>5<#k>0?5?5ac785?>i30h0;6)m8:5;1?ke12>10c966:18'g2<31;1eo;47;:m7<=<72-i<697=;oa5><=<g=2<6=4+c687=7=ik?0j76a;8783>!e02=397cm9:c98k1>3290/o:4;939mg3<d32e?4>4?:%a4>1?53gi=6i54o5:1>5<#k>0?5?5ac78f?>i3080;6)m8:5;1?ke12o10c96?:18'g2<31;1eo;4>0:9l02`=83.h;7:62:l`2?7632e?;h4?:%a4>1?53gi=6<<4;n64`?6=,j=184<4nb4956=<g==h6=4+c687=7=ik?0:865`46`94?"d?3>2>6`l6;36?>i3?00;6)m8:5;1?ke128<07b:88;29 f1=<080bn851698k110290/o:4;939mg3<6021d8:850;&`3?2>:2dh:7?6;:m730<72-i<697=;oa5>4g<3f><87>5$b590<4<fj<1=o54o550>5<#k>0?5?5ac782g>=h<>81<7*l7;6:6>hd>3;o76a;7083>!e02=397cm9:0g8?j2083:1(n954808jf0=9o10c98j:18'g2<31;1eo;4=0:9l03b=83.h;7:62:l`2?4632e?:n4?:%a4>1?53gi=6?<4;n65f?6=,j=184<4nb4966=<g=<j6=4+c687=7=ik?09865`47;94?"d?3>2>6`l6;06?>i3>10;6)m8:5;1?ke12;<07b:97;29 f1=<080bn852698k101290/o:4;939mg3<5021d8;;50;&`3?2>:2dh:7<6;:m726<72-i<697=;oa5>7g<3f>=>7>5$b590<4<fj<1>o54o542>5<#k>0?5?5ac781g>=h<?:1<7*l7;6:6>hd>38o76a;5g83>!e02=397cm9:3g8?j22m3:1(n954808jf0=:o10c9;k:18'g2<31;1eo;4<0:9l00e=83.h;7:62:l`2?5632e?9o4?:%a4>1?53gi=6><4;n66e?6=,j=184<4nb4976=<g=?36=4+c687=7=ik?08865`44594?"d?3>2>6`l6;16?>i3=?0;6)m8:5;1?ke12:<07b::5;29 f1=<080bn853698k133290/o:4;939mg3<4021d88=50;&`3?2>:2dh:7=6;:m717<72-i<697=;oa5>6g<3f>>=7>5$b590<4<fj<1?o54o573>5<#k>0?5?5ac780g>=h<=l1<7*l7;6:6>hd>39o76a;9783>!e02=397cm9:2g8?j2>=3:1(n954808jf0=;o10c97;:18'g2<31;1eo;4;0:9l0<6=83.h;7:62:l`2?2632e?484?:%a4>1?53gi=69<4;n64e?6=,j=184<4nb4906=<g=<m6=4+c687=7=ik?0?865`47694?"d?3>2>6`l6;66?>i3=00;6)m8:5;1?ke12=<07b:;e;29 f1=<080bn854698k1gc290/o:4;ab9mg3<732e?mo4?:%a4>1gd3gi=6<54o5cb>5<#k>0?mn5ac781?>i3i00;6)m8:5c`?ke12:10c9o7:18'g2<3ij1eo;4;;:m7e2<72-i<69ol;oa5>0=<g=hn6=4+c687fa=ik?0;76a;bb83>!e02=ho7cm9:098k1de290/o:4;be9mg3<532e?nl4?:%a4>1dc3gi=6>54o5`:>5<#k>0?ni5ac787?>i3j10;6)m8:5`g?ke12<10c9o?:188k1?02900n>ji:182>5<7s-n864m4H2ff?M5c12e2n7>5;|`2<<<72=0;6=u+d28:<>N4ll1C?i74$`693>o2m3:17d98:188mf?=831doo4?::a5g5=83>1<7>t$e19===O;mo0D>j6;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f4d5290?6=4?{%f0><><@:nn7E=k9:&b0?1<a<o1<75f7683>>od13:17bmm:188yg5en3:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd4km0;694?:1y'`6<>02B8hh5G3e;8L0g<,k81h?5f5d83>>o0?3:17dm6:188kfd=831vn>j<:187>5<7s-n86464H2ff?M5c12B>m6*m2;f1?l3b2900e:950;9jg<<722ehn7>5;|`0g7<72=0;6=u+d28:<>N4ll1C?i74H4c8 g4=l;1/m948;h7f>5<<a>=1<75fc883>>idj3:17pl<d183>6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7a0=8391<7>t$e19=0=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=<6=44ob`94?=zj:i:6=4;:183!b42020D>jj;I1g=>N2i2.i>7j=;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f6e?290?6=4?{%f0><><@:nn7E=k9:&b0?1<a<o1<75f7683>>od13:17bmm:188yg5d?3:187>50z&g7???3A9oi6F<d89'e1<03`?n6=44i6594?=nk00;66alb;29?xd4k?0;694?:1y'`6<>02B8hh5G3e;8 d2=?2c>i7>5;h54>5<<aj31<75`cc83>>{e;jl1<7=50;2x a5=1<1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d98:188kfd=831vn>ml:187>5<7s-n86464H2ff?M5c12.j8794i4g94?=n?>0;66gl9;29?jee2900qo=lb;290?6=8r.o?777;I1ga>N4l01/m948;h7f>5<<a>=1<75fc883>>idj3:17pl<d483>6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7f6=83>1<7>t$e19===O;mo0D>j6;I7b?!d52m80(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm29`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:1k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:1?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:191<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:><1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:=o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:=i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:=k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:=21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:1i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:>o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:?21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:<81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e:=<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm28294?41290;w)j<:ba8L6bb3A9o56T:d;ax5=<613;j6<l5b;a9`?c=n3;;6<?5138~ d3=;2.j:7=4$`597>"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=<a>i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=<a181<7*l7;:0?ke12810e9;50;&`3?233gi=6=54i5194?"d?3>?7cm9:098m14=83.h;7:;;oa5>7=<a=;1<7*l7;67?ke12:10e9>50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=<a=21<7*l7;6b?ke12;10e9950;&`3?2f3gi=6>54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=<a=l1<7*l7;73?ke12810e9k50;&`3?373gi=6?54i5f94?"d?3?;7cm9:298m1e=83.h;7;?;oa5>1=<a<=1<7*l7;75?ke12910e8;50;&`3?313gi=6<54i4694?"d?3?=7cm9:398m05=83.h;7;9;oa5>6=<a<81<7*l7;75?ke12=10c5950;&`3?>13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=<g121<7*l7;::?ke12810c5j50;&`3?>d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=<g1o1<7*l7;:e?ke12810qo<7f;2963<729q/h>4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c<n7>5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=<h1eo;4?;:k7=?6=,j=18l5ac782?>o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=<h1eo;4;;:k65?6=,j=19=5ac783?>o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59<f=ik?0;76a7b;29 f1=0j1eo;4>;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>4?50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b<?5<a:ni6=44i2f`>5<<a>h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=<a1;1<7*l7;:3?ke12910e:h50;&`3?>73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=<a=?1<7*l7;67?ke12910e9=50;&`3?233gi=6<54i5094?"d?3>?7cm9:398m17=83.h;7:;;oa5>6=<a=:1<7*l7;67?ke12=10e9l50;&`3?2f3gi=6=54i5;94?"d?3>j7cm9:098m1>=83.h;7:n;oa5>7=<a==1<7*l7;6b?ke12:10e9850;&`3?2f3gi=6954i4394?"d?3?;7cm9:198m1`=83.h;7;?;oa5>4=<a=o1<7*l7;73?ke12;10e9j50;&`3?373gi=6>54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=<a<?1<7*l7;75?ke12810e8:50;&`3?313gi=6?54i4194?"d?3?=7cm9:298m04=83.h;7;9;oa5>1=<g1=1<7*l7;:5?ke12910c5;50;&`3?>13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=<g1n1<7*l7;:`?ke12910c5l50;&`3?>d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=<uk8247>52783>5}#l:0ho6F<dd9K7a?<R<n1ov?7:0;95d<6j3h1o7j5e;d955<693;96p*n5;18 d0=;2.j;7=4$`:97>o4lk0;66g<db83>>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c<j7>5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=<h1eo;4>;:k7<?6=,j=18l5ac781?>o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;<?6=,j=1445ac782?>i?l3:1(n958b9mg3<732e3n7>5$b59<f=ik?0:76a60;29 f1=0o1eo;4?;:m;a?6=,j=14k5ac782?>{e:0=1<7<9:183!b42ji0D>jj;I1g=>\2l3ip=54>9;3b>4d=j3i1h7k5f;33>47=9;0v(l;53:&b2?5<,h=1?6*n8;18m6be2900e>jl:188m2d=83.h;79n;oa5>5=<a>31<7*l7;5b?ke12810e:k50;&`3?1c3gi=6=54i6a94?"d?3=o7cm9:098m=7=83.h;76?;oa5>5=<a>l1<7*l7;:3?ke12810e5:50;&`3?>43gi=6=54i9094?"d?3287cm9:098m13=83.h;7:;;oa5>5=<a=91<7*l7;67?ke12810e9<50;&`3?233gi=6?54i5394?"d?3>?7cm9:298m16=83.h;7:;;oa5>1=<a=h1<7*l7;6b?ke12910e9750;&`3?2f3gi=6<54i5:94?"d?3>j7cm9:398m11=83.h;7:n;oa5>6=<a=<1<7*l7;6b?ke12=10e8?50;&`3?373gi=6=54i5d94?"d?3?;7cm9:098m1c=83.h;7;?;oa5>7=<a=n1<7*l7;73?ke12:10e9m50;&`3?373gi=6954i4594?"d?3?=7cm9:198m03=83.h;7;9;oa5>4=<a<>1<7*l7;75?ke12;10e8=50;&`3?313gi=6>54i4094?"d?3?=7cm9:598k=1=83.h;769;oa5>5=<g1?1<7*l7;:5?ke12810c5o50;&`3?>>3gi=6=54o9:94?"d?3227cm9:098k=b=83.h;76l;oa5>5=<g1h1<7*l7;:`?ke12810c4>50;&`3?>a3gi=6=54o9g94?"d?32m7cm9:098yg4>13:1>;4?:1y'`6<dk2B8hh5G3e;8^0b=kr;36<751`82f?d=k3n1i7h511825?752t.j97=4$`497>"f?390(l653:k0`g<722c8hn4?::k4f?6=,j=1;l5ac783?>o013:1(n957`9mg3<632c<i7>5$b593a=ik?0;76g8c;29 f1=?m1eo;4>;:k;5?6=,j=14=5ac783?>o0n3:1(n95819mg3<632c387>5$b59<6=ik?0;76g72;29 f1=0:1eo;4>;:k71?6=,j=1895ac783?>o3;3:1(n95459mg3<632c?>7>5$b5901=ik?0976g;1;29 f1=<=1eo;4<;:k74?6=,j=1895ac787?>o3j3:1(n954`9mg3<732c?57>5$b590d=ik?0:76g;8;29 f1=<h1eo;4=;:k73?6=,j=18l5ac780?>o3>3:1(n954`9mg3<332c>=7>5$b5915=ik?0;76g;f;29 f1==91eo;4>;:k7a?6=,j=19=5ac781?>o3l3:1(n95519mg3<432c?o7>5$b5915=ik?0?76g:7;29 f1==?1eo;4?;:k61?6=,j=19;5ac782?>o2<3:1(n95579mg3<532c>?7>5$b5913=ik?0876g:2;29 f1==?1eo;4;;:m;3?6=,j=14;5ac783?>i?=3:1(n95879mg3<632e3m7>5$b59<<=ik?0;76a78;29 f1=001eo;4>;:m;`?6=,j=14n5ac783?>i?j3:1(n958b9mg3<632e2<7>5$b59<c=ik?0;76a7e;29 f1=0o1eo;4>;:a6<3=838=6=4?{%f0>fe<@:nn7E=k9:X6`?e|910:57?n:0`9f?e=l3o1j7??:03957<z,h?1?6*n6;18 d1=;2.j47=4i2fa>5<<a:nh6=44i6`94?"d?3=j7cm9:198m2?=83.h;79n;oa5>4=<a>o1<7*l7;5g?ke12910e:m50;&`3?1c3gi=6<54i9394?"d?32;7cm9:198m2`=83.h;76?;oa5>4=<a1>1<7*l7;:0?ke12910e5<50;&`3?>43gi=6<54i5794?"d?3>?7cm9:198m15=83.h;7:;;oa5>4=<a=81<7*l7;67?ke12;10e9?50;&`3?233gi=6>54i5294?"d?3>?7cm9:598m1d=83.h;7:n;oa5>5=<a=31<7*l7;6b?ke12810e9650;&`3?2f3gi=6?54i5594?"d?3>j7cm9:298m10=83.h;7:n;oa5>1=<a<;1<7*l7;73?ke12910e9h50;&`3?373gi=6<54i5g94?"d?3?;7cm9:398m1b=83.h;7;?;oa5>6=<a=i1<7*l7;73?ke12=10e8950;&`3?313gi=6=54i4794?"d?3?=7cm9:098m02=83.h;7;9;oa5>7=<a<91<7*l7;75?ke12:10e8<50;&`3?313gi=6954o9594?"d?32=7cm9:198k=3=83.h;769;oa5>4=<g1k1<7*l7;::?ke12910c5650;&`3?>>3gi=6<54o9f94?"d?32h7cm9:198k=d=83.h;76l;oa5>4=<g0:1<7*l7;:e?ke12910c5k50;&`3?>a3gi=6<54}c0:0?6=:?0;6=u+d28`g>N4ll1C?i74Z4f9g~7?2831=l4>b;`9g?b=m3l1==4>1;31>x"f=390(l853:&b3?5<,h21?6g<dc83>>o4lj0;66g8b;29 f1=?h1eo;4?;:k4=?6=,j=1;l5ac782?>o0m3:1(n957e9mg3<732c<o7>5$b593a=ik?0:76g71;29 f1=091eo;4?;:k4b?6=,j=14=5ac782?>o?<3:1(n95829mg3<732c3>7>5$b59<6=ik?0:76g;5;29 f1=<=1eo;4?;:k77?6=,j=1895ac782?>o3:3:1(n95459mg3<532c?=7>5$b5901=ik?0876g;0;29 f1=<=1eo;4;;:k7f?6=,j=18l5ac783?>o313:1(n954`9mg3<632c?47>5$b590d=ik?0976g;7;29 f1=<h1eo;4<;:k72?6=,j=18l5ac787?>o293:1(n95519mg3<732c?j7>5$b5915=ik?0:76g;e;29 f1==91eo;4=;:k7`?6=,j=19=5ac780?>o3k3:1(n95519mg3<332c>;7>5$b5913=ik?0;76g:5;29 f1==?1eo;4>;:k60?6=,j=19;5ac781?>o2;3:1(n95579mg3<432c>>7>5$b5913=ik?0?76a77;29 f1=0?1eo;4?;:m;1?6=,j=14;5ac782?>i?i3:1(n95889mg3<732e347>5$b59<<=ik?0:76a7d;29 f1=0j1eo;4?;:m;f?6=,j=14n5ac782?>i>83:1(n958g9mg3<732e3i7>5$b59<c=ik?0:76sm28494?41290;w)j<:ba8L6bb3A9o56T:d;ax5=<613;j6<l5b;a9`?c=n3;;6<?5138~ d3=;2.j:7=4$`597>"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=<a>i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=<a181<7*l7;:0?ke12810e9;50;&`3?233gi=6=54i5194?"d?3>?7cm9:098m14=83.h;7:;;oa5>7=<a=;1<7*l7;67?ke12:10e9>50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=<a=21<7*l7;6b?ke12;10e9950;&`3?2f3gi=6>54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=<a=l1<7*l7;73?ke12810e9k50;&`3?373gi=6?54i5f94?"d?3?;7cm9:298m1e=83.h;7;?;oa5>1=<a<=1<7*l7;75?ke12910e8;50;&`3?313gi=6<54i4694?"d?3?=7cm9:398m05=83.h;7;9;oa5>6=<a<81<7*l7;75?ke12=10c5950;&`3?>13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=<g121<7*l7;::?ke12810c5j50;&`3?>d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=<g1o1<7*l7;:e?ke12810qo<62;2963<729q/h>4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c<n7>5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=<h1eo;4?;:k7=?6=,j=18l5ac782?>o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=<h1eo;4;;:k65?6=,j=19=5ac783?>o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59<f=ik?0;76a7b;29 f1=0j1eo;4>;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>5k50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b<?5<a:ni6=44i2f`>5<<a>h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=<a1;1<7*l7;:3?ke12910e:h50;&`3?>73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=<a=?1<7*l7;67?ke12910e9=50;&`3?233gi=6<54i5094?"d?3>?7cm9:398m17=83.h;7:;;oa5>6=<a=:1<7*l7;67?ke12=10e9l50;&`3?2f3gi=6=54i5;94?"d?3>j7cm9:098m1>=83.h;7:n;oa5>7=<a==1<7*l7;6b?ke12:10e9850;&`3?2f3gi=6954i4394?"d?3?;7cm9:198m1`=83.h;7;?;oa5>4=<a=o1<7*l7;73?ke12;10e9j50;&`3?373gi=6>54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=<a<?1<7*l7;75?ke12810e8:50;&`3?313gi=6?54i4194?"d?3?=7cm9:298m04=83.h;7;9;oa5>1=<g1=1<7*l7;:5?ke12910c5;50;&`3?>13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=<g1n1<7*l7;:`?ke12910c5l50;&`3?>d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=<uk82?7>52783>5}#l:0ho6F<dd9K7a?<R<n1ov?7:0;95d<6j3h1o7j5e;d955<693;96p*n5;18 d0=;2.j;7=4$`:97>o4lk0;66g<db83>>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c<j7>5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=<h1eo;4>;:k7<?6=,j=18l5ac781?>o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;<?6=,j=1445ac782?>i?l3:1(n958b9mg3<732e3n7>5$b59<f=ik?0:76a60;29 f1=0o1eo;4?;:m;a?6=,j=14k5ac782?>{e;k91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;hn1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3``94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;h31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;h?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;h;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;0n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;0=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;091<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;1l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;1h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;>n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;>31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;>?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;?=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;?91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;<l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;<h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;<?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;<;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;=n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;=31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;=?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;:l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;:h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;:=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;:91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;;l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;k21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;k:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;1>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;<21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e;;i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e9>;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=;6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;=j7>54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th::h4?:583>5}#l:02:6F<dd9K7a?<,h>1>6g:e;29?l142900e:950;9lgg<722wi=;j50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<8l:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?n9;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<<a?:1<75f7283>>o0?3:17bmm:188yg7f?3:197>50z&g7??03A9oi6F<d89'e1<53`?n6=44i7294?=n?:0;66g87;29?jee2900qo?n5;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<<a?:1<75f7283>>o0?3:17bmm:188yg7f;3:197>50z&g7??03A9oi6F<d89'e1<53`?n6=44i7294?=n?:0;66g87;29?jee2900qo?6e;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<<a?:1<75f7283>>o0?3:17bmm:188yg7>l3:197>50z&g7??03A9oi6F<d89'e1<53`?n6=44i7294?=n?:0;66g87;29?jee2900qo?6c;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<<a?:1<75f7283>>o0?3:17bmm:188yg7>j3:197>50z&g7??03A9oi6F<d89'e1<53`?n6=44i7294?=n?:0;66g87;29?jee2900qo?69;291?6=8r.o?776;I1ga>N4l01/m948;h7f>5<<a?:1<75f7683>>od13:17bmm:188yg70i3:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd6?>0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e91;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=o6=4;:183!b42020D>jj;I1g=>"f<3=0e8k50;9j32<722ch57>5;naa>5<<uk;<o7>54;294~"c;3337E=ke:J0`<=#i=0<7d;j:188m21=831bo44?::m`f?6=3th:h54?:583>5}#l:02:6F<dd9K7a?<,h>1>6g:e;29?l142900e:950;9lgg<722wi=i950;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<j9:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?k5;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<<a>91<75f7683>>idj3:17pl>d583>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm1e194?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c<?7>5;h54>5<<gjh1<75rb0d:>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0d4>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l86=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0d1>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l:6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0d3>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8om6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<<gjh1<75rb0g:>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0g4>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o=6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<<gjh1<75rbg194?2=83:p(i=5979K7ac<@:n27E;n;%`1>a4<,h>1>6g:e;29?l142900e:950;9lgg<722wij94?:583>5}#l:02:6F<dd9K7a?<@<k0(o<5d39'e1<53`?n6=44i6194?=n?>0;66alb;29?xda=3:187>50z&g7??13A9oi6F<d89K1d=#j;0o>6*n4;08m0c=831b;>4?::k43?6=3fii6=44}cd5>5<3290;w)j<:848L6bb3A9o56F:a:&a6?b53-k?6?5f5d83>>o0;3:17d98:188kfd=831vnk950;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e98;1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::a544=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10194?2=83:p(i=5979K7ac<@:n27E;n;%`1>a4<,h>1>6g:e;29?l142900e:950;9lgg<722wi=<:50;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e98?1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::aa<<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<<a>91<75f7683>>idj3:17plja;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<<ukoi6=4;:183!b420<0D>jj;I1g=>N2i2.i>7j=;%c7>7=n=l0;66g83;29?l102900cnl50;9~f`e=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66smee83>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:<?4?:583>5}#l:02:6F<dd9K7a?<@<k0(o<5d39'e1<53`?n6=44i6194?=n?>0;66alb;29?xd68:0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`241<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<<a>91<75f7683>>idj3:17pl>0483>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:<;4?:583>5}#l:02:6F<dd9K7a?<@<k0(o<5d39'e1<53`?n6=44i6194?=n?>0;66alb;29?xd6;?0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e9:?1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj89?6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;8?7>54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:??4?:583>5}#l:02:6F<dd9K7a?<,h>1>6g:e;29?l142900e:950;9lgg<722wi=<h50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<?j:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?>d;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<<a>91<75f7683>>idj3:17pl>1b83>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10`94?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c<?7>5;h54>5<<gjh1<75rb007>5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c317?6=<3:1<v*k3;;5?M5cm2B8h45+a581?l3b2900e:=50;9j32<722ehn7>5;|`267<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a577=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f447290?6=4?{%f0><0<@:nn7E=k9:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg74j3:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd6;h0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e9:31<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8936=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;8;7>54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:h44?:283>5}#l:0jh6F<dd9K7a?<,h>156g6e;29?l?a2900cn=50;9~f6dc29086=4?{%f0>db<@:nn7E=k9:&b0??<,j21?k94i8g94?=n1o0;66al3;29?xd4jl0;6>4?:1y'`6<fl2B8hh5G3e;8 d2=12.h47=i6:k:a?6=3`3m6=44ob194?=zj:n96=4<:183!b42hn0D>jj;I1g=>"f<330(n653g58m<c=831b5k4?::m`7?6=3th8h54?:283>5}#l:0jh6F<dd9K7a?<,h>156*l8;1e2>o>m3:17d7i:188kf5=831vn<:j:184>5<7s-n86o?4H2ff?M5c12.j8784i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|`20c<72>0;6=u+d28a5>N4ll1C?i74$`696g=n1l0;66g6f;29?lg72900el?50;9je7<722cj?7>5;na0>5<<uk;>57>53;294~"c;3ko7E=ke:J0`<=#i=08=6g6e;29?l?a2900cn=50;9~f43029086=4?{%f0>db<@:nn7E=k9:&b0?563`3n6=44i8d94?=hk:0;66sm14794?5=83:p(i=5ae9K7ac<@:n27)o;:238m<c=831b5k4?::m`7?6=3th:5;4?:483>5}#l:0jj6F<dd9K7a?<,h>1>95+c980bg=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=4650;494?6|,m91n=5G3eg8L6b>3-k?6?;4$b:97cd<a0o1<75f9g83>>of83:17do>:188md4=831do>4?::a5=b=83>1<7>t$e19e`=O;mo0D>j6;%c7>75<,j21?ho4i8g94?=n1o0;66gn0;29?je42900qo?7c;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`<?5bi2c2i7>5;h;e>5<<gj91<75rb055>5<3290;w)j<:`g8L6bb3A9o56*n4;7a?l?b2900e4h50;9je5<722eh?7>5;|`23c<72<0;6=u+d28bb>N4ll1C?i74$`6975=#k108i55f9d83>>o>n3:17do?:188md7=831do>4?::a524=83?1<7>t$e19ec=O;mo0D>j6;%c7>d=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=:750;794?6|,m91mk5G3eg8L6b>3-k?6<84$b:97c`<a0o1<75f9g83>>of83:17do>:188kf5=831vn<9m:187>5<7s-n86lk4H2ff?M5c12.j87;7;%a;>6`a3`3n6=44i8d94?=ni90;66al3;29?xd6k80;6>4?:1y'`6<fl2B8hh5G3e;8 d2=;81/o54<e89j=`<722c2j7>5;na0>5<<uk;9:7>53;294~"c;3ko7E=ke:J0`<=#i=0:h6*l8;1ff>o>m3:17d7i:188kf5=831vn<<7:187>5<7s-n86lk4H2ff?M5c12.j87=9;%a;>6ce3`3n6=44i8d94?=ni90;66al3;29?xd6;m0;6>4?:1y'`6<fl2B8hh5G3e;8 d2=9m1/o54<eb9j=`<722c2j7>5;na0>5<<uk;8j7>54;294~"c;3kn7E=ke:J0`<=#i=08:6*l8;1fg>o>m3:17d7i:188md6=831do>4?::a`g<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j<5f9d83>>o>n3:17bm<:188ygbf29086=4?{%f0>db<@:nn7E=k9:&b0?7c3`3n6=44i8d94?=hk:0;66smd683>6<729q/h>4nd:J0``=O;m30(l:51e9'g=<4n91b5h4?::k:b?6=3fi86=44}cf5>5<4290;w)j<:`f8L6bb3A9o56*n4;3g?!e?2:l87d7j:188m<`=831do>4?::a`0<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j>5f9d83>>o>n3:17bm<:188yg75j3:1:7>50z&g7?d73A9oi6F<d89'e1<402.h47=ia:k:a?6=3`3m6=44i`294?=ni80;66gn2;29?je42900qo?;2;292?6=8r.o?7l?;I1ga>N4l01/m94<8:&`<?5ak2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17bm<:188yg7513:197>50z&g7?ga3A9oi6F<d89'e1<582.h47=ia:k:a?6=3`3m6=44i`294?=ni80;66al3;29?xd6<90;684?:1y'`6<fn2B8hh5G3e;8 d2=:91/o54<fb9j=`<722c2j7>5;hc3>5<<ah;1<75`c283>>{e9191<7950;2x a5=j81C?ik4H2f:?!g32:>0e4k50;9j=c<722cj<7>5;hc2>5<<ah81<75fa283>>id;3:17pl>8683>2<729q/h>4m1:J0``=O;m30(l:52e9j=`<722c2j7>5;hc3>5<<ah;1<75fa383>>of;3:17bm<:188yg7fj3:197>50z&g7?ga3A9oi6F<d89'e1<4;2c2i7>5;h;e>5<<ah:1<75fa083>>id;3:17pl>ab83>2<729q/h>4m1:J0``=O;m30(l:5359j=`<722c2j7>5;hc3>5<<ah;1<75fa383>>of;3:17bm<:188yg7fm3:1?7>50z&g7?gc3A9oi6F<d89'e1<492.h47=i5:k:a?6=3`3m6=44ob194?=zj8km6=49:183!b42k:0D>jj;I1g=>"f<3997d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8h;6=48:183!b42k;0D>jj;I1g=>"f<3;?7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm15c94?1=83:p(i=5b09K7ac<@:n27)o;:49j=`<722c2j7>5;hc3>5<<ah;1<75fa383>>of;3:17bm<:188yg72;3:1;7>50z&g7?d63A9oi6F<d89'e1<><2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17do<:188kf5=831vn<li:184>5<7s-n86o?4H2ff?M5c12.j87?8;h;f>5<<a0l1<75fa183>>of93:17do=:188md5=831do>4?::a507=8391<7>t$e19ea=O;mo0D>j6;%c7><7<,j21?hk4i8g94?=n1o0;66al3;29?xd6<k0;6:4?:1y'`6<e92B8hh5G3e;8 d2=<2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17do<:188kf5=831vn<;i:185>5<7s-n86o>4H2ff?M5c12.j87?i;%a;>6`73`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f4e0290=6=4?{%f0>g6<@:nn7E=k9:&b0?7a3-i36>h>;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn<m9:186>5<7s-n86lh4H2ff?M5c12.j877=;%a;>6`c3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?l3;292?6=8r.o?7l?;I1ga>N4l01/m94<c:&`<?5b12c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17bm<:188yg72j3:1;7>50z&g7?d63A9oi6F<d89'e1<6k2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17do<:188kf5=831vn<:l:185>5<7s-n86o>4H2ff?M5c12.j87=i;%a;>6c03`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f42c290>6=4?{%f0>d`<@:nn7E=k9:&b0??f3-i36>k8;h;f>5<<a0l1<75fa183>>of93:17bm<:188yg72i3:197>50z&g7?ga3A9oi6F<d89'e1<6;2.h47=ie:k:a?6=3`3m6=44i`294?=ni80;66al3;29?xd6im0;6;4?:1y'`6<e82B8hh5G3e;8 d2=02.h47=jf:k:a?6=3`3m6=44i`294?=ni80;66gn2;29?je42900qo?md;297?6=8r.o?7ok;I1ga>N4l01/m94=7:&`<?5bl2c2i7>5;h;e>5<<gj91<75rb0:7>5<2290;w)j<:`d8L6bb3A9o56*n4;1b?!e?2:ln7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3;1?6==3:1<v*k3;ce?M5cm2B8h45+a5807>"d039m46g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2<3<72?0;6=u+d28a4>N4ll1C?i74$`691f=#k108j55f9d83>>o>n3:17do?:188md7=831bm?4?::m`7?6=3th:454?:683>5}#l:0i=6F<dd9K7a?<,h>1?o5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3af?6=?3:1<v*k3;`2?M5cm2B8h45+a581e>o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zj8h>6=48:183!b42k;0D>jj;I1g=>"f<38m7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1b694?3=83:p(i=5ag9K7ac<@:n27)o;:0g8 f>=;o80e4k50;9j=c<722cj<7>5;hc2>5<<gj91<75rb0a6>5<2290;w)j<:`d8L6bb3A9o56*n4;1:?!e?2:l97d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3ae?6=?3:1<v*k3;`2?M5cm2B8h45+a58:7>o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zjm21<7=50;2x a5=im1C?ik4H2f:?!g328n0(n653g68m<c=831b5k4?::m`7?6=3th:844?:683>5}#l:0i=6F<dd9K7a?<,h>1>n5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3a<?6==3:1<v*k3;ce?M5cm2B8h45+a5801>"d039mh6g6e;29?l?a2900el>50;9je4<722eh?7>5;|`20=<72<0;6=u+d28bb>N4ll1C?i74$`696`=#k108ih5f9d83>>o>n3:17do?:188md7=831do>4?::a5f4=8391<7>t$e19ea=O;mo0D>j6;%c7>67<,j21?hj4i8g94?=n1o0;66al3;29?xd6m:0;684?:1y'`6<fn2B8hh5G3e;8 d2=;l1/o54<f89j=`<722c2j7>5;hc3>5<<ah;1<75`c283>>{e9l?1<7850;2x a5=j91C?ik4H2f:?!g32<l0(n653g;8m<c=831b5k4?::kb4?6=3`k:6=44i`094?=hk:0;66sm1e`94?2=83:p(i=5ad9K7ac<@:n27)o;:2f8 f>=;o?0e4k50;9j=c<722cj<7>5;na0>5<<uk;om7>53;294~"c;3ko7E=ke:J0`<=#i=08=6*l8;1fb>o>m3:17d7i:188kf5=831vni750;194?6|,m91mi5G3eg8L6b>3-k?6>?4$b:97c2<a0o1<75f9g83>>id;3:17plk4;297?6=8r.o?7ok;I1ga>N4l01/m94<1:k:a?6=3`3m6=44ob194?=zj8h26=48:183!b42k;0D>jj;I1g=>"f<39<7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1`694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e9h21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm1`494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<<a>=1<75`cc83>>{e9>?1<7:50;2x a5=il1C?ik4H2f:?!g32>20(n653d:8m<c=831b5k4?::kb4?6=3fi86=44}c11f?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c175?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c163?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15`?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;7?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:=?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bb?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a1?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a3?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a=?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c11a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c104?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c106?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c100?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c102?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c174?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c170?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c172?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c164?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c166?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c160?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c162?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c154?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c156?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c150?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c152?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c144?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c146?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c140?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c142?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;4?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;6?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;2?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;e?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:4?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:6?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:0?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:2?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:g?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:a?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b4?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b6?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b0?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b2?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b<?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1be?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bg?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1ba?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a6?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a0?6=>3:1<v*k3;`3?M5cm2B8h45+a586=>o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c3:e?6=;3:1<v*k3;cg?M5cm2B8h45+a582`>o>m3:17d7i:188kf5=831vn<6m:181>5<7s-n86l74H2ff?M5c12c2h7>5;na0>5<<uk;mn7>52;294~"c;3k27E=ke:J0`<=n1m0;66al3;29?xd6nm0;6?4?:1y'`6<f12B8hh5G3e;8m<b=831do>4?::a522=8381<7>t$e19e<=O;mo0D>j6;h;g>5<<gj91<75rb07`>5<3290;w)j<:c18L6bb3A9o56g6e;29?l?a2900enj50;9lg6<722wi=8j50;494?6|,m91n=5G3eg8L6b>3-k?6<h4i8g94?=n1o0;66gn0;29?lg62900el<50;9lg6<722wi=8k50;594?6|,m91n<5G3eg8L6b>3-k?6<;4i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|q7f1<72>qU8o:4=2a3>f?<5:i:6n74=2a1>f?<5:n86n74=2ag>f?<5:hm6:=4}r`;>5<6nr78hk46b:?0g5<0?278oo487:?0gf<0?278o;487:?0g2<0?278o5487:?1=6<?:2795>474:?1<`<?:2794h474:?1=7<?:2795?474:?1=3<?:2795;474:?1=1<?:27959474:?1=0<?:27958474:?1=<<?:27954474:?1=2<?:2795:474:?1==<?:27955474:?1=4<?:2795<474:?1<c<?:2794k474:?1=5<?:2795=474:p540=833p1<?m:b`894ge2h;01<ok:8d894dc20o01<l7:8g894e520l01<l6:`1894da20l01<m9:8g8yv76?3:1mv3>1b8`f>;6ik02j63>b98:b>;6j00j>63>bg8b4>;6k?02j63>ae8b4>;6jk02i63>b48:a>;6jh02i6s|10:94??|58;o6nl4=0c`><`<58kn64h4=0a0>d7<58i?6l?4=0a6>d7<58h264h4=0a2><c<58hm64k4}r32=?6=?r7:=h4lb:?2ef<f;27:mk4n2:?2g2<f927:o>4n0:?2g1<f827:o846f:p54g=83>p1<?i:b`894gd2h;01<oi:8d894e020l0q~?=d;29`~;6;;0hn63>508:b>;6<j0j<63>4e8:a>;60=02j63>488b6>;6<102j63>5d8b7>;6=:0j=63>5c8:b>;6=h02i63>848b4>;60?02j6s|13g94?b|58986nl4=06b>d5<58>o6l>4=0:7>d6<582=6l>4=06:>d6<58?o6l>4=076><`<58?86l<4=07a>d6<58>h64h4=07b><`<582>64h4}r31b?6=1r7:?94lb:?212<>m27:8n46e:?21a<f:27:9h4n2:?2<6<f;27:4:4n0:?216<f827:9o46e:p566=83=p1<=::b`8943>20o01<;i:`28943c20l01<;j:8g894>42h;01<68:8d8yv7493:18v3>378`f>;6=o02i63>828:a>;60>02i6s|3ca94?7>s482?7=kc:?1<`<4lj16>4<53ea897?12:nh70<64;1gg>;51<08hn5228;97ae<5;3<6>jl;<0:<?5ck2795<4<db9>6=`=;mi01?7?:2f`?87b>3<;70?j7;43?87b03<;70?j9;43?87bn3<;70?i0;43?87a93<;70?i2;43?87a;3<;70?i7;43?87a03<;70?i9;43?87c13i87p}>b283>7}:9k91oo5Q4`28yv7dl3:1>vP;b99>5a5=kk1v<mj:181[2e127:h94lb:p5f`=838pR9ln;<3g1?ee3ty:h=4?:3y]0gd<58n=6nl4}r3g5?6=:rT?nn521e59gg=z{8n96=4={_6aa>;6l10hn6s|1g694?72s482?7;;;<0;a?333482>7;;;<0:2?33348287;;;<0:1?33348257;;;<0:3?33348247;;;<0:5?333483j7;;;<0:4?3334;m;7mm;<fb><c<58i864h4=0a7><c<58i>6l>4=e;9=c=:9k315h521b39=c=:9kl1m>5rs0d6>5<6;r795>4:5:?1<`<2=2795?4:5:?1=3<2=279594:5:?1=0<2=279544:5:?1=2<2=279554:5:?1=4<2=2794k4:5:?1=5<2=27:j54lb:?gf??b34nj64h4=0a4>d6<58i864k4=0a7><`<58i>64k4}r3e2?6=99q6>4=5569>6=c==>16>4<5569>6<0==>16>4:5569>6<3==>16>475569>6<1==>16>465569>6<7==>16>5h5569>6<6==>16=k75cc9>56d=?>16ho46f:?2g2<>m2wx?ol50;6:843>3<;70<;7;43?842:3<;70<:d;43?84103<;70<83;43?840m3<;70<79;43?84?k3<;70<7d;43?84303<;70<;9;43?843i3<;70<;b;43?843k3<;70<;d;43?843m3<;70<;f;43?84283<;70<:1;43?842;3<;70<:4;43?842=3<;70<:6;43?842?3<;70<:8;43?84213<;70<:a;43?842j3<;70<:c;43?842m3<;70<:f;43?84183<;70<91;43?841:3<;70<93;43?841<3<;70<95;43?841>3<;70<97;43?84113<;70<9a;43?841j3<;70<9c;43?841l3<;70<9e;43?841n3<;70<80;43?84093<;70<82;43?840<3<;70<85;43?840>3<;70<87;43?84003<;70<89;43?840i3<;70<8b;43?840k3<;70<8d;43?840n3<;70<70;43?84?93<;70<72;43?84?;3<;70<74;43?84?=3<;70<76;43?84??3<;70<78;43?84?i3<;70<7b;43?870>3i87p}>8883>1}:9131oo5216;9=`=:9>>15i521609=c=z{8=o6=4={_6:3>;6?m0hn6s|17494?4|V=k<70?9c;aa?xu6>>0;6?uQ4`:8940c2jh0q~?98;296~X3i016=;k5cc9~w40>2909wS:na:?22c<dj2wx=;o50;0xZ1ge34;<<7mm;|q22g<72;qU8lj4=052>fd<uz;2j7>52dy>6<5=<816>4=5469>6<5=<m16>5k5409>6=c=<>16>5k54e9>6<4=<816>4<5469>6<4=<m16>485409>6<0=<>16>4854e9>6<2=<816>4:5469>6<2=<m16>4;5409>6<3=<>16>4;54e9>6<?=<816>475469>6<?=<m16>495409>6<1=<>16>4954e9>6<>=<816>465469>6<>=<m16>4?5409>6<7=<>16>4?54e9>6=`=<816>5h5469>6=`=<m16>4>5409>6<6=<>16>4>54e9>5d5=kk16h846e:?20a<f927:494n1:?20<<f927o877i;<36f?g434;?o7o=;<36e?g634;3:7o=;|q2e5<72;3p1?7<:50897?42=201?7<:5g897>b2=801?6j:5:897>b2=o01?7=:50897?52=201?7=:5g897?12=801?79:5:897?12=o01?7;:50897?32=201?7;:5g897?22=801?7::5:897?22=o01?76:50897?>2=201?76:5g897?02=801?78:5:897?02=o01?77:50897??2=201?77:5g897?62=801?7>:5:897?62=o01?6i:50897>a2=201?6i:5g897?72=801?7?:5:897?72=o01<o::b`89a0=1l16h846f:?2<2<f;27:9o4n2:p5d7=8382w0<63;60?84>;3>270<63;6e?84?m3>870<7e;6:?84?m3>m70<62;60?84>:3>270<62;6e?84>>3>870<66;6:?84>>3>m70<64;60?84><3>270<64;6e?84>=3>870<65;6:?84>=3>m70<69;60?84>13>270<69;6e?84>?3>870<67;6:?84>?3>m70<68;60?84>03>270<68;6e?84>93>870<61;6:?84>93>m70<7f;60?84?n3>270<7f;6e?84>83>870<60;6:?84>83>m70?n7;aa?8b020o01i859g9>5=1=i;16=8h5a09~w4g529095v3=92871>;51:0?n63=92865>;50l0?963=8d87f>;50l0>=63=93871>;51;0?n63=93865>;51?0?963=9787f>;51?0>=63=95871>;51=0?n63=95865>;51<0?963=9487f>;51<0>=63=98871>;5100?n63=98865>;51>0?963=9687f>;51>0>=63=99871>;5110?n63=99865>;5180?963=9087f>;5180>=63=8g871>;50o0?n63=8g865>;5190?963=9187f>;5190>=63>a88`f>;6:=0<;63k7;;e?872n33m70?77;c2?xu6nl0;6?uQ45g897212jh0q~<?9;296~X3=016>995cc9~w7732909wS:94:?117<dj2wx><h50;0xZ10a348>h7mm;|q16d<72;qU8:o4=34;>fd<uz8897>52z\7<0=::>91oo5rs363>5<5sW>2<63=7d8`f>{t:=91<7<t^5;7?84?13ii7p}=4583>7}Y<0?01?6l:b`8yv43=3:1>vP;979>6=b=kk1v<hi:181[23n279854lb:p656=838pR9;?;<07=?ee3ty9<<4?:3y]007<5;>j6nl4}r036?6=:rT?9?5225`9gg=z{;:86=4={_667>;5<j0hn6s|21694?4|V=??70<;d;aa?xu58<0;6?uQ4478972b2jh0q~<?6;296~X3=?16>9h5cc9~w7602909wS::7:?115<dj2wx>=650;0xZ13?348>=7mm;|q14d<72;qU88o4=370>fd<uz8;n7>52z\71g=::<>1oo5rs32`>5<5sW>>o63=548`f>{t:9n1<7<t^57g?842>3ii7p}=0d83>7}Y<<o01?;8:b`8yv47n3:1>vP;5g9>60>=kk1v???:181[218279944lb:p647=838pR98>;<06e?ee3ty9=?4?:3y]034<5;?i6nl4}r027?6=:rT?:>5224a9gg=z{;;>6=4={_651>;5=l0hn6s|20494?4|V=<=70<:f;aa?xu59>0;6?uQ475897072jh0q~<>8;296~X3>116>;?5cc9~w77>2909wS:99:?127<dj2wx><o50;0xZ10f348=?7mm;|q15g<72;qU8;l4=347>fd<uz8:o7>52z\72f=::??1oo5rs33g>5<5sW>=h63=678`f>{t:8o1<7<t^54f?841?3ii7p}=2183>7}Y<>:01?86:b`8yv4593:1>vP;709>63g=kk1v?<=:181[20:279:o4lb:p675=838pR99<;<05g?ee3ty9>94?:3y]022<5;<o6nl4}r011?6=:rT?;85227g9gg=z{;8=6=4={_642>;5>o0hn6s|23594?4|V==<70<80;aa?xu5:10;6?uQ46:897162jh0q~<=9;296~X3?016>:<5cc9~w74e2909wS:8b:?131<dj2wx>?m50;0xZ11d348<97mm;|q16a<72;qU8:j4=355>fd<uz89i7>52z\73`=::>=1oo5rs30e>5<5sW><j63=798`f>{t:::1<7<t^5:3?84013ii7p}=3083>7}Y<1;01?9n:b`8yv44:3:1>vP;839>62d=kk1v?=<:181[2?;279;n4lb:p662=838pR96;;<04`?ee3ty9?;4?:3y]0=0<5;=m6nl4}r003?6=:rT?4:522929gg=z{;936=4={_6;<>;5080hn6s|22;94?4|V=2270<72;aa?xu5;h0;6?uQ49c897>42jh0q~<<b;296~X30k16>5:5cc9~w75d2909wS:7c:?1<0<dj2wx>>j50;0xZ1>c3483:7mm;|q17`<72;qU85k4=3:4>fd<uz88j7>52z\7<c=::121oo5rs362>5<5sW>2=63=8`8`f>{t:=81<7<t^5;0?84?j3ii7p}<c083>1}:9k81o4521c19g<=:;j81;:523b39gg=z{:hm6=4={<1ab?ee34;i<77j;|q0g0<7283p1<66:b;896e?2jh01<8l:618940c2>901<8j:618940a2>901<9?:61894162>901<76:b;894?e2>901<7l:61894?c2>901<7j:61894g42>901<o::61894g02>901<o6:618941d2j301<9k:b;894>62>901<98:618941f2>901<o;:61894g?2>901<o9:618yv5d<3:1=8u23b59gg=:9;:1;>52133936=:9;81;>52131936=:9;>1;>52120936=:9:91;>52126936=:9:?1;>52124936=:9981;>52111936=:99>1;>52117936=:99<1;>52e8847>;bi3=870km:6189`e=?:16ii483:p7f5=833:w0=l6;aa?843>3=870<;7;50?842:3=870<:d;50?84103=870<83;50?840m3=870<79;50?84?k3=870<7d;50?84303=870<;9;50?843i3=870<;b;50?843k3=870<;d;50?843m3=870<;f;50?84283=870<:1;50?842;3=870<:4;50?842=3=870<:6;50?842?3=870<:8;50?84213=870<:a;50?842j3=870<:c;50?842m3=870<:f;50?84183=870<91;50?841:3=870<93;50?841<3=870<95;50?841>3=870<97;50?84113=870<9a;50?841j3=870<9c;50?841l3=870<9e;50?841n3=870<80;50?84093=870<82;50?840<3=870<85;50?840>3=870<87;50?84003=870<89;50?840i3=870<8b;50?840k3=870<8d;50?840n3=870<70;50?84?93=870<72;50?84?;3=870<74;50?84?=3=870<76;50?84??3=870<78;50?84?i3=870<7b;50?855i3=870==c;50?853:3=870=:8;50?851m3=870=74;50?85>i3=870=m0;50?85e>3=870=m8;50?855l3=870==f;50?85493=870=<3;50?854=3=870=<7;50?85413=870=<b;50?854l3=870=<f;50?853;3=870=;5;50?853?3=870=;9;50?853j3=870=;d;50?853n3=870=:1;50?852;3=870=:5;50?85213=870=:b;50?852l3=870=:f;50?85193=870=93;50?851=3=870=97;50?85113=870=9b;50?851n3=870=81;50?850;3=870=85;50?850?3=870=89;50?850j3=870=8d;50?850n3=870=71;50?85?=3=870=77;50?85?13=870=7b;50?85?l3=870=7f;50?85>93=870=63;50?85>=3=870=67;50?85>j3=870=6d;50?85>n3=870=n1;50?85f;3=870=n5;50?85f?3=870=n9;50?85fj3=870=nd;50?85e93=870=m3;50?xu4kh0;6<=t=2a`>fd<58n86:=4=0f7>25<58n>6:=4=0f5>25<58n<6:=4=0f;>25<58o=6n74=0g4>25<58o36:=4=0g:>25<58om6n74=0d3>25<58l:6:=4=0d1>25<58l86:=4=0d4>25<58l36:=4=0d:>25<uz9h57>514y>7fd=kk16=>95729>56>=?:16=>75729>56g=?:16=>l5729>54d=?:16=<m5729>54b=?:16=<k5729>54`=?:16=<?5729>544=?:16=<=5729>542=?:16=<;5729>b6<0;27m879<;<d6>25<5o<1;>52f6847>{t<j:1<7=t^5a3?87c133n70?nf;c3?xu3j>0;6>kt^5`4?87e:3?n70?m3;7f?85d83?n70=k5;7f?85dj3?n70=lc;7f?85d93?n70=k6;7f?85d:3?n70=k3;7f?85en3?n70<63;1gf>;50l08ho5228097ad<5;3=6>jm;<0:0?5cj279584<dc9>6<?=;mh01?78:2fa?84>039on63=9080`g=::1l1?il4=3;3>6be34;o?7;j;<3g0?3b34;o97;j;<3g2?3b34;o;7;j;<3g<?3b34;n:7;j;<3f3?3b34;n47;j;<3f=?3b34;nj7;j;<3e4?3b34;m=7;j;<3e6?3b34;m?7;j;<3e3?3b34;m47;j;<3e=?3b34;8;7;j;<30<?3b34;857;j;<30e?3b34;8n7;j;<32f?3b34;:o7;j;<32`?3b34;:i7;j;<32b?3b34;:=7;j;<326?3b34;:?7;j;<320?3b34;:97;j;<d0>0c<5o>19h52f486a>;a>3?n70h8:4g8yv2fn3:18kuQ4`d8941a2h;01<96:`38941e2h:01<9::8d8964e2h:01>:>:`2896302h:01>8k:`2896>42h:01>76:`2896ga2h:01>l::`2896d02h:01>l6:`28964b2h:01>=?:`2896552h:01>=;:`2896512h:01>=7:`28965f2h:01>=l:`28965b2h:01>:?:`2896232h:01>:9:`28962?2h:01>:n:`28962d2h:01>:j:`2896372h:01>;=:`2896332h:01>;9:`28963f2h:01>;l:`28963b2h:01>8?:`2896052h:01>8;:`2896012h:01>87:`28960f2h:01>8l:`2896172h:01>9=:`2896132h:01>99:`28961?2h:01>9n:`28961d2h:01>9j:`2896>72h:01>6=:`2896>12h:01>67:`2896>f2h:01>6l:`2896>b2h:01>7?:`2896?52h:01>7;:`2896?12h:01>77:`2896?d2h:01>7j:`2896g72h:01>o=:`2896g32h:01>o9:`2896g?2h:01>on:`2896gd2h:01>oj:`2896d52h:01>l;:`28941120o01<9=:8g8yv2f>3:1o>uQ4`4894>>2<o01>mi:4g896e12<o01>m8:4g896e?2<o01>j?:4g896ec2<o01?:9:4g897202<o01?;=:4g8973c2<o01?87:4g897142<o01?9j:4g897>>2<o01?6l:4g897>c2<o01?:7:4g8972>2<o01?:n:4g8972e2<o01?:l:4g8972c2<o01?:j:4g8972a2<o01?;?:4g897362<o01?;<:4g897332<o01?;::4g897312<o01?;8:4g8973?2<o01?;6:4g8973f2<o01?;m:4g8973d2<o01?;j:4g8973a2<o01?8?:4g897062<o01?8=:4g897042<o01?8;:4g897022<o01?89:4g897002<o01?86:4g8970f2<o01?8m:4g8970d2<o01?8k:4g8970b2<o01?8i:4g897172<o01?9>:4g897152<o01?9;:4g897122<o01?99:4g897102<o01?97:4g8971>2<o01?9n:4g8971e2<o01?9l:4g8971c2<o01?9i:4g897>72<o01?6>:4g897>52<o01?6<:4g897>32<o01?6::4g897>12<o01?68:4g897>?2<o01?6n:4g897>e2<o01><n:4g8964d2<o01>:=:4g8963?2<o01>8j:4g896>32<o01>7n:4g896d72<o01>l9:4g896d?2<o01><k:4g8964a2<o01>=>:4g896542<o01>=::4g896502<o01>=6:4g8965e2<o01>=k:4g8965a2<o01>:<:4g896222<o01>:8:4g8962>2<o01>:m:4g8962c2<o01>:i:4g896362<o01>;<:4g896322<o01>;6:4g8963e2<o01>;k:4g8963a2<o01>8>:4g896042<o01>8::4g896002<o01>86:4g8960e2<o01>8i:4g896162<o01>9<:4g896122<o01>98:4g8961>2<o01>9m:4g8961c2<o01>9i:4g896>62<o01>6::4g896>02<o01>66:4g896>e2<o01>6k:4g896>a2<o01>7>:4g896?42<o01>7::4g896?02<o01>7m:4g896?c2<o01>7i:4g896g62<o01>o<:4g896g22<o01>o8:4g896g>2<o01>om:4g896gc2<o01>l>:4g896d42<o01<8l:4g8940c2<o01<8j:4g8940a2<o01<9?:4g894162<o01<76:4g894?e2<o01<7l:4g894?c2<o01<7j:4g894g42<o01<o::4g894g02<o01<o6:4g8941d2<o01<9k:4g894>62<o01<98:4g8941f2<o01<<?:4g894462<o01<<=:4g894442<o01<<;:4g894552<o01<=<:4g894532<o01<=::4g894512<o01<>=:4g894642<o01<>;:4g894622<o01<>9:4g89`?==l16il4:e:?ff?3b34oh68k4=df91`=:9h>19h521`:91`=:9h<19h5rs527>5<5sW>;863=8d84=>{t<9l1<7<t^52e?84?m3=i7p};1`83>7}Y<8k01?6j:6a8yv25=3:1>vP;249>6=c=?l1v9=?:181[2482794h48f:p06d=838pR9=m;<0;a?>63ty?8;4?:3y]010<5;396:74}r67e?6=:rT?8l5228093g=z{=>i6=4={_67f>;51;0<o6s|45a94?4|V=>h70<62;5f?xu38<0;6?uQ417897?52>l0q~:?6;296~X38?16>4<5809~w1602909wS:?7:?1=6<012wx8=650;0xZ16?3482?79m;|q74<<72;qU8=74=3;0>2e<uz>;m7>52z\74d=::091;h5rs52a>5<5sW>;n63=9284b>{t<9i1<7<t^52`?84>;32:7p};0e83>7}Y<9n01?7;:6;8yv27m3:1>vP;0d9>6<2=?k1v9??:181[2682795948c:p047=838pR9?>;<0:0?1b3ty?=?4?:3y]044<5;3?6:h4}r627?6=:rT?=>522869<4=z{=;?6=4={_620>;51<0<56s|40794?4|V=;>70<65;5a?xu39?0;6?uQ404897?22>i0q~:>7;296~X39>16>4;57d9~w17?2909wS:>8:?1=0<0n2wx8<750;0xZ17>3482976>;|q75g<72;qU8<l4=3;5>2?<uz>:o7>52z\75f=::0<1;o5rs53g>5<5sW>:h63=9784g>{t<8o1<7<t^53f?84>>3=n7p};1g83>7}Y<8l01?79:6d8yv2583:1>vP;219>6<0=081v9<>:181[2592795:489:p074=838pR9<=;<0:3?1e3ty?>>4?:3y]075<5;3<6:m4}r610?6=:rT?>95228593`=z{=8=6=4={_612>;51>0<j6s|43594?4|V=8<70<67;:2?xu3:10;6?uQ43:897??2>30q~:=9;296~X3:016>4657c9~w14f2909wS:=a:?1==<0k2wx8?l50;0xZ14e3482479j;|q76f<72;qU8?m4=3;;>2`<uz>9h7>52z\76a=::0214<5rs50f>5<5sW>9i63=9884=>{t<;l1<7<t^50e?84>13=i7p};3083>7}Y<:;01?76:6a8yv24:3:1>vP;339>6<?=?l1v9=<:181[24;2795448f:p062=838pR9=;;<0:=?>63ty??84?:3y]063<5;2m6:74}r602?6=:rT??;5229d93g=z{=9<6=4={_603>;50o0<o6s|42:94?4|V=9370<7f;5f?xu3;00;6?uQ42;897>a2>l0q~:<a;296~X3;h16>5h5809~w15d2909wS:<c:?1=5<012wx8>j50;0xZ15c3482<79m;|q77`<72;qU8>k4=3;3>2e<uz>8j7>52z\77c=::0:1;h5rs563>5<5sW>?<63=9184b>{t<=;1<7<t^562?84>832:7p};4383>7}Y<=801?7>:6;8yv23;3:1>vP;429>6<7=?k1v9:;:181[23<2795<48c:p013=838pR9::;<0:5?1b3ty?8:4?:3y]011<5;3:6:h4}r67=?6=:rT?84522839<4=z{82:6=48{<3;5?ee34;?j77j;<37e??a34;?n77i;<37=??b34;?477j;<37a??b3ty:;:4?:47x94102jh01<:i:8d8941a2h:01<96:8d8941e20o01<:n:8g8942e20o01<:6:8d8942?2h;01<9::`28964e2h;01>:>:`3896302h;01>8k:`3896>42h;01>76:`3896ga2h;01>l::`3896d02h;01>l6:`38964b2h;01>=?:`3896552h;01>=;:`3896512h;01>=7:`38965f2h;01>=l:`38965b2h;01>:?:`3896232h;01>:9:`38962?2h;01>:n:`38962d2h;01>:j:`3896372h;01>;=:`3896332h;01>;9:`38963f2h;01>;l:`38963b2h;01>8?:`3896052h;01>8;:`3896012h;01>87:`38960f2h;01>8l:`3896172h;01>9=:`3896132h;01>99:`38961?2h;01>9n:`38961d2h;01>9j:`3896>72h;01>6=:`3896>12h;01>67:`3896>f2h;01>6l:`3896>b2h;01>7?:`3896?52h;01>7;:`3896?12h;01>77:`3896?d2h;01>7j:`3896g72h;01>o=:`3896g32h;01>o9:`3896g?2h;01>on:`3896gd2h;01>oj:`3896d52h;01>l;:`38942b20l01<99:`2894152h;0q~?7e;2951}:9031oo521849=c=:9021m=5219f9=c=:91i15k521439=`=:9=n15k521969=`=:9=31m>5215:9e5=:l=02i63>9`8:b>;60k02h63>5d8b4>;6=:0j?63>5c8b5>;6<j0j=63>5`8b4>;60<0j=63>878b5>{t91l1<77t=0;a>fd<58k86:94=0;5>d6<58336l?4=0:g>d6<582h64k4=0c7>21<582>64k4=0:5><c<uz;2<7>57z?2=f<dj27:m8487:?2=3<f927:554n2:?2<a<>m27:m;487:?2<6<f:2wx=4?50;4x94?c2jh01<o8:65894?120o01<77:8g894g?2>=01<6<:`28yv7>:3:18v3>9d8`f>;6i00<;63>998:b>;60:02j6s|19094?4|58226:94=0:;>f5<uz;oo7>510y>5`0=kk16=k>5769>5f0=i816=ol59g9>`=<>m27:n54n1:?2a6<f827:i84n1:?2`g<>n27:hl46e:?g=??b34;i57o>;<3e`??c34;ij7o=;<3b`?g534;i977i;<3ae??a3ty:hi4?:7y>5`1=kk16=k?5769>5dc=1l16=h=59g9>5`3=i;16=il59d9~w4bb290>w0?j8;aa?87a:3=<70?nf;c2?87b;33n70?j5;;f?xu6lo0;69u21d;9gg=:9o91;:521`d9=`=:9l?15k5rs0gb>5<as4;nj7mm;<3bf?g734;ih77i;<f;><`<58h36l>4=0a1><c<58o86l?4=0g6>d6<58ni6l>4=0fb><`<58h26l>4=0da><b<58hm6l?4=0a5>d6<58ko6l?4}r3ff?6=:r7:j=4lb:?2eg<>m2wx=hm50;1x94`62jh01<h8:65894gd20o0q~?jd;297~;6n;0hn63>f9843>;6ij0j>6s|1dg94?5|58l86nl4=0d:>21<58kh6l>4}r3a6?6=;r7:n?4lb:?2`<<>n27:mi46e:p5g7=839p1<l=:65894d42>=01<l?:b18yv5dm3:1>v3<ce843>;4jm0h?6s|3e394?2|5:i=6n74=2a4>f?<5:i36n74=2f1>f5<uz9o87>52z?0`6<0?278nh4l3:p7a1=839p1>mm:b;896ed2j301>j7:b18yv5c83:1>v3<d18`f>;4l;02j6s|3bd94?5|5:n;6:94=2ae>fd<5:ho64h4}r1``?6=<r78ok487:?0ga<dj278ni46e:?0`7<>m2wx?i850;0x96b12jh01>j7:8d8yv5c=3:1?v3<d7843>;4l<0hn63<bd8:b>{t;m91<7:t=2f6>21<5:n86nl4=2`f><c<5:n364k4}r1`6?6=:r78nk487:?0g7<dj2wx?n>50;0x96e62>=01>m?:b`8yv5ei3:1hv3>9c854>;61j0=<63>9e854>;61l0=<63>a2854>;6i<0=<63>a6854>;6i00=<63>738`7>;6i=0=<63>a9854>;6i?0=<63>898b4>{t:l81<7=t=365>21<5:8j6nl4=20a>d4<uz8nh7>53z?102<0?278>n4lb:?004<f:2wx>k650;1x97352>=01>:=:b`896302h80q~=?3;297~;5=m0<;63<598`f>;4>m0j>6s|31g94?5|5;<36:94=24f>fd<5:286l<4}r12=?6=;r79;>487:?0<1<dj278544n2:p772=839p1?9j:65896?f2jh01>oi:`08yv55?3:1?v3=88843>;4j90hn63<b48b6>{t;;21<7=t=3:`>21<5:h=6nl4=2`4>d4<uz9957>53z?1<a<0?278n54lb:?0f<<f:2wx>h=50;1x972?2>=01><k:b`8964b2h80q~<j4;297~;5<00<;63<2g8`f>;4;90j>6s|2d794?5|5;>j6:94=212>fd<5:996l<4}r0f2?6=;r798o487:?076<dj278?94n2:p6`1=839p1?:l:65896522jh01>=9:`08yv4b03:1?v3=4e843>;4;>0hn63<398b6>{t:l31<7=t=36f>21<5:926nl4=21b>d4<uz8nm7>53z?10c<0?278?o4lb:?07f<f:2wx>hl50;1x97372>=01>=k:b`8965b2h80q~<jc;297~;5=80<;63<3g8`f>;4<90j>6s|2dg94?5|5;?86:94=260>fd<5:>?6l<4}r0fb?6=;r7999487:?000<dj2788;4n2:p6c6=839p1?;::65896202jh01>:7:`08yv4a93:1?v3=57843>;4<00hn63<4`8b6>{t:o81<7=t=374>21<5:>i6nl4=26`>d4<uz8m?7>53z?11=<0?2788i4lb:?00`<f:2wx>k:50;1x973>2>=01>:i:b`896372h80q~<i5;297~;5=h0<;63<508`f>;4=;0j>6s|2g494?5|5;?i6:94=270>fd<5:??6l<4}r0e3?6=;r799n487:?010<dj2789;4n2:p6c?=839p1?;j:658963>2jh01>;n:`08yv4ai3:1?v3=5g843>;4=k0hn63<5b8b6>{t:oh1<7=t=343>21<5:?o6nl4=27f>d4<uz8mo7>53z?124<0?2789k4lb:?025<f:2wx>kj50;1x97052>=01>8>:b`896052h80q~<ie;297~;5>:0<;63<628`f>;4>=0j>6s|2gd94?5|5;<?6:94=246>fd<5:<=6l<4}r134?6=;r79:8487:?022<dj278:54n2:p757=839p1?89:658960>2jh01>8n:`08yv57:3:1?v3=66843>;4>k0hn63<6b8b6>{t;9>1<7=t=34:>21<5:<m6nl4=253>d4<uz9;97>53z?12d<0?278;<4lb:?037<f:2wx?=850;1x970e2>=01>9<:b`896132h80q~=?7;297~;5>j0<;63<748`f>;4??0j>6s|31:94?5|5;<o6:94=254>fd<5:=36l<4}r13=?6=;r79:h487:?03<<dj278;l4n2:p75g=839p1?8i:658961e2jh01>9l:`08yv57j3:1?v3=71843>;4?m0hn63<7d8b6>{t;9i1<7=t=352>21<5:=m6nl4=2:3>d4<uz9;h7>53z?137<0?2784<4lb:?0<7<f:2wx?=h50;1x97132>=01>6::b`896>12h80q~=>0;297~;5?<0<;63<868`f>;4010j>6s|30394?5|5;==6:94=2::>fd<5:2j6l<4}r126?6=;r79;:487:?0<g<dj2784n4n2:p745=839p1?97:65896>c2jh01>6j:`08yv56<3:1?v3=78843>;40o0hn63<918b6>{t;8?1<7=t=35b>21<5:3:6nl4=2;1>d4<uz9::7>53z?13g<0?2785>4lb:?0=1<f:2wx?<950;1x971d2>=01>7::b`896?12h80q~=>8;297~;5?m0<;63<968`f>;4110j>6s|30c94?5|5;=m6:94=2;a>fd<5:3h6l<4}r12f?6=;r794=487:?0=a<dj2785h4n2:p74e=839p1?6>:65896?a2jh01>o?:`08yv56l3:1?v3=83843>;4i80hn63<a38b6>{t;8o1<7=t=3:0>21<5:k86nl4=2c7>d4<uz9:j7>53z?1<1<0?278m84lb:?0e3<f:2wx??>50;1x97>22>=01>o8:b`896g?2h80q~==1;297~;50?0<;63<a88`f>;4ih0j>6s|33094?5|5;2<6:94=2ca>fd<5:kh6l<4}r117?6=;r7945487:?0ea<dj278mh4n2:p773=839p1?6n:65896d62jh01>l=:`08yv55>3:1?v3=8c843>;4j:0hn63<b58b6>{t:0k1<7<t=3:f>=3<5:8i64k4}r0b1?6=:r794h477:?004<>m2wx>o>50;0x97>b21201>;8:8g8yv4ej3:1>v3=8d8;e>;4>m02i6s|2b494?4|5;2n65l4=2:0><c<uz8o=7>52z?1<`<?l2785446e:p6ae=838p1?7=:97896ga20o0q~<kf;296~;51;03;63<b48:a>{t:l:1<7<t=3;1>=><5:h<64k4}r0f5?6=:r795?47a:?0f<<>m2wx>4l50;0x97?521h01><j:8g8yv4>k3:1>v3=938;`>;4;902i6s|28f94?4|5;3865;4=211><c<uz82i7>52z?1=6<??278?946e:p6<`=838p1?7<:9:8965120o0q~<n0;296~;51:03m63<398:a>{t:h;1<7<t=3;0>=d<5:9j64k4}r0b6?6=:r795>47d:?07f<>m2wx>l=50;0x97?321?01>=j:8g8yv4f<3:1>v3=958;3>;4<902i6s|2`494?4|5;3?6564=267><c<uz8j;7>52z?1=1<?i2788;46e:p6d>=838p1?7;:9`8962?20o0q~<n9;296~;51=03h63<4`8:a>{t:hk1<7<t=3;6>=3<5:>h64k4}r0bf?6=:r7958477:?00`<>m2wx>lm50;0x97?221201>;?:8g8yv4fl3:1>v3=948;e>;4=;02i6s|2`g94?4|5;3>65l4=277><c<uz8jj7>52z?1=0<?l2789;46e:p6g7=838p1?79:978963f20o0q~<m2;296~;51?03;63<5b8:a>{t:k91<7<t=3;5>=><5:?n64k4}r0a0?6=:r795;47a:?025<>m2wx>o;50;0x97?121h01>8=:8g8yv4e>3:1>v3=978;`>;4>=02i6s|2c594?4|5;3<65;4=245><c<uz8i47>52z?1=2<??278:546e:p6g?=838p1?78:9:8960f20o0q~<ma;296~;51>03m63<6b8:a>{t:ki1<7<t=3;4>=d<5:=;64k4}r0a`?6=:r795:47d:?037<>m2wx>ok50;0x97??21?01>9;:8g8yv4en3:1>v3=998;3>;4??02i6s|2b294?4|5;336564=25;><c<uz8h=7>52z?1==<?i278;l46e:p6f4=838p1?77:9`8961d20o0q~<l3;296~;51103h63<7d8:a>{t:j>1<7<t=3;:>=3<5:2;64k4}r0`1?6=:r7954477:?0<7<>m2wx>n950;0x97?>21201>69:8g8yv4d03:1>v3=988;e>;40102i6s|2b;94?4|5;3265l4=2:b><c<uz8hm7>52z?1=<<?l2784n46e:p6fd=838p1?6i:97896>b20o0q~<lc;296~;50o03;63<918:a>{t:jn1<7<t=3:e>=><5:3964k4}r0`a?6=:r794k47a:?0=1<>m2wx>nh50;0x97>a21h01>79:8g8yv4c83:1>v3=8g8;`>;41102i6s|2e094?4|5;3;65;4=2;`><c<uz8o?7>52z?1=5<??2785h46e:p6a2=838p1?7?:9:896g720o0q~<k5;296~;51903m63<a38:a>{t:m<1<7<t=3;3>=d<5:k?64k4}r0g3?6=:r795=47d:?0e3<>m2wx>i650;0x97?621?01>o7:8g8yv4c13:1>v3=908;3>;4ih02i6s|2ec94?4|5;3:6564=2c`><c<uz8on7>52z?1=4<?i278mh46e:p6ab=838p1?7>:9`896d520o0q~<ke;296~;51803h63<b58:a>{t9==1<7=t=07e>f5<58>n6l=4=06e>d5<uz;?:7>53z?20c<f927:9n4l3:?20`<f92wx=9;50;1x942b2h801<:i:`08943e2j90q~?;4;297~;6=h0h?63>4d8b4>;6<o0j<6s|14:94?4|58?26n=4=06a>d7<uz;>:7>53z?212<d;27:8l4n0:?20g<f82wx=8:50;1x94322j901<:n:`38943d2jn0q~?:0;296~;6<h0j>63>508`7>{t9?:1<7<t=04`>21<58>36n=4}r355?6=:r7::i487:?20<<d;2wx=;<50;0x940b2>=01<:n:b18yv71;3:1>v3>6g843>;6<k0h?6s|17694?4|58=;6:94=06f>f5<uz;=97>52z?234<0?27:8k4l3:p5<5=838p1<7m:65894>d2j90q~?64;296~;61j0<;63>8e8`7>{t90?1<7<t=0;g>21<583=6n=4}r3:3?6=:r7:5h487:?2==<d;2wx=:650;0x94102>=01<96:b18yv70m3:1?v3>7b843>;6?m0<;63>7g8`7>{t91:1<7=t=0:2>21<58=j6:94=05a>f5<uz;<m7>54gy>52g=kk16=:859g9>52`=1o16=:75a19>52d=1o16=:;59d9>77d=1o16?9?59g9>701=1o16?;j59g9>7=5=1o16?4759g9>7d`=1o16?o;59g9>7g1=1o16?o759g9>77c=1o16?>>59g9>764=1o16?>:59g9>760=1o16?>659g9>76g=1o16?>m59g9>76c=1o16?9>59g9>712=1o16?9859g9>71>=1o16?9o59g9>71e=1o16?9k59g9>706=1o16?8<59g9>702=1o16?8859g9>70g=1o16?8m59g9>70c=1o16?;>59g9>734=1o16?;:59g9>730=1o16?;659g9>73g=1o16?;m59g9>726=1o16?:<59g9>722=1o16?:859g9>72>=1o16?:o59g9>72e=1o16?:k59g9>7=6=1o16?5<59g9>7=0=1o16?5659g9>7=g=1o16?5m59g9>7=c=1o16?4>59g9>7<4=1o16?4:59g9>7<0=1o16?4659g9>7<e=1o16?4k59g9>7d6=1o16?l<59g9>7d2=1o16?l859g9>7d>=1o16?lo59g9>7de=1o16?lk59g9>7g4=1o16?o:59g9>524=i91v<9l:181870k3ii70?8f;;f?xu6j=0;6?u21e:932=:9k?1o>5rs0`4>5<4s4;h;7m<;<3af?g434;i97o<;|q2f3<72:q6=n=5c29>5gd=i;16=o;5a39~w4e72908w0?l1;a0?87ej3k:70?m5;c2?xu6jj0;69u21cf9g6=:9kh1m=521c79e5=:9kk1m=5rs0a;>5<5s4;o8798;<3a<?e43ty:o44?:3y>5a3=?>16=o75c29~w4ef2909w0?k6;54?87ei3i87p}>cc83>7}:9m=1;:521c`9g6=z{8ih6=4={<3g7?1034;h>7m<;|q2a5<72;q6=h85769>5ag=k:1v<k>:18187b?3=<70?kb;a0?xu6m;0;6?u21d:932=:9l91o>5rs0g7>5<5s4;n5798;<3f1?e43ty:8<4?:3y>565=?>16=9>5c29~w45b2909w0?<4;54?874n3i87p}>3b83>7}:9:?1;:5212f9g6=z{88j6=4={<32g?1034;957m<;|q262<72;q6=<j5769>57>=k:1v<<::181876m3=<70?=6;a0?xuck3:1>v3>21843>;c<3i87p}kd;296~;6:80<;63k5;a0?xucm3:1>v3>23843>;c>3i87p}kf;296~;6::0<;63k7;a0?xub83:1>v3>36843>;c03i87p}j1;296~;6;10<;63k9;a0?xub:3:1>v3>38843>;ci3i87p}j3;296~;6;h0<;63kb;a0?xu6<:0;6?u2120932=:9=81o>5rs00`>5<5s4;:n798;<31f?e43tyni7>52z?e7?1034;9<7mm;|qfb?6=:r7m8798;<315?ee3tym<7>52z?e1?1034;9>7mm;|qe5?6=:r7m:798;<317?ee3tym>7>52z?e3?1034;987mm;|qe`?6=:r7:<?4lb:?207<>m2wxjh4?:2y>555=kk16=9<59g9>516=1l1vkh50;6x94632jh01<=i:`2894252h:01<:?:8d8yv7783:19v3>048`f>;6;m02i63>3g8:a>;6<;0j=63>418b4>{t99;1<78t=015>21<58:=6nl4=01g><`<589m64h4=061>d4<58>;6l?4}rd;>5<5s4;;>798;<g:>fd<uzl26=4={<337?1034oj6nl4}rdb>5<5s4;;8798;<ga>fd<uzli6=4={<331?1034oh6nl4}rd`>5<5s4;;:798;<gg>fd<uzo?6=4={<g:>21<589<6nl4}rg6>5<5s4oj6:94=01;>fd<uzo=6=4={<ga>21<58926nl4}rg4>5<5s4oh6:94=01b>fd<uzo36=4={<gg>21<589i6nl4}r33g?6=:r7:=<4lb:?26g<>m2wx==j50;1x94752jh01<<m:8d8944>20o0q~??e;290~;69:0hn63>298b4>;6:k0j<63>288:b>{t99l1<7;t=037>fd<588=64k4=00;><c<588i6l?4=00:>d6<uz;:<7>56z?25c<0?27:=84lb:?263<>n27:>546f:?26g<f:27:>44n1:p551=838p1<?>:6589c5=kk1v<>7:181876:3=<70h;:b`8yv7713:1>v3>12843>;a=3ii7p}>0`83>7}:98>1;:52f78`f>{t99h1<7<t=036>21<5o=1oo5rs0db>5<bs482?7;=;<0;a?353482>7;=;<0:2?35348287;=;<0:1?35348257;=;<0:3?35348247;=;<0:5?353483j7;=;<0:4?3534;nj798;<3ef?e43ty:jn4?:ey>6<5==:16>5k5529>6<4==:16>485529>6<2==:16>4;5529>6<?==:16>495529>6<>==:16>4?5529>6=`==:16>4>5529>5cb=k:1v<6n:1811~;51:0?<63=92872>;51:0?o63=8d874>;50l0?:63=8d87g>;51;0?<63=93872>;51;0?o63=97874>;51?0?:63=9787g>;51=0?<63=95872>;51=0?o63=94874>;51<0?:63=9487g>;5100?<63=98872>;5100?o63=96874>;51>0?:63=9687g>;5110?<63=99872>;5110?o63=90874>;5180?:63=9087g>;50o0?<63=8g872>;50o0?o63=91874>;5190?:63=9187g>;60k0h?6s|19194?4|58286n=4=0:;><c<uz;3;7>52z?2<2<d;27:454n3:p5dg=838p1<om:b1894d72h;0q~?nb;296~;6ij0h?63>b18b6>{t9hi1<7<t=0cg>f5<58h;6l=4}r3b`?6=:r7:mh4l3:?2f5<>n2wx=lk50;0x94ga2j901<l?:`28yv72:3:1>v3>528`7>;6=o0j>6s|1cg94?4|58hm6n=4=0a4>d4<uzh<6=4={<37f?g434;?o7m<;|qae?6=:r7:8o4n2:?20a<d;2wxnk4?:2y>5f0=k:16=n=5a39>5gg=i81vn>50;0x94>32j901<67:`08yve62909w0?75;a0?87?033m7p}m9;296~;60?0h?63>898b5>{tjk0;6?u21b69g6=:9kk1m>5rsca94?4|58i>6n=4=0`b>d4<uz;j87>53z?2e1<dj27:9846e:?216<>m2wx=l650;6x94g?2jh01<;6:8d8943c20o01<;j:`38yv7f>3:19v3>a78`f>;6=>02j63>5e8b5>;6=l02j63>528:b>{t9>?1<7<t=056>f5<583j64k4}r347?6=<hq6??o5619>77e=>916?9<5619>70>=>916?;k5619>7=2=>916?4o5619>7g6=>916?o85619>7g>=>916??j5619>77`=>916?>?5619>765=>916?>;5619>761=>916?>75619>76d=>916?>j5619>76`=>916?9=5619>713=>916?995619>71?=>916?9l5619>71b=>916?9h5619>707=>916?8=5619>703=>916?875619>70d=>916?8j5619>70`=>916?;?5619>735=>916?;;5619>731=>916?;75619>73d=>916?;h5619>727=>916?:=5619>723=>916?:95619>72?=>916?:l5619>72b=>916?:h5619>7=7=>916?5;5619>7=1=>916?575619>7=d=>916?5j5619>7=`=>916?4?5619>7<5=>916?4;5619>7<1=>916?4l5619>7<b=>916?4h5619>7d7=>916?l=5619>7d3=>916?l95619>7d?=>916?ll5619>7db=>916?o?5619>7g5=>916=475619>522=k:1v><m:181855i3=<70==b;a0?xu4<80;6?u233a932=:;=;1o>5rs274>5<5s49?>798;<163?e43ty8:i4?:3y>70>=?>16?;j5c29~w6>42909w0=9e;54?85?;3i87p}<9883>7}:;1>1;:5238;9g6=z{:km6=4={<1:e?10349jj7m<;|q0f0<72;q6?o>5769>7g3=k:1v>l8:18185e>3=<70=m7;a0?xu4j00;6?u23c:932=:;k31o>5rs20f>5<5s499h798;<11a?e43ty8?=4?:3y>77`=?>16?>>5c29~w6552909w0=<1;54?854:3i87p}<3583>7}:;:91;:523269g6=z{:9=6=4={<101?103498:7m<;|q07=<72;q6?>95769>76>=k:1v>=n:18185413=<70=<a;a0?xu4;j0;6?u232`932=:;:i1o>5rs21f>5<5s498h798;<10a?e43ty88=4?:3y>76`=?>16?9>5c29~w6232909w0=;3;54?853<3i87p}<4783>7}:;=?1;:523549g6=z{:>36=4={<173?10349?47m<;|q00d<72;q6?975769>71g=k:1v>:l:181853j3=<70=;c;a0?xu4<l0;6?u235f932=:;=o1o>5rs273>5<5s49?j798;<164?e43ty89?4?:3y>707=?>16?8<5c29~w6332909w0=:3;54?852<3i87p}<5783>7}:;<?1;:523449g6=z{:?j6=4={<16=?10349>m7m<;|q01f<72;q6?8l5769>70e=k:1v>;j:181852l3=<70=:e;a0?xu4>90;6?u234d932=:;?:1o>5rs241>5<5s49==798;<156?e43ty8:94?:3y>735=?>16?;:5c29~w6012909w0=95;54?851>3i87p}<6983>7}:;?=1;:5237:9g6=z{:<j6=4={<15=?10349=m7m<;|q02f<72;q6?;l5769>73e=k:1v>9?:181851n3=<70=80;a0?xu4?;0;6?u2363932=:;>81o>5rs257>5<5s49<?798;<140?e43ty8;;4?:3y>723=?>16?:85c29~w61?2909w0=87;54?85003i87p}<7`83>7}:;>31;:5236c9g6=z{:=h6=4={<14f?10349<o7m<;|q03`<72;q6?:j5769>72c=k:1v>6?:181850n3=<70=70;a0?xu40;0;6?u2393932=:;181o>5rs2:5>5<5s4939798;<1;2?e43ty8454?:3y>7=1=?>16?565c29~w6>f2909w0=79;54?85?i3i87p}<8b83>7}:;1h1;:5239a9g6=z{:2n6=4={<1;`?103493i7m<;|q0=5<72;q6?5h5769>7<6=k:1v>7=:18185>93=<70=62;a0?xu41=0;6?u2381932=:;0>1o>5rs2;5>5<5s4929798;<1:2?e43ty8554?:3y>7<1=?>16?465c29~w6?d2909w0=6b;54?85>k3i87p}<9d83>7}:;0n1;:5238g9g6=z{:k;6=4={<1:b?10349j<7m<;|q0e7<72;q6?l?5769>7d4=k:1v>o;:18185f;3=<70=n4;a0?xu4i?0;6?u23`7932=:;h<1o>5rs2c;>5<5s49j;798;<1b<?e43ty8ml4?:3y>7d?=?>16?lo5c29~w6gd2909w0=nb;54?85fk3i87p}<ad83>7}:;hn1;:523`g9g6=z{:h96=4={<1a5?10349i>7m<;|q0f1<72;q6?o=5769>7g2=k:1v<7n:18187>13=<70?6a;a0?xuel3:1>v3>5e8`7>;6=j02i6s|bd83>7}:9<o1o>5214a9=c=zughh97>51zJ0`<=zfki=6=4>{I1g=>{ijj=1<7?tH2f:?xhek10;6<uG3e;8ykdd13:1=vF<d89~jgef290:wE=k9:mffd=83;pD>j6;|lagf<728qC?i74}o```?6=9rB8h45rncaf>5<6sA9o56sabbd94?7|@:n27p`md183>4}O;m30qclk1;295~N4l01vboj=:182M5c12weni=50;3xL6b>3tdih94?:0yK7a?<ugho97>51zJ0`<=zfkn=6=4>{I1g=>{ijm=1<7?tH2f:?xhel10;6<uG3e;8ykdc13:1=vF<d89~jgbf290:wE=k9:mfad=83;pD>j6;|la`f<728qC?i74}o`g`?6=9rB8h45rncff>5<6sA9o56sabed94?7|@:n27p`me183>4}O;m30qclj1;295~N4l01vbok=:182M5c12wenh=50;3xL6b>3tdii94?:0yK7a?<ughn97>51zJ0`<=zfko=6=4>{I1g=>{ijl=1<7?tH2f:?xhem10;6<uG3e;8ykdb13:1=vF<d89~jgcf290:wE=k9:mf`d=83;pD>j6;|laaf<728qC?i74}o`f`?6=9rB8h45rncgf>5<6sA9o56sabdd94?7|@:n27p`mf183>4}O;m30qcli1;295~N4l01vboh=:182M5c12wenk=50;3xL6b>3tdij94?:0yK7a?<ughm97>51zJ0`<=zfkl=6=4>{I1g=>{ijo=1<7?tH2f:?xhen10;6<uG3e;8ykda13:1=vF<d89~jg`f290:wE=k9:mfcd=83;pD>j6;|labf<728qC?i74}o`e`?6=9rB8h45rncdf>5<6sA9o56sabgd94?7|@:n27p`l0183>4}O;m30qcm?1;295~N4l01vbn>=:182M5c12weo==50;3xL6b>3tdh<94?:0yK7a?<ugi;97>51zJ0`<=zfj:=6=4>{I1g=>{ik9=1<7?tH2f:?xhd810;6<uG3e;8yke713:1=vF<d89~jf6f290:wE=k9:mg5d=83;pD>j6;|l`4f<728qC?i74}oa3`?6=9rB8h45rnb2f>5<6sA9o56sac1d94?7|@:n27p`l1183>4}O;m30qcm>1;295~N4l01vbn?=:182M5c12weo<=50;3xL6b>3tdh=94?:0yK7a?<ugi:97>51zJ0`<=zfj;=6=4>{I1g=>{ik8=1<7?tH2f:?xhd910;6<uG3e;8yke613:1=vF<d89~jf7f290:wE=k9:mg4d=83;pD>j6;|l`5f<728qC?i74}oa2`?6=9rB8h45rnb3f>5<6sA9o56sac0d94?7|@:n27p`l2183>4}O;m30qcm=1;295~N4l01vbn<=:182M5c12weo?=50;3xL6b>3tdh>94?:0yK7a?<ugi997>51zJ0`<=zfj8=6=4>{I1g=>{ik;=1<7?tH2f:?xhd:10;6<uG3e;8yke513:1=vF<d89~jf4f290:wE=k9:mg7d=83;pD>j6;|l`6f<728qC?i74}oa1`?6=9rB8h45rnb0f>5<6sA9o56sac3d94?7|@:n27p`l3183>4}O;m30qcm<1;295~N4l01vbn==:182M5c12weo>=50;3xL6b>3tdh?94?:0yK7a?<ugi897>51zJ0`<=zfj9=6=4>{I1g=>{ik:=1<7?tH2f:?xhd;10;6<uG3e;8yke413:1=vF<d89~jf5f290:wE=k9:mg6d=83;pD>j6;|l`7f<728qC?i74}oa0`?6=9rB8h45rnb1f>5<6sA9o56sac2d94?7|@:n27p`l4183>4}O;m30qcm;1;295~N4l01vbn:=:182M5c12weo9=50;3xL6b>3tdh894?:0yK7a?<ugi?97>51zJ0`<=zfj>=6=4>{I1g=>{ik==1<7?tH2f:?xhd<10;6<uG3e;8yke313:1=vF<d89~jf2f290:wE=k9:mg1d=83;pD>j6;|l`0f<728qC?i74}oa7`?6=9rB8h45rnb6f>5<6sA9o56sac5d94?7|@:n27p`l5183>4}O;m30qcm:1;295~N4l01vbn;=:182M5c12weo8=50;3xL6b>3tdh994?:0yK7a?<ugi>97>51zJ0`<=zfj?=6=4>{I1g=>{ik<=1<7?tH2f:?xhd=10;6<uG3e;8yke213:1=vF<d89~jf3f290:wE=k9:mg0d=83;pD>j6;|l`1f<728qC?i74}oa6`?6=9rB8h45rnb7f>5<6sA9o56sac4d94?7|@:n27p`l6183>4}O;m30qcm91;295~N4l01vbn8=:182M5c12weo;=50;3xL6b>3tdh:94?:0yK7a?<ugi=97>51zJ0`<=zfj<=6=4>{I1g=>{ik?=1<7?tH2f:?xhd>10;6<uG3e;8yke113:1=vF<d89~jf0f290:wE=k9:mg3d=83;pD>j6;|l`2f<728qC?i74}oa5`?6=9rB8h45rnb4f>5<6sA9o56sac7d94?7|@:n27p`l7183>4}O;m30qcm81;295~N4l01vbn9=:182M5c12weo:=50;3xL6b>3tdh;94?:0yK7a?<ugi<97>51zJ0`<=zfj==6=4>{I1g=>{ik>=1<7?tH2f:?xhd?10;6<uG3e;8yke013:1=vF<d89~jf1f290:wE=k9:mg2d=83;pD>j6;|l`3f<728qC?i74}oa4`?6=9rB8h45rnb5f>5<6sA9o56sac6d94?7|@:n27p`l8183>4}O;m30qcm71;295~N4l01vbn6=:182M5c12weo5=50;3xL6b>3tdh494?:0yK7a?<ugi397>51zJ0`<=zfj2=6=4>{I1g=>{ik1=1<7?tH2f:?xhd010;6<uG3e;8yke?13:1=vF<d89~jf>f290:wE=k9:mg=d=83;pD>j6;|l`<f<728qC?i74}oa;`?6=9rB8h45rnb:f>5<6sA9o56sac9d94?7|@:n27p`l9183>4}O;m30qcm61;295~N4l01vbn7=:182M5c12weo4=50;3xL6b>3tdh594?:0yK7a?<ugi297>51zJ0`<=zfj3=6=4>{I1g=>{ik0=1<7?tH2f:?xhd110;6<uG3e;8yke>13:1=vF<d89~jf?f290:wE=k9:mg<d=83;pD>j6;|l`=f<728qC?i74}oa:`?6=9rB8h45rnb;f>5<6sA9o56sac8d94?7|@:n27p`la183>4}O;m30qcmn1;295~N4l01vbno=:182M5c12weol=50;3xL6b>3tdhm94?:0yK7a?<ugij97>51zJ0`<=zfjk=6=4>{I1g=>{ikh=1<7?tH2f:?xhdi10;6<uG3e;8ykef13:1=vF<d89~jfgf290:wE=k9:mgdd=83;pD>j6;|l`ef<728qC?i74}oab`?6=9rB8h45rnbcf>5<6sA9o56sac`d94?7|@:n27p`lb183>4}O;m30qcmm1;295~N4l01vbnl=:182M5c12weoo=50;3xL6b>3tdhn94?:0yK7a?<ugii97>51zJ0`<=zfjh=6=4>{I1g=>{ikk=1<7?tH2f:?xhdj10;6<uG3e;8ykee13:1=vF<d89~jfdf290:wE=k9:mggd=83;pD>j6;|l`ff<728qC?i74}oaa`?6=9rB8h45rnb`f>5<6sA9o56saccd94?7|@:n27p`lc183>4}O;m30qcml1;295~N4l01vbnm=:182M5c12weon=50;3xL6b>3tdho94?:0yK7a?<ugih97>51zJ0`<=zfji=6=4>{I1g=>{ikj=1<7?tH2f:?xhdk10;6<uG3e;8yked13:1=vF<d89~jfef290:wE=k9:mgfd=83;pD>j6;|l`gf<728qC?i74}oa``?6=9rB8h45rnbaf>5<6sA9o56sacbd94?7|@:n27p`ld183>4}O;m30qcmk1;295~N4l01vbnj=:182M5c12weoi=50;3xL6b>3tdhh94?:0yK7a?<ugio97>51zJ0`<=zfjn=6=4>{I1g=>{ikm=1<7?tH2f:?xhdl10;6<uG3e;8ykec13:1=vF<d89~jfbf290:wE=k9:mgad=83;pD>j6;|l``f<728qC?i74}oag`?6=9rB8h45rnbff>5<6sA9o56saced94?7|@:n27p`le183>4}O;m30qcmj1;295~N4l01vbnk=:182M5c12weoh=50;3xL6b>3tdhi94?:0yK7a?<ugin97>51zJ0`<=zfjo=6=4>{I1g=>{ikl=1<7?tH2f:?xhdm10;6<uG3e;8ykeb13:1=vF<d89~jfcf290:wE=k9:mg`d=83;pD>j6;|l`af<728qC?i74}oaf`?6=9rB8h45rnbgf>5<6sA9o56sacdd94?7|@:n27p`lf183>4}O;m30qcmi1;295~N4l01vbnh=:182M5c12weok=50;3xL6b>3tdhj94?:0yK7a?<ugim97>51zJ0`<=zfjl=6=4>{I1g=>{iko=1<7?tH2f:?xhdn10;6<uG3e;8ykea13:1=vF<d89~jf`f290:wE=k9:mgcd=83;pD>j6;|l`bf<728qC?i74}oae`?6=9rB8h45rnbdf>5<6sA9o56sacgd94?7|@:n27p`k0183>4}O;m30qcj?1;295~N4l01vbi>=:182M5c12weh==50;3xL6b>3tdo<94?:0yK7a?<ugn;97>51zJ0`<=zfm:=6=4>{I1g=>{il9=1<7?tH2f:?xhc810;6<uG3e;8ykb713:1=vF<d89~ja6f290:wE=k9:m`5d=83;pD>j6;|lg4f<728qC?i74}of3`?6=9rB8h45rne2f>5<6sA9o56sad1d94?7|@:n27p`k1183>4}O;m30qcj>1;295~N4l01vbi?=:182M5c12weh<=50;3xL6b>3tdo=94?:0yK7a?<ugn:97>51zJ0`<=zfm;=6=4>{I1g=>{il8=1<7?tH2f:?xhc910;6<uG3e;8ykb613:1=vF<d89~ja7f290:wE=k9:m`4d=83;pD>j6;|lg5f<728qC?i74}of2`?6=9rB8h45rne3f>5<6sA9o56sad0d94?7|@:n27p`k2183>4}O;m30qcj=1;295~N4l01vbi<=:182M5c12weh?=50;3xL6b>3tdo>94?:0yK7a?<ugn997>51zJ0`<=zfm8=6=4>{I1g=>{il;=1<7?tH2f:?xhc:10;6<uG3e;8ykb513:1=vF<d89~ja4f290:wE=k9:m`7d=83;pD>j6;|lg6f<728qC?i74}of1`?6=9rB8h45rne0f>5<6sA9o56sad3d94?7|@:n27p`k3183>4}O;m30qcj<1;295~N4l01vbi==:182M5c12weh>=50;3xL6b>3tdo?94?:0yK7a?<ugn897>51zJ0`<=zfm9=6=4>{I1g=>{il:=1<7?tH2f:?xhc;10;6<uG3e;8ykb413:1=vF<d89~ja5f290:wE=k9:m`6d=83;pD>j6;|lg7f<728qC?i74}of0`?6=9rB8h45rne1f>5<6sA9o56sad2d94?7|@:n27p`k4183>4}O;m30qcj;1;295~N4l01vbi:=:182M5c12weh9=50;3xL6b>3tdo894?:0yK7a?<ugn?97>51zJ0`<=zfm>=6=4>{I1g=>{il==1<7?tH2f:?xhc<10;6<uG3e;8ykb313:1=vF<d89~ja2f290:wE=k9:m`1d=83;pD>j6;|lg0f<728qC?i74}of7`?6=9rB8h45rne6f>5<6sA9o56sad5d94?7|@:n27p`k5183>4}O;m30qcj:1;295~N4l01vbi;=:182M5c12weh8=50;3xL6b>3tdo994?:0yK7a?<ugn>97>51zJ0`<=zfm?=6=4>{I1g=>{il<=1<7?tH2f:?xhc=10;6<uG3e;8ykb213:1=vF<d89~ja3f290:wE=k9:m`0d=83;pD>j6;|lg1f<728qC?i74}of6`?6=9rB8h45rne7f>5<6sA9o56sad4d94?7|@:n27p`k6183>4}O;m30qcj91;295~N4l01vbi8=:182M5c12weh;=50;3xL6b>3tdo:94?:0yK7a?<ugn=97>51zJ0`<=zfm<=6=4>{I1g=>{il?=1<7?tH2f:?xhc>10;6<uG3e;8ykb113:1=vF<d89~ja0f290:wE=k9:m`3d=83;pD>j6;|lg2f<728qC?i74}of5`?6=9rB8h45rne4f>5<6sA9o56sad7d94?7|@:n27p`k7183>4}O;m30qcj81;295~N4l01vbi9=:182M5c12weh:=50;3xL6b>3tdo;94?:0yK7a?<ugn<97>51zJ0`<=zfm==6=4>{I1g=>{il>=1<7?tH2f:?xhc?10;6<uG3e;8ykb013:1=vF<d89~ja1f290:wE=k9:m`2d=83;pD>j6;|lg3f<728qC?i74}of4`?6=9rB8h45rne5f>5<6sA9o56sad6d94?7|@:n27p`k8183>4}O;m30qcj71;295~N4l01vbi6=:182M5c12weh5=50;3xL6b>3tdo494?:0yK7a?<ugn397>51zJ0`<=zfm2=6=4>{I1g=>{il1=1<7?tH2f:?xhc010;6<uG3e;8ykb?13:1=vF<d89~ja>f290:wE=k9:m`=d=83;pD>j6;|lg<f<728qC?i74}of;`?6=9rB8h45rne:f>5<6sA9o56sad9d94?7|@:n27p`k9183>4}O;m30qcj61;295~N4l01vbi7=:182M5c12weh4=50;3xL6b>3tdo594?:0yK7a?<ugn297>51zJ0`<=zfm3=6=4>{I1g=>{il0=1<7?tH2f:?xhc110;6<uG3e;8ykb>13:1=vF<d89~ja?f290:wE=k9:m`<d=83;pD>j6;|lg=f<728qC?i74}of:`?6=9rB8h45rne;f>5<6sA9o56sad8d94?7|@:n27p`ka183>4}O;m30qcjn1;295~N4l01vbio=:182M5c12wehl=50;3xL6b>3tdom94?:0yK7a?<ugnj97>51zJ0`<=zfmk=6=4>{I1g=>{ilh=1<7?tH2f:?xhci10;6<uG3e;8ykbf13:1=vF<d89~jagf290:wE=k9:m`dd=83;pD>j6;|lgef<728qC?i74}ofb`?6=9rB8h45rnecf>5<6sA9o56sad`d94?7|@:n27p`kb183>4}O;m30qcjm1;295~N4l01vbil=:182M5c12weho=50;3xL6b>3tdon94?:0yK7a?<ugni97>51zJ0`<=zfmh=6=4>{I1g=>{ilk=1<7?tH2f:?xhcj10;6<uG3e;8ykbe13:1=vF<d89~jadf290:wE=k9:m`gd=83;pD>j6;|lgff<728qC?i74}ofa`?6=9rB8h45rne`f>5<6sA9o56sadcd94?7|@:n27p`kc183>4}O;m30qcjl1;295~N4l01vbim=:182M5c12wehn=50;3xL6b>3tdoo94?:0yK7a?<ugnh97>51zJ0`<=zfmi=6=4>{I1g=>{ilj=1<7?tH2f:?xhck10;6<uG3e;8ykbd13:1=vF<d89~jaef290:wE=k9:m`fd=83;pD>j6;|lggf<728qC?i74}of``?6=9rB8h45rneaf>5<6sA9o56sadbd94?7|@:n27p`kd183>4}O;m30qcjk1;295~N4l01vbij=:182M5c12wehi=50;3xL6b>3tdoh94?:0yK7a?<ugno97>51zJ0`<=zfmn=6=4>{I1g=>{ilm=1<7?tH2f:?xhcl10;6<uG3e;8ykbc13:1=vF<d89~jabf290:wE=k9:m`ad=83;pD>j6;|lg`f<728qC?i74}ofg`?6=9rB8h45rneff>5<6sA9o56saded94?7|@:n27p`ke183>4}O;m30qcjj1;295~N4l01vbik=:182M5c12wehh=50;3xL6b>3tdoi94?:0yK7a?<ugnn97>51zJ0`<=zfmo=6=4>{I1g=>{ill=1<7?tH2f:?xhcm10;6<uG3e;8ykbb13:1=vF<d89~jacf290:wE=k9:~yxFGKr;;=<4nb9d553bzHIHp<pNOPzCD
\ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v new file mode 100644 index 000000000..0dceb161c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v @@ -0,0 +1,491 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2015 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file fifo_short_2clk.v when simulating +// the core, fifo_short_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module fifo_short_2clk( + rst, + wr_clk, + rd_clk, + din, + wr_en, + rd_en, + dout, + full, + empty, + rd_data_count, + wr_data_count +); + +input rst; +input wr_clk; +input rd_clk; +input [71 : 0] din; +input wr_en; +input rd_en; +output [71 : 0] dout; +output full; +output empty; +output [5 : 0] rd_data_count; +output [5 : 0] wr_data_count; + +// synthesis translate_off + + FIFO_GENERATOR_V9_3 #( + .C_ADD_NGC_CONSTRAINT(0), + .C_APPLICATION_TYPE_AXIS(0), + .C_APPLICATION_TYPE_RACH(0), + .C_APPLICATION_TYPE_RDCH(0), + .C_APPLICATION_TYPE_WACH(0), + .C_APPLICATION_TYPE_WDCH(0), + .C_APPLICATION_TYPE_WRCH(0), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_AXI_DATA_WIDTH(64), + .C_AXI_ID_WIDTH(4), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_TYPE(0), + .C_AXI_WUSER_WIDTH(1), + .C_AXIS_TDATA_WIDTH(64), + .C_AXIS_TDEST_WIDTH(4), + .C_AXIS_TID_WIDTH(8), + .C_AXIS_TKEEP_WIDTH(4), + .C_AXIS_TSTRB_WIDTH(4), + .C_AXIS_TUSER_WIDTH(4), + .C_AXIS_TYPE(0), + .C_COMMON_CLOCK(0), + .C_COUNT_TYPE(0), + .C_DATA_COUNT_WIDTH(5), + .C_DEFAULT_VALUE("BlankString"), + .C_DIN_WIDTH(72), + .C_DIN_WIDTH_AXIS(1), + .C_DIN_WIDTH_RACH(32), + .C_DIN_WIDTH_RDCH(64), + .C_DIN_WIDTH_WACH(32), + .C_DIN_WIDTH_WDCH(64), + .C_DIN_WIDTH_WRCH(2), + .C_DOUT_RST_VAL("0"), + .C_DOUT_WIDTH(72), + .C_ENABLE_RLOCS(0), + .C_ENABLE_RST_SYNC(1), + .C_ERROR_INJECTION_TYPE(0), + .C_ERROR_INJECTION_TYPE_AXIS(0), + .C_ERROR_INJECTION_TYPE_RACH(0), + .C_ERROR_INJECTION_TYPE_RDCH(0), + .C_ERROR_INJECTION_TYPE_WACH(0), + .C_ERROR_INJECTION_TYPE_WDCH(0), + .C_ERROR_INJECTION_TYPE_WRCH(0), + .C_FAMILY("spartan6"), + .C_FULL_FLAGS_RST_VAL(1), + .C_HAS_ALMOST_EMPTY(0), + .C_HAS_ALMOST_FULL(0), + .C_HAS_AXI_ARUSER(0), + .C_HAS_AXI_AWUSER(0), + .C_HAS_AXI_BUSER(0), + .C_HAS_AXI_RD_CHANNEL(0), + .C_HAS_AXI_RUSER(0), + .C_HAS_AXI_WR_CHANNEL(0), + .C_HAS_AXI_WUSER(0), + .C_HAS_AXIS_TDATA(0), + .C_HAS_AXIS_TDEST(0), + .C_HAS_AXIS_TID(0), + .C_HAS_AXIS_TKEEP(0), + .C_HAS_AXIS_TLAST(0), + .C_HAS_AXIS_TREADY(1), + .C_HAS_AXIS_TSTRB(0), + .C_HAS_AXIS_TUSER(0), + .C_HAS_BACKUP(0), + .C_HAS_DATA_COUNT(0), + .C_HAS_DATA_COUNTS_AXIS(0), + .C_HAS_DATA_COUNTS_RACH(0), + .C_HAS_DATA_COUNTS_RDCH(0), + .C_HAS_DATA_COUNTS_WACH(0), + .C_HAS_DATA_COUNTS_WDCH(0), + .C_HAS_DATA_COUNTS_WRCH(0), + .C_HAS_INT_CLK(0), + .C_HAS_MASTER_CE(0), + .C_HAS_MEMINIT_FILE(0), + .C_HAS_OVERFLOW(0), + .C_HAS_PROG_FLAGS_AXIS(0), + .C_HAS_PROG_FLAGS_RACH(0), + .C_HAS_PROG_FLAGS_RDCH(0), + .C_HAS_PROG_FLAGS_WACH(0), + .C_HAS_PROG_FLAGS_WDCH(0), + .C_HAS_PROG_FLAGS_WRCH(0), + .C_HAS_RD_DATA_COUNT(1), + .C_HAS_RD_RST(0), + .C_HAS_RST(1), + .C_HAS_SLAVE_CE(0), + .C_HAS_SRST(0), + .C_HAS_UNDERFLOW(0), + .C_HAS_VALID(0), + .C_HAS_WR_ACK(0), + .C_HAS_WR_DATA_COUNT(1), + .C_HAS_WR_RST(0), + .C_IMPLEMENTATION_TYPE(2), + .C_IMPLEMENTATION_TYPE_AXIS(1), + .C_IMPLEMENTATION_TYPE_RACH(1), + .C_IMPLEMENTATION_TYPE_RDCH(1), + .C_IMPLEMENTATION_TYPE_WACH(1), + .C_IMPLEMENTATION_TYPE_WDCH(1), + .C_IMPLEMENTATION_TYPE_WRCH(1), + .C_INIT_WR_PNTR_VAL(0), + .C_INTERFACE_TYPE(0), + .C_MEMORY_TYPE(2), + .C_MIF_FILE_NAME("BlankString"), + .C_MSGON_VAL(1), + .C_OPTIMIZATION_MODE(0), + .C_OVERFLOW_LOW(0), + .C_PRELOAD_LATENCY(0), + .C_PRELOAD_REGS(1), + .C_PRIM_FIFO_TYPE("512x72"), + .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022), + .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022), + .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), + .C_PROG_EMPTY_TYPE(0), + .C_PROG_EMPTY_TYPE_AXIS(0), + .C_PROG_EMPTY_TYPE_RACH(0), + .C_PROG_EMPTY_TYPE_RDCH(0), + .C_PROG_EMPTY_TYPE_WACH(0), + .C_PROG_EMPTY_TYPE_WDCH(0), + .C_PROG_EMPTY_TYPE_WRCH(0), + .C_PROG_FULL_THRESH_ASSERT_VAL(31), + .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023), + .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023), + .C_PROG_FULL_THRESH_NEGATE_VAL(30), + .C_PROG_FULL_TYPE(0), + .C_PROG_FULL_TYPE_AXIS(0), + .C_PROG_FULL_TYPE_RACH(0), + .C_PROG_FULL_TYPE_RDCH(0), + .C_PROG_FULL_TYPE_WACH(0), + .C_PROG_FULL_TYPE_WDCH(0), + .C_PROG_FULL_TYPE_WRCH(0), + .C_RACH_TYPE(0), + .C_RD_DATA_COUNT_WIDTH(6), + .C_RD_DEPTH(32), + .C_RD_FREQ(1), + .C_RD_PNTR_WIDTH(5), + .C_RDCH_TYPE(0), + .C_REG_SLICE_MODE_AXIS(0), + .C_REG_SLICE_MODE_RACH(0), + .C_REG_SLICE_MODE_RDCH(0), + .C_REG_SLICE_MODE_WACH(0), + .C_REG_SLICE_MODE_WDCH(0), + .C_REG_SLICE_MODE_WRCH(0), + .C_SYNCHRONIZER_STAGE(2), + .C_UNDERFLOW_LOW(0), + .C_USE_COMMON_OVERFLOW(0), + .C_USE_COMMON_UNDERFLOW(0), + .C_USE_DEFAULT_SETTINGS(0), + .C_USE_DOUT_RST(1), + .C_USE_ECC(0), + .C_USE_ECC_AXIS(0), + .C_USE_ECC_RACH(0), + .C_USE_ECC_RDCH(0), + .C_USE_ECC_WACH(0), + .C_USE_ECC_WDCH(0), + .C_USE_ECC_WRCH(0), + .C_USE_EMBEDDED_REG(0), + .C_USE_FIFO16_FLAGS(0), + .C_USE_FWFT_DATA_COUNT(1), + .C_VALID_LOW(0), + .C_WACH_TYPE(0), + .C_WDCH_TYPE(0), + .C_WR_ACK_LOW(0), + .C_WR_DATA_COUNT_WIDTH(6), + .C_WR_DEPTH(32), + .C_WR_DEPTH_AXIS(1024), + .C_WR_DEPTH_RACH(16), + .C_WR_DEPTH_RDCH(1024), + .C_WR_DEPTH_WACH(16), + .C_WR_DEPTH_WDCH(1024), + .C_WR_DEPTH_WRCH(16), + .C_WR_FREQ(1), + .C_WR_PNTR_WIDTH(5), + .C_WR_PNTR_WIDTH_AXIS(10), + .C_WR_PNTR_WIDTH_RACH(4), + .C_WR_PNTR_WIDTH_RDCH(10), + .C_WR_PNTR_WIDTH_WACH(4), + .C_WR_PNTR_WIDTH_WDCH(10), + .C_WR_PNTR_WIDTH_WRCH(4), + .C_WR_RESPONSE_LATENCY(1), + .C_WRCH_TYPE(0) + ) + inst ( + .RST(rst), + .WR_CLK(wr_clk), + .RD_CLK(rd_clk), + .DIN(din), + .WR_EN(wr_en), + .RD_EN(rd_en), + .DOUT(dout), + .FULL(full), + .EMPTY(empty), + .RD_DATA_COUNT(rd_data_count), + .WR_DATA_COUNT(wr_data_count), + .BACKUP(), + .BACKUP_MARKER(), + .CLK(), + .SRST(), + .WR_RST(), + .RD_RST(), + .PROG_EMPTY_THRESH(), + .PROG_EMPTY_THRESH_ASSERT(), + .PROG_EMPTY_THRESH_NEGATE(), + .PROG_FULL_THRESH(), + .PROG_FULL_THRESH_ASSERT(), + .PROG_FULL_THRESH_NEGATE(), + .INT_CLK(), + .INJECTDBITERR(), + .INJECTSBITERR(), + .ALMOST_FULL(), + .WR_ACK(), + .OVERFLOW(), + .ALMOST_EMPTY(), + .VALID(), + .UNDERFLOW(), + .DATA_COUNT(), + .PROG_FULL(), + .PROG_EMPTY(), + .SBITERR(), + .DBITERR(), + .M_ACLK(), + .S_ACLK(), + .S_ARESETN(), + .M_ACLK_EN(), + .S_ACLK_EN(), + .S_AXI_AWID(), + .S_AXI_AWADDR(), + .S_AXI_AWLEN(), + .S_AXI_AWSIZE(), + .S_AXI_AWBURST(), + .S_AXI_AWLOCK(), + .S_AXI_AWCACHE(), + .S_AXI_AWPROT(), + .S_AXI_AWQOS(), + .S_AXI_AWREGION(), + .S_AXI_AWUSER(), + .S_AXI_AWVALID(), + .S_AXI_AWREADY(), + .S_AXI_WID(), + .S_AXI_WDATA(), + .S_AXI_WSTRB(), + .S_AXI_WLAST(), + .S_AXI_WUSER(), + .S_AXI_WVALID(), + .S_AXI_WREADY(), + .S_AXI_BID(), + .S_AXI_BRESP(), + .S_AXI_BUSER(), + .S_AXI_BVALID(), + .S_AXI_BREADY(), + .M_AXI_AWID(), + .M_AXI_AWADDR(), + .M_AXI_AWLEN(), + .M_AXI_AWSIZE(), + .M_AXI_AWBURST(), + .M_AXI_AWLOCK(), + .M_AXI_AWCACHE(), + .M_AXI_AWPROT(), + .M_AXI_AWQOS(), + .M_AXI_AWREGION(), + .M_AXI_AWUSER(), + .M_AXI_AWVALID(), + .M_AXI_AWREADY(), + .M_AXI_WID(), + .M_AXI_WDATA(), + .M_AXI_WSTRB(), + .M_AXI_WLAST(), + .M_AXI_WUSER(), + .M_AXI_WVALID(), + .M_AXI_WREADY(), + .M_AXI_BID(), + .M_AXI_BRESP(), + .M_AXI_BUSER(), + .M_AXI_BVALID(), + .M_AXI_BREADY(), + .S_AXI_ARID(), + .S_AXI_ARADDR(), + .S_AXI_ARLEN(), + .S_AXI_ARSIZE(), + .S_AXI_ARBURST(), + .S_AXI_ARLOCK(), + .S_AXI_ARCACHE(), + .S_AXI_ARPROT(), + .S_AXI_ARQOS(), + .S_AXI_ARREGION(), + .S_AXI_ARUSER(), + .S_AXI_ARVALID(), + .S_AXI_ARREADY(), + .S_AXI_RID(), + .S_AXI_RDATA(), + .S_AXI_RRESP(), + .S_AXI_RLAST(), + .S_AXI_RUSER(), + .S_AXI_RVALID(), + .S_AXI_RREADY(), + .M_AXI_ARID(), + .M_AXI_ARADDR(), + .M_AXI_ARLEN(), + .M_AXI_ARSIZE(), + .M_AXI_ARBURST(), + .M_AXI_ARLOCK(), + .M_AXI_ARCACHE(), + .M_AXI_ARPROT(), + .M_AXI_ARQOS(), + .M_AXI_ARREGION(), + .M_AXI_ARUSER(), + .M_AXI_ARVALID(), + .M_AXI_ARREADY(), + .M_AXI_RID(), + .M_AXI_RDATA(), + .M_AXI_RRESP(), + .M_AXI_RLAST(), + .M_AXI_RUSER(), + .M_AXI_RVALID(), + .M_AXI_RREADY(), + .S_AXIS_TVALID(), + .S_AXIS_TREADY(), + .S_AXIS_TDATA(), + .S_AXIS_TSTRB(), + .S_AXIS_TKEEP(), + .S_AXIS_TLAST(), + .S_AXIS_TID(), + .S_AXIS_TDEST(), + .S_AXIS_TUSER(), + .M_AXIS_TVALID(), + .M_AXIS_TREADY(), + .M_AXIS_TDATA(), + .M_AXIS_TSTRB(), + .M_AXIS_TKEEP(), + .M_AXIS_TLAST(), + .M_AXIS_TID(), + .M_AXIS_TDEST(), + .M_AXIS_TUSER(), + .AXI_AW_INJECTSBITERR(), + .AXI_AW_INJECTDBITERR(), + .AXI_AW_PROG_FULL_THRESH(), + .AXI_AW_PROG_EMPTY_THRESH(), + .AXI_AW_DATA_COUNT(), + .AXI_AW_WR_DATA_COUNT(), + .AXI_AW_RD_DATA_COUNT(), + .AXI_AW_SBITERR(), + .AXI_AW_DBITERR(), + .AXI_AW_OVERFLOW(), + .AXI_AW_UNDERFLOW(), + .AXI_AW_PROG_FULL(), + .AXI_AW_PROG_EMPTY(), + .AXI_W_INJECTSBITERR(), + .AXI_W_INJECTDBITERR(), + .AXI_W_PROG_FULL_THRESH(), + .AXI_W_PROG_EMPTY_THRESH(), + .AXI_W_DATA_COUNT(), + .AXI_W_WR_DATA_COUNT(), + .AXI_W_RD_DATA_COUNT(), + .AXI_W_SBITERR(), + .AXI_W_DBITERR(), + .AXI_W_OVERFLOW(), + .AXI_W_UNDERFLOW(), + .AXI_B_INJECTSBITERR(), + .AXI_W_PROG_FULL(), + .AXI_W_PROG_EMPTY(), + .AXI_B_INJECTDBITERR(), + .AXI_B_PROG_FULL_THRESH(), + .AXI_B_PROG_EMPTY_THRESH(), + .AXI_B_DATA_COUNT(), + .AXI_B_WR_DATA_COUNT(), + .AXI_B_RD_DATA_COUNT(), + .AXI_B_SBITERR(), + .AXI_B_DBITERR(), + .AXI_B_OVERFLOW(), + .AXI_B_UNDERFLOW(), + .AXI_AR_INJECTSBITERR(), + .AXI_B_PROG_FULL(), + .AXI_B_PROG_EMPTY(), + .AXI_AR_INJECTDBITERR(), + .AXI_AR_PROG_FULL_THRESH(), + .AXI_AR_PROG_EMPTY_THRESH(), + .AXI_AR_DATA_COUNT(), + .AXI_AR_WR_DATA_COUNT(), + .AXI_AR_RD_DATA_COUNT(), + .AXI_AR_SBITERR(), + .AXI_AR_DBITERR(), + .AXI_AR_OVERFLOW(), + .AXI_AR_UNDERFLOW(), + .AXI_AR_PROG_FULL(), + .AXI_AR_PROG_EMPTY(), + .AXI_R_INJECTSBITERR(), + .AXI_R_INJECTDBITERR(), + .AXI_R_PROG_FULL_THRESH(), + .AXI_R_PROG_EMPTY_THRESH(), + .AXI_R_DATA_COUNT(), + .AXI_R_WR_DATA_COUNT(), + .AXI_R_RD_DATA_COUNT(), + .AXI_R_SBITERR(), + .AXI_R_DBITERR(), + .AXI_R_OVERFLOW(), + .AXI_R_UNDERFLOW(), + .AXIS_INJECTSBITERR(), + .AXI_R_PROG_FULL(), + .AXI_R_PROG_EMPTY(), + .AXIS_INJECTDBITERR(), + .AXIS_PROG_FULL_THRESH(), + .AXIS_PROG_EMPTY_THRESH(), + .AXIS_DATA_COUNT(), + .AXIS_WR_DATA_COUNT(), + .AXIS_RD_DATA_COUNT(), + .AXIS_SBITERR(), + .AXIS_DBITERR(), + .AXIS_OVERFLOW(), + .AXIS_UNDERFLOW(), + .AXIS_PROG_FULL(), + .AXIS_PROG_EMPTY() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo new file mode 100644 index 000000000..a40249575 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2015 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 * +* * +* Rev 1. The FIFO Generator is a parameterizable first-in/first-out * +* memory queue generator. Use it to generate resource and performance * +* optimized FIFOs with common or independent read/write clock domains, * +* and optional fixed or programmable full and empty flags and * +* handshaking signals. Choose from a selection of memory resource * +* types for implementation. Optional Hamming code based error * +* detection and correction as well as error injection capability for * +* system test help to insure data integrity. FIFO width and depth are * +* parameterizable, and for native interface FIFOs, asymmetric read and * +* write port widths are also supported. * +*******************************************************************************/ + +// Interfaces: +// AXI4Stream_MASTER_M_AXIS +// AXI4Stream_SLAVE_S_AXIS +// AXI4_MASTER_M_AXI +// AXI4_SLAVE_S_AXI +// AXI4Lite_MASTER_M_AXI +// AXI4Lite_SLAVE_S_AXI +// master_aclk +// slave_aclk +// slave_aresetn + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fifo_short_2clk your_instance_name ( + .rst(rst), // input rst + .wr_clk(wr_clk), // input wr_clk + .rd_clk(rd_clk), // input rd_clk + .din(din), // input [71 : 0] din + .wr_en(wr_en), // input wr_en + .rd_en(rd_en), // input rd_en + .dout(dout), // output [71 : 0] dout + .full(full), // output full + .empty(empty), // output empty + .rd_data_count(rd_data_count), // output [5 : 0] rd_data_count + .wr_data_count(wr_data_count) // output [5 : 0] wr_data_count +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fifo_short_2clk.v when simulating +// the core, fifo_short_2clk. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco new file mode 100644 index 000000000..134068453 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco @@ -0,0 +1,213 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Thu Mar 26 19:30:05 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fifo_generator:9.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3 +# END Select +# BEGIN Parameters +CSET add_ngc_constraint_axi=false +CSET almost_empty_flag=false +CSET almost_full_flag=false +CSET aruser_width=1 +CSET awuser_width=1 +CSET axi_address_width=32 +CSET axi_data_width=64 +CSET axi_type=AXI4_Stream +CSET axis_type=FIFO +CSET buser_width=1 +CSET clock_enable_type=Slave_Interface_Clock_Enable +CSET clock_type_axi=Common_Clock +CSET component_name=fifo_short_2clk +CSET data_count=false +CSET data_count_width=5 +CSET disable_timing_violations=false +CSET disable_timing_violations_axi=false +CSET dout_reset_value=0 +CSET empty_threshold_assert_value=4 +CSET empty_threshold_assert_value_axis=1022 +CSET empty_threshold_assert_value_rach=1022 +CSET empty_threshold_assert_value_rdch=1022 +CSET empty_threshold_assert_value_wach=1022 +CSET empty_threshold_assert_value_wdch=1022 +CSET empty_threshold_assert_value_wrch=1022 +CSET empty_threshold_negate_value=5 +CSET enable_aruser=false +CSET enable_awuser=false +CSET enable_buser=false +CSET enable_common_overflow=false +CSET enable_common_underflow=false +CSET enable_data_counts_axis=false +CSET enable_data_counts_rach=false +CSET enable_data_counts_rdch=false +CSET enable_data_counts_wach=false +CSET enable_data_counts_wdch=false +CSET enable_data_counts_wrch=false +CSET enable_ecc=false +CSET enable_ecc_axis=false +CSET enable_ecc_rach=false +CSET enable_ecc_rdch=false +CSET enable_ecc_wach=false +CSET enable_ecc_wdch=false +CSET enable_ecc_wrch=false +CSET enable_read_channel=false +CSET enable_read_pointer_increment_by2=false +CSET enable_reset_synchronization=true +CSET enable_ruser=false +CSET enable_tdata=false +CSET enable_tdest=false +CSET enable_tid=false +CSET enable_tkeep=false +CSET enable_tlast=false +CSET enable_tready=true +CSET enable_tstrobe=false +CSET enable_tuser=false +CSET enable_write_channel=false +CSET enable_wuser=false +CSET fifo_application_type_axis=Data_FIFO +CSET fifo_application_type_rach=Data_FIFO +CSET fifo_application_type_rdch=Data_FIFO +CSET fifo_application_type_wach=Data_FIFO +CSET fifo_application_type_wdch=Data_FIFO +CSET fifo_application_type_wrch=Data_FIFO +CSET fifo_implementation=Independent_Clocks_Distributed_RAM +CSET fifo_implementation_axis=Common_Clock_Block_RAM +CSET fifo_implementation_rach=Common_Clock_Block_RAM +CSET fifo_implementation_rdch=Common_Clock_Block_RAM +CSET fifo_implementation_wach=Common_Clock_Block_RAM +CSET fifo_implementation_wdch=Common_Clock_Block_RAM +CSET fifo_implementation_wrch=Common_Clock_Block_RAM +CSET full_flags_reset_value=1 +CSET full_threshold_assert_value=31 +CSET full_threshold_assert_value_axis=1023 +CSET full_threshold_assert_value_rach=1023 +CSET full_threshold_assert_value_rdch=1023 +CSET full_threshold_assert_value_wach=1023 +CSET full_threshold_assert_value_wdch=1023 +CSET full_threshold_assert_value_wrch=1023 +CSET full_threshold_negate_value=30 +CSET id_width=4 +CSET inject_dbit_error=false +CSET inject_dbit_error_axis=false +CSET inject_dbit_error_rach=false +CSET inject_dbit_error_rdch=false +CSET inject_dbit_error_wach=false +CSET inject_dbit_error_wdch=false +CSET inject_dbit_error_wrch=false +CSET inject_sbit_error=false +CSET inject_sbit_error_axis=false +CSET inject_sbit_error_rach=false +CSET inject_sbit_error_rdch=false +CSET inject_sbit_error_wach=false +CSET inject_sbit_error_wdch=false +CSET inject_sbit_error_wrch=false +CSET input_data_width=72 +CSET input_depth=32 +CSET input_depth_axis=1024 +CSET input_depth_rach=16 +CSET input_depth_rdch=1024 +CSET input_depth_wach=16 +CSET input_depth_wdch=1024 +CSET input_depth_wrch=16 +CSET interface_type=Native +CSET output_data_width=72 +CSET output_depth=32 +CSET overflow_flag=false +CSET overflow_flag_axi=false +CSET overflow_sense=Active_High +CSET overflow_sense_axi=Active_High +CSET performance_options=First_Word_Fall_Through +CSET programmable_empty_type=No_Programmable_Empty_Threshold +CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold +CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold +CSET programmable_full_type=No_Programmable_Full_Threshold +CSET programmable_full_type_axis=No_Programmable_Full_Threshold +CSET programmable_full_type_rach=No_Programmable_Full_Threshold +CSET programmable_full_type_rdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wach=No_Programmable_Full_Threshold +CSET programmable_full_type_wdch=No_Programmable_Full_Threshold +CSET programmable_full_type_wrch=No_Programmable_Full_Threshold +CSET rach_type=FIFO +CSET rdch_type=FIFO +CSET read_clock_frequency=1 +CSET read_data_count=true +CSET read_data_count_width=6 +CSET register_slice_mode_axis=Fully_Registered +CSET register_slice_mode_rach=Fully_Registered +CSET register_slice_mode_rdch=Fully_Registered +CSET register_slice_mode_wach=Fully_Registered +CSET register_slice_mode_wdch=Fully_Registered +CSET register_slice_mode_wrch=Fully_Registered +CSET reset_pin=true +CSET reset_type=Asynchronous_Reset +CSET ruser_width=1 +CSET synchronization_stages=2 +CSET synchronization_stages_axi=2 +CSET tdata_width=64 +CSET tdest_width=4 +CSET tid_width=8 +CSET tkeep_width=4 +CSET tstrb_width=4 +CSET tuser_width=4 +CSET underflow_flag=false +CSET underflow_flag_axi=false +CSET underflow_sense=Active_High +CSET underflow_sense_axi=Active_High +CSET use_clock_enable=false +CSET use_dout_reset=true +CSET use_embedded_registers=false +CSET use_extra_logic=true +CSET valid_flag=false +CSET valid_sense=Active_High +CSET wach_type=FIFO +CSET wdch_type=FIFO +CSET wrch_type=FIFO +CSET write_acknowledge_flag=false +CSET write_acknowledge_sense=Active_High +CSET write_clock_frequency=1 +CSET write_data_count=true +CSET write_data_count_width=6 +CSET wuser_width=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T12:39:56Z +# END Extra information +GENERATE +# CRC: 95100427 diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html new file mode 100644 index 000000000..fefce62a3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html @@ -0,0 +1,247 @@ +<HTML> +<HEAD> +<TITLE>fifo_generator_v9_3_vinfo</TITLE> +<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1"> +</HEAD> +<BODY> +<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1"> +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A> + +For system requirements: + + <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A> + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + <A HREF="http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm">www.xilinx.com/products/ipcenter/FIFO_Generator.htm</A> + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A> + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A> +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. +</FONT> +</PRE> +</BODY> +</HTML> diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf Binary files differnew file mode 100644 index 000000000..5ec45fbcb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd new file mode 100755 index 000000000..9e38bcb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_short_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_short_2clk_exdes; + + + +architecture xilinx of fifo_short_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_short_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_short_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat new file mode 100755 index 000000000..8745ae5d9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_short_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh new file mode 100755 index 000000000..3a143e17c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_short_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..ac8f61fea --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..0ae5d7af5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..49053fd63 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_short_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..42916c2bc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_short_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..d2c954858 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_short_2clk +set design fifo_short_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_short_2clk_exdes +add_files -norecurse {../../example_design/fifo_short_2clk_exdes.vhd} +add_files -norecurse {./fifo_short_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_short_2clk_exdes.xdc} +set_property top fifo_short_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_short_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_short_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj new file mode 100755 index 000000000..f16af4308 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_short_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr new file mode 100755 index 000000000..7dcd7b83c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_short_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_short_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd new file mode 100755 index 000000000..bc7a1b525 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_short_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd new file mode 100755 index 000000000..b0465d84f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_short_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd new file mode 100755 index 000000000..5d6506398 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_short_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd new file mode 100755 index 000000000..4c0e4035b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_short_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_short_2clk_pkg; + + + +PACKAGE BODY fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_short_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd new file mode 100755 index 000000000..80c201c3b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_short_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_short_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd new file mode 100755 index 000000000..e9139fc96 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_short_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_short_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_short_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_short_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 5, + C_RD_PNTR_WIDTH => 5, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_short_2clk_inst : fifo_short_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd new file mode 100755 index 000000000..6d86157db --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_short_2clk_arch OF fifo_short_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_short_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_short_2clk_synth + + fifo_short_2clk_synth_inst:fifo_short_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 108 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..d40cb85cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_short_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..78f9ce588 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_short_2clk.v +vhpcomp -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..8deb52187 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_short_2clk.v +vcom -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..749f9db06 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_short_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..71a568e24 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_short_2clk.v +vhdlan ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..7f3170d74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..536a7cfee --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..2d9404294 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..7ec785533 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..e9dc952cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_short_2clk_synth_inst:fifo_short_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..bbd548a74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..e27baa9d8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt new file mode 100644 index 000000000..4ab119a52 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for <fifo_short_2clk> +_xmsgs/pn_parser.xmsgs +fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_short_2clk/doc/pg057-fifo-generator.pdf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +fifo_short_2clk/fifo_generator_v9_3_readme.txt +fifo_short_2clk/implement/implement.bat +fifo_short_2clk/implement/implement.sh +fifo_short_2clk/implement/implement_synplify.bat +fifo_short_2clk/implement/implement_synplify.sh +fifo_short_2clk/implement/planAhead_ise.bat +fifo_short_2clk/implement/planAhead_ise.sh +fifo_short_2clk/implement/planAhead_ise.tcl +fifo_short_2clk/implement/xst.prj +fifo_short_2clk/implement/xst.scr +fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +fifo_short_2clk/simulation/functional/simulate_isim.bat +fifo_short_2clk/simulation/functional/simulate_isim.sh +fifo_short_2clk/simulation/functional/simulate_mti.bat +fifo_short_2clk/simulation/functional/simulate_mti.do +fifo_short_2clk/simulation/functional/simulate_mti.sh +fifo_short_2clk/simulation/functional/simulate_ncsim.sh +fifo_short_2clk/simulation/functional/simulate_vcs.sh +fifo_short_2clk/simulation/functional/ucli_commands.key +fifo_short_2clk/simulation/functional/vcs_session.tcl +fifo_short_2clk/simulation/functional/wave_isim.tcl +fifo_short_2clk/simulation/functional/wave_mti.do +fifo_short_2clk/simulation/functional/wave_ncsim.sv +fifo_short_2clk/simulation/timing/simulate_isim.bat +fifo_short_2clk/simulation/timing/simulate_isim.sh +fifo_short_2clk/simulation/timing/simulate_mti.bat +fifo_short_2clk/simulation/timing/simulate_mti.do +fifo_short_2clk/simulation/timing/simulate_mti.sh +fifo_short_2clk/simulation/timing/simulate_ncsim.sh +fifo_short_2clk/simulation/timing/simulate_vcs.sh +fifo_short_2clk/simulation/timing/ucli_commands.key +fifo_short_2clk/simulation/timing/vcs_session.tcl +fifo_short_2clk/simulation/timing/wave_isim.tcl +fifo_short_2clk/simulation/timing/wave_mti.do +fifo_short_2clk/simulation/timing/wave_ncsim.sv +fifo_short_2clk.asy +fifo_short_2clk.gise +fifo_short_2clk.ngc +fifo_short_2clk.v +fifo_short_2clk.veo +fifo_short_2clk.xco +fifo_short_2clk.xise +fifo_short_2clk_flist.txt +fifo_short_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl new file mode 100644 index 000000000..0abe2bb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is <core_name>_xmdf +package provide fifo_short_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is <core_name>_xmdf +namespace eval ::fifo_short_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_short_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: <module_name> +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_short_2clk +} +# ::fifo_short_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_short_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_short_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams |