aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--CHANGELOG24
-rw-r--r--fpga/usrp3/lib/dsp/ddc_chain.v26
-rw-r--r--fpga/usrp3/lib/timing/Makefile.srcs1
-rw-r--r--fpga/usrp3/lib/timing/pps.v22
-rw-r--r--fpga/usrp3/lib/timing/timekeeper.v24
-rw-r--r--fpga/usrp3/top/b200/b200_core.v25
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.xise4
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise4
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise4
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise4
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise4
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise2
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise4
-rw-r--r--fpga/usrp3/top/x300/Makefile4
-rw-r--r--fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.ncf4
-rw-r--r--fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.xise4
-rwxr-xr-xfpga/usrp3/top/x300/coregen/bootram.coe6020
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_icon.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_icon.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_icon_2port.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_icon_2port.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_ila.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_ila.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_ila_64.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/chipscope_ila_64.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/coregen.cgp2
-rw-r--r--fpga/usrp3/top/x300/coregen/ddr3_32bit.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/ddr3_32bit.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/fifo_short_2clk.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/fifo_short_2clk.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/coregen.cgp2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec1.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec1.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec1.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec2.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec2.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec2.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec3.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec3.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbdec3.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint1.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint1.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint1.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint2.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint2.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint2.xise4
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint3.gise2
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint3.ncf0
-rw-r--r--fpga/usrp3/top/x300/coregen_dsp/hbint3.xise4
-rw-r--r--fpga/usrp3/top/x300/floorplan_X300.ucf26
-rw-r--r--fpga/usrp3/top/x300/gen_ddrlvds.v5
-rw-r--r--host/CMakeLists.txt4
-rw-r--r--host/cmake/Modules/UHDVersion.cmake2
-rw-r--r--host/lib/usrp/cores/rx_dsp_core_3000.cpp2
-rw-r--r--host/lib/usrp/x300/x300_impl.cpp5
63 files changed, 3183 insertions, 3135 deletions
diff --git a/CHANGELOG b/CHANGELOG
index 174128a50..6a7856e9a 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -1,8 +1,30 @@
Change Log for Releases
==============================
-## 003.007.001
+## 003.007.002
+* Properly flushing PCIe chain on device to prevent stale data.
+* Adjusted bus clock rate in FPGA to improve timing.
+* Fixed issue where FPGA would fail to load FPGA image over PCIe.
+* Fixed incompatibility issue with USRP2 FPGA burner utility.
+* Fixed issue where ZPU would report empty NMEA strings from GPSDO.
+* Updated some functions to no longer use deprecated Boost calls.
+* Fixed issue where libusb would declare 'connection refused'.
+* Fixed Windows library package naming.
+* Updated documentation.
+* Fixed reversed TX / RX colors in X300 LED indicators.
+* DBSRX2 now works properly with X300 / X310.
+* Updated include files to build on older distros of Linux.
+* Fixed issue with 'item32' type converter from GNU Radio.
+* Fixed issue where channels on B210 would occasionally not be phase-aligned.
+* Fixed problem causing channels on B210 to swap between runs.
+* Fixed issue in N-Series devices causing scaling error @ 50 MSps.
+* The B200 / B210 now generates an internal PPS if none is provided.
+* Improved performance on PCIe for X300.
+* B200 / B210 properly reports clock rate (issue with float comparison).
+* Fixed issue with filter selection in B200 that caused loss of RX power.
+* Removed ref lock check from X300 where it wasn't necessary.
+## 003.007.001
* Fixed issue with TVRX2 divider calculation.
* Fixed issue using calibration utilities on B-Side daughterboard in an X3xx.
* Replaced unsafe `sscanf` call in utilities.
diff --git a/fpga/usrp3/lib/dsp/ddc_chain.v b/fpga/usrp3/lib/dsp/ddc_chain.v
index 365175bf1..ccddc24e0 100644
--- a/fpga/usrp3/lib/dsp/ddc_chain.v
+++ b/fpga/usrp3/lib/dsp/ddc_chain.v
@@ -1,5 +1,5 @@
//
-// Copyright 2011-2013 Ettus Research LLC
+// Copyright 2011-2014 Ettus Research LLC
//
@@ -37,7 +37,7 @@ module ddc_chain
wire [WIDTH-1:0] i_cic, q_cic;
wire [WIDTH-1:0] i_hb1, q_hb1;
wire [WIDTH-1:0] i_hb2, q_hb2;
-
+
wire strobe_cic, strobe_hb1, strobe_hb2;
wire enable_hb1, enable_hb2;
wire [7:0] cic_decim_rate;
@@ -45,7 +45,9 @@ module ddc_chain
reg [WIDTH-1:0] rx_fe_i_mux, rx_fe_q_mux;
wire realmode;
wire swap_iq;
-
+ wire invert_i;
+ wire invert_q;
+
setting_reg #(.my_addr(BASE+0)) sr_0
(.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
.in(set_data),.out(phase_inc),.changed());
@@ -58,22 +60,22 @@ module ddc_chain
(.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
.in(set_data),.out({enable_hb1, enable_hb2, cic_decim_rate}),.changed());
- setting_reg #(.my_addr(BASE+3), .width(2)) sr_3
+ setting_reg #(.my_addr(BASE+3), .width(4)) sr_3
(.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
- .in(set_data),.out({realmode,swap_iq}),.changed());
+ .in(set_data),.out({invert_i,inver_q,realmode,swap_iq}),.changed());
// MUX so we can do realmode signals on either input
-
+
always @(posedge clk)
if(swap_iq)
begin
- rx_fe_i_mux <= rx_fe_q;
- rx_fe_q_mux <= realmode ? 0 : rx_fe_i;
+ rx_fe_i_mux <= invert_i ? ~rx_fe_q + 1 : rx_fe_q;
+ rx_fe_q_mux <= realmode ? 0 : invert_q ? ~rx_fe_i + 1 : rx_fe_i;
end
else
begin
- rx_fe_i_mux <= rx_fe_i;
- rx_fe_q_mux <= realmode ? 0 : rx_fe_q;
+ rx_fe_i_mux <= invert_i ? ~rx_fe_i + 1 : rx_fe_i;
+ rx_fe_q_mux <= realmode ? 0 : invert_i ? ~rx_fe_q + 1 : rx_fe_q;
end
// NCO
@@ -109,7 +111,7 @@ module ddc_chain
decim_i (.clock(clk),.reset(rst),.enable(run),
.rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
.signal_in(i_cordic_clip),.signal_out(i_cic));
-
+
cic_decim #(.bw(WIDTH))
decim_q (.clock(clk),.reset(rst),.enable(run),
.rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
@@ -119,7 +121,7 @@ module ddc_chain
small_hb_dec #(.WIDTH(WIDTH)) small_hb_i
(.clk(clk),.rst(rst),.bypass(~enable_hb1),.run(run),
.stb_in(strobe_cic),.data_in(i_cic),.stb_out(strobe_hb1),.data_out(i_hb1));
-
+
small_hb_dec #(.WIDTH(WIDTH)) small_hb_q
(.clk(clk),.rst(rst),.bypass(~enable_hb1),.run(run),
.stb_in(strobe_cic),.data_in(q_cic),.stb_out(),.data_out(q_hb1));
diff --git a/fpga/usrp3/lib/timing/Makefile.srcs b/fpga/usrp3/lib/timing/Makefile.srcs
index ff4ca17d2..09f0596c5 100644
--- a/fpga/usrp3/lib/timing/Makefile.srcs
+++ b/fpga/usrp3/lib/timing/Makefile.srcs
@@ -8,4 +8,5 @@
TIMING_SRCS = $(abspath $(addprefix $(BASE_DIR)/../lib/timing/, \
time_compare.v \
timekeeper.v \
+pps.v\
))
diff --git a/fpga/usrp3/lib/timing/pps.v b/fpga/usrp3/lib/timing/pps.v
new file mode 100644
index 000000000..49d3641b7
--- /dev/null
+++ b/fpga/usrp3/lib/timing/pps.v
@@ -0,0 +1,22 @@
+//
+// Copyright 2014 Ettus Research LLC
+//
+
+module pps_generator
+ #(parameter CLK_FREQ=0, DUTY=25)
+ (input clk, input reset, output pps);
+
+ reg[31:0] count;
+
+ always @(posedge clk) begin
+ if (reset) begin
+ count <= 32'b1;
+ end else if (count >= CLK_FREQ) begin
+ count <= 32'b1;
+ end else begin
+ count <= count + 1'b1;
+ end
+ end
+
+ assign pps = (count < CLK_FREQ * DUTY / 100);
+endmodule //pps_generator
diff --git a/fpga/usrp3/lib/timing/timekeeper.v b/fpga/usrp3/lib/timing/timekeeper.v
index 627472094..761bda5bc 100644
--- a/fpga/usrp3/lib/timing/timekeeper.v
+++ b/fpga/usrp3/lib/timing/timekeeper.v
@@ -1,5 +1,5 @@
//
-// Copyright 2013 Ettus Research LLC
+// Copyright 2013-2014 Ettus Research LLC
//
@@ -19,11 +19,11 @@ module timekeeper
setting_reg #(.my_addr(BASE), .width()) sr_time_hi
(.clk(clk), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
.out(time_at_next_event[63:32]), .changed());
-
+
setting_reg #(.my_addr(BASE+1), .width()) sr_time_lo
(.clk(clk), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
.out(time_at_next_event[31:0]), .changed());
-
+
setting_reg #(.my_addr(BASE+2), .width(2)) sr_ctrl
(.clk(clk), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
.out({set_time_pps, set_time_now}), .changed(cmd_trigger));
@@ -38,12 +38,6 @@ module timekeeper
wire pps_edge = !pps_del2 & pps_del;
//////////////////////////////////////////////////////////////////////////
- // track the time at last pps so host can detect the pps
- //////////////////////////////////////////////////////////////////////////
- always @(posedge clk)
- if(pps_edge) vita_time_lastpps <= vita_time;
-
- //////////////////////////////////////////////////////////////////////////
// arm the trigger to latch a new time when the ctrl register is written
//////////////////////////////////////////////////////////////////////////
reg armed;
@@ -65,4 +59,16 @@ module timekeeper
else
vita_time <= vita_time + 64'h1;
+ //////////////////////////////////////////////////////////////////////////
+ // track the time at last pps so host can detect the pps
+ //////////////////////////////////////////////////////////////////////////
+ always @(posedge clk)
+ if(reset)
+ vita_time_lastpps <= 64'h0;
+ else if(pps_edge)
+ if(time_event)
+ vita_time_lastpps <= time_at_next_event;
+ else
+ vita_time_lastpps <= vita_time + 64'h1;
+
endmodule // timekeeper
diff --git a/fpga/usrp3/top/b200/b200_core.v b/fpga/usrp3/top/b200/b200_core.v
index 016037688..dc8baba4f 100644
--- a/fpga/usrp3/top/b200/b200_core.v
+++ b/fpga/usrp3/top/b200/b200_core.v
@@ -1,5 +1,5 @@
//
-// Copyright 2013 Ettus Research LLC
+// Copyright 2013-14 Ettus Research LLC
//
@@ -78,11 +78,24 @@ module b200_core
/*******************************************************************
* PPS Timing stuff
******************************************************************/
- reg [1:0] int_pps_del, ext_pps_del;
+
+ // Generate an internal PPS signal
+ wire int_pps;
+ pps_generator #(.CLK_FREQ(100000000)) pps_gen
+ (.clk(bus_clk), .pps(int_pps));
+
+ // Flop PPS signals into radio clock domain
+ reg [1:0] gpsdo_pps_del, ext_pps_del, int_pps_del;
always @(posedge radio_clk) ext_pps_del[1:0] <= {ext_pps_del[0], pps_ext};
- always @(posedge radio_clk) int_pps_del[1:0] <= {int_pps_del[0], pps_int};
- wire pps_select;
- wire pps = pps_select? ext_pps_del[1] : int_pps_del[1];
+ always @(posedge radio_clk) gpsdo_pps_del[1:0] <= {gpsdo_pps_del[0], pps_int};
+ always @(posedge radio_clk) int_pps_del[1:0] <= {int_pps_del[0], int_pps};
+
+ // PPS mux
+ wire [1:0] pps_select;
+ wire pps = (pps_select == 2'b00)? gpsdo_pps_del[1] :
+ (pps_select == 2'b01)? ext_pps_del[1] :
+ (pps_select == 2'b10)? int_pps_del[1] :
+ 1'b0;
/*******************************************************************
* Response mux Routing logic
@@ -189,7 +202,7 @@ module b200_core
(.clk(bus_clk), .rst(1'b0/*keep*/), .strobe(set_stb), .addr(set_addr), .in(set_data),
.out(gpsdo_st), .changed());
- setting_reg #(.my_addr(SR_CORE_PPS_SEL), .awidth(8), .width(1)) sr_pps_sel
+ setting_reg #(.my_addr(SR_CORE_PPS_SEL), .awidth(8), .width(2)) sr_pps_sel
(.clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data),
.out(pps_select), .changed());
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.gise b/fpga/usrp3/top/b200/coregen/chipscope_icon.gise
index a4f878755..29d14a154 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_icon.gise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xise b/fpga/usrp3/top/b200/coregen/chipscope_icon.xise
index 669cbf101..5cb07142c 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_icon.xise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_icon.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise
index 3af396d70..8f50adfb5 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise
index 3bc65beca..d89d10530 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_ila_128.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise
index 6305e6288..85cc00a94 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise
index f8c51bac1..a9e75da04 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_ila_256.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise
index 4bc01d034..e5487e74a 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise
index 9a9fb9459..8d210d750 100644
--- a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_ila_32.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise
index c631a4815..ae7343223 100644
--- a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise
index 2d8132c10..951b199cf 100644
--- a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="fifo_4k_2clk.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise
index ea47d0f4b..ddb581fc1 100644
--- a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise
index 1ca7d35ee..1f72b185a 100644
--- a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="fifo_short_2clk.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/Makefile b/fpga/usrp3/top/x300/Makefile
index a5a0b22c8..dbe3bdd55 100644
--- a/fpga/usrp3/top/x300/Makefile
+++ b/fpga/usrp3/top/x300/Makefile
@@ -83,10 +83,10 @@ X310_HGS:
$(call post_build,X310,HGS)
X300_HGS:
- make -f Makefile.x300.inc bin NAME=$@ DEVICE=XC7K325T $(HYBRID_SRAM_DEFS) EXTRA_DEFS="$(HYBRID_SRAM_DEFS)"
+ make -f Makefile.x300.inc bin NAME=$@ DEVICE=XC7K325T FLOORPLAN=1 $(HYBRID_SRAM_DEFS) EXTRA_DEFS="$(HYBRID_SRAM_DEFS)"
$(call post_build,X300,HGS)
-# 1Gig on both ports, SRAM Tx FIFO's
+# 10Gig on both ports, SRAM Tx FIFO's
X310_XGS:
make -f Makefile.x300.inc bin NAME=$@ DEVICE=XC7K410T $(XGIGE_SRAM_DEFS) EXTRA_DEFS="$(XGIGE_SRAM_DEFS)"
$(call post_build,X310,XGS)
diff --git a/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.gise b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.gise
index a0a0f007e..0712f3aec 100644
--- a/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.gise
+++ b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.ncf b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.ncf
new file mode 100644
index 000000000..3f2148f6a
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.ncf
@@ -0,0 +1,4 @@
+NET "*_resync*" TNM = FFS "axi_intercon_2x64_128_reset_resync";
+NET "*INTERCONNECT_ARESETN" TNM = FFS "axi_intercon_2x64_128_reset_resync";
+TIMESPEC "TS_axi_intercon_2x64_128_reset_resync" = TO "axi_intercon_2x64_128_reset_resync" TIG;
+
diff --git a/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.xise b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.xise
index fb1579c69..822e58aee 100644
--- a/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.xise
+++ b/fpga/usrp3/top/x300/coregen/axi_intercon_2x64_128.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="axi_intercon_2x64_128/hdl/verilog/ict106_a_axi3_conv.v" xil_pn:type="FILE_VERILOG">
diff --git a/fpga/usrp3/top/x300/coregen/bootram.coe b/fpga/usrp3/top/x300/coregen/bootram.coe
index b14aa4f1e..c124e2bee 100755
--- a/fpga/usrp3/top/x300/coregen/bootram.coe
+++ b/fpga/usrp3/top/x300/coregen/bootram.coe
@@ -2,15 +2,15 @@ memory_initialization_radix=16;
memory_initialization_vector=
0b0b0b0b,
82700b0b,
-8196c80c,
+8196cc0c,
3a0b0b80,
-fec90400,
+fecc0400,
00000000,
00000000,
00000000,
80088408,
88080b0b,
-80ff942d,
+80ff972d,
880c840c,
800c0400,
00000000,
@@ -162,7 +162,7 @@ c4040000,
00000000,
71fc0608,
0b0b8196,
-b4738306,
+b8738306,
10100508,
060b0b0b,
88aa0400,
@@ -171,7 +171,7 @@ b4738306,
80088408,
88087575,
0b0b80f5,
-ee2d5050,
+f12d5050,
80085688,
0c840c80,
0c510400,
@@ -179,7 +179,7 @@ ee2d5050,
80088408,
88087575,
0b0b80f7,
-a02d5050,
+a32d5050,
80085688,
0c840c80,
0c510400,
@@ -209,7 +209,7 @@ a02d5050,
00000000,
00000000,
810b0b0b,
-8196c40c,
+8196c80c,
51040000,
00000000,
00000000,
@@ -257,7 +257,7 @@ a02d5050,
00000000,
00000000,
82813f80,
-f8b53f04,
+f8b83f04,
10101010,
10101010,
10101010,
@@ -280,56 +280,56 @@ fc060c51,
0a100a53,
72ed3851,
51535104,
-8196c408,
-802ea438,
8196c808,
+802ea438,
+8196cc08,
822ebd38,
8380800b,
0b0b819e,
-bc0c82a0,
+c00c82a0,
800b819e,
-c00c8290,
+c40c8290,
800b819e,
-c40c04f8,
+c80c04f8,
808080a4,
0b0b0b81,
-9ebc0cf8,
+9ec00cf8,
80808280,
-0b819ec0,
+0b819ec4,
0cf88080,
84800b81,
-9ec40c04,
+9ec80c04,
80c0a880,
8c0b0b0b,
-819ebc0c,
+819ec00c,
80c0a880,
940b819e,
-c00c0b0b,
-8180e80b,
-819ec40c,
+c40c0b0b,
+8180ec0b,
+819ec80c,
04ff3d0d,
-819ec833,
+819ecc33,
5170a738,
-8196d008,
+8196d408,
70085252,
70802e94,
38841281,
-96d00c70,
-2d8196d0,
+96d40c70,
+2d8196d4,
08700852,
5270ee38,
810b819e,
-c834833d,
+cc34833d,
0d040480,
3d0d0b0b,
-819eb808,
+819ebc08,
802e8e38,
0b0b0b0b,
800b802e,
09810685,
38823d0d,
040b0b81,
-9eb8510b,
+9ebc510b,
0b0bf5d4,
3f823d0d,
0404f93d,
@@ -357,57 +357,57 @@ b1387218,
04e23d0d,
8ba65283,
fa805198,
-813f8ba6,
+843f8ba6,
5283f280,
-5197f73f,
+5197fa3f,
8b885280,
-51a2a63f,
+51a2a93f,
81a65281,
-80f051a2,
-a73f80cf,
+80f451a2,
+aa3f80cf,
bcc3ab52,
83fc8051,
-989d3f80,
+98a03f80,
cfbcc3ab,
5283fe80,
-5198903f,
+5198933f,
80cfbcc3,
ab5283f8,
-80519883,
+80519886,
3f810b82,
c0840c82,
c0900855,
8a567481,
2e833881,
56755281,
-819c51a1,
-e33f82c0,
+81a051a1,
+e63f82c0,
9408558a,
5674812e,
83388156,
75528181,
-b451a1cc,
+b851a1cf,
3fbffc53,
82808052,
-819ecc51,
-a2e33f81,
-9ecc0852,
-8181cc51,
-a1b23f81,
-9ecc51a7,
-ad3f80e6,
-be3f8d3d,
+819ed051,
+a2e63f81,
+9ed00852,
+8181d051,
+a1b53f81,
+9ed051a7,
+b03f80e6,
+c13f8d3d,
5b80cc53,
-8196d452,
+8196d852,
7a5180ee,
-9d3f8254,
-8180ec53,
+a03f8254,
+8180f053,
80d05283,
fe805198,
-d43f80cc,
+d73f80cc,
547a5380,
d05283fe,
-805197ac,
+805197af,
3f82c090,
08558257,
74833874,
@@ -415,36 +415,36 @@ d05283fe,
0855835c,
74833881,
5c865381,
-96dc52a0,
+96e052a0,
3dffbc05,
51fdab3f,
80085686,
-538196e4,
+538196e8,
52a03dc4,
0551fd9a,
3f800858,
84537682,
-2b819780,
+2b819784,
1153701c,
ac115358,
55fd833f,
80085a84,
-538196f0,
+538196f4,
15529c17,
51fcf33f,
80085984,
537b822b,
-81978011,
+81978411,
53701cac,
11535855,
fcdc3f80,
085b8453,
-8196f015,
+8196f415,
529c1751,
fccc3f80,
0879557a,
54765357,
-8051a686,
+8051a689,
3f841633,
85173371,
882b0783,
@@ -464,7 +464,7 @@ d00c9e80,
0b82c1d4,
0c76547a,
53775281,
-51a5b73f,
+51a5ba3f,
84183385,
19337188,
2b07831a,
@@ -484,44 +484,44 @@ c2840c60,
82c2940c,
800b82c0,
840c80e4,
-5180c984,
+5180c987,
3f82c090,
08557481,
2e098106,
86388051,
-b69f3f82,
+b6a23f82,
c0940855,
74812e09,
81068638,
-7451b68d,
+7451b690,
3f805580,
e9397451,
-a2e23f80,
+a2e53f80,
085180d6,
-a43f8008,
+a73f8008,
53745281,
-81e0519e,
-833f7451,
-a2b53f80,
+81e4519e,
+863f7451,
+a2b83f80,
085180d6,
-b23f8008,
+b53f8008,
53745281,
-81f4519d,
-eb3f7451,
-a4c43f80,
+81f8519d,
+ee3f7451,
+a4c73f80,
085180d6,
-9a3f8008,
+9d3f8008,
53745281,
-8288519d,
-d33f7451,
-a4c13f80,
+828c519d,
+d63f7451,
+a4c43f80,
085180d6,
-823f8008,
+853f8008,
53745281,
-829c519d,
-bb3f8115,
+82a0519d,
+be3f8115,
7081ff06,
-5155b3b0,
+5155b3b3,
3f800875,
24ff8f38,
a03d0d04,
@@ -529,16 +529,16 @@ f53d0d7e,
60028805,
b7053371,
545b5b54,
-a1f63f80,
+a1f93f80,
0858a855,
78802e83,
38b85573,
902a7081,
ff067055,
75548182,
-b0535157,
-9cf23f78,
-51a1b93f,
+b4535157,
+9cf53f78,
+51a1bc3f,
74822b82,
c0801180,
08338008,
@@ -558,7 +558,7 @@ c0801180,
8818615a,
55535a41,
52525d57,
-55a0d43f,
+55a0d73f,
80080876,
0c82c08c,
15028405,
@@ -602,7 +602,7 @@ f23d0d65,
81b2388c,
3d5b9053,
79527a51,
-80e7f33f,
+80e7f63f,
668f2688,
387b8207,
5c80f439,
@@ -618,7 +618,7 @@ ac38881a,
53903dfc,
055277bf,
ffff0651,
-80c5fc3f,
+80c5ff3f,
84397708,
5f790882,
2a708106,
@@ -633,7 +633,7 @@ ffff0651,
80537852,
77bfffff,
065180c4,
-dd3f8439,
+e03f8439,
78780c79,
08810657,
76802e9c,
@@ -643,7 +643,7 @@ dd3f8439,
80d20522,
53615202,
80c30533,
-51a7cb3f,
+51a7ce3f,
903d0d04,
8c08028c,
0cf03d0d,
@@ -669,7 +669,7 @@ ec050808,
0c8c08d4,
0508802e,
913880c8,
-b03f8008,
+b33f8008,
81068c08,
dc050c85,
c5398c08,
@@ -679,7 +679,7 @@ d8050883,
050c8c08,
d4050880,
2e883880,
-cabd3f85,
+cac03f85,
b8398c08,
d8050886,
2a708106,
@@ -687,7 +687,7 @@ d8050886,
050c8c08,
d4050880,
2e883880,
-ccba3f85,
+ccbd3f85,
98398c08,
d8050887,
2a708106,
@@ -695,7 +695,7 @@ d8050887,
050c8c08,
d4050880,
2e9c3880,
-ccaf3f80,
+ccb23f80,
0881ff06,
8c08d405,
0c8c08d4,
@@ -704,7 +704,7 @@ ccaf3f80,
d8398c08,
ec050884,
05085180,
-ca823f80,
+ca853f80,
0881068c,
08dc050c,
8c08dc05,
@@ -720,7 +720,7 @@ ca823f80,
98388c08,
dc050880,
2e8f3880,
-c9e23f80,
+c9e53f80,
0881ff06,
8c08dc05,
0c8c08ec,
@@ -761,7 +761,7 @@ ec050888,
05088c08,
e0050805,
105180c3,
-ab3f8008,
+ae3f8008,
8c08dc05,
08068c08,
dc050c8c,
@@ -804,7 +804,7 @@ f4050c02,
05088805,
0810528c,
08f0050c,
-80c1ae3f,
+80c1b13f,
80088c08,
dc050806,
8c08dc05,
@@ -867,7 +867,7 @@ d4050880,
22538c08,
8c050852,
8c088b05,
-3351a0ca,
+3351a0cd,
3f8c08f8,
05080d92,
3d0d8c0c,
@@ -876,8 +876,8 @@ d4050880,
2eb73877,
08810657,
768c3881,
-82d85192,
-a33f7884,
+82dc5192,
+a63f7884,
07597882,
0759615a,
84180856,
@@ -886,7 +886,7 @@ a33f7884,
225402be,
0522537c,
5202af05,
-33519ffe,
+3351a081,
3f8b3d0d,
04f63d0d,
7c7e5957,
@@ -894,7 +894,7 @@ a33f7884,
74547652,
77538c3d,
f8055180,
-d7b43f7a,
+d7b73f7a,
81061681,
165656bf,
7527e538,
@@ -903,75 +903,75 @@ d7b43f7a,
3d0deef5,
3f92d452,
83808051,
-9cbf3f92,
+9cc23f92,
aa528380,
-81519cb5,
+81519cb8,
3f949452,
83808551,
-9cab3f9b,
+9cae3f9b,
9d528380,
-86519ca1,
+86519ca4,
3f805682,
c0800870,
77315153,
8a968a73,
2784f938,
805b8197,
-a0089d3d,
+a4089d3d,
ffbc0552,
-5380cbe7,
+5380cbea,
3f8008ac,
140c8197,
-a0087bb0,
+a4087bb0,
120c5381,
-9ed808ff,
-05819ed8,
-0c819ed8,
+9edc08ff,
+05819edc,
+0c819edc,
08ff2e09,
810680e0,
388fd00b,
-819ed80c,
-80c9a63f,
+819edc0c,
+80c9a93f,
805680c6,
397551ba,
-ef3f8008,
+f23f8008,
81ff0653,
72802e92,
387581ff,
06705253,
-80cbd83f,
+80cbdb3f,
725180d2,
-f63f8116,
+f93f8116,
70810670,
547781ff,
06705455,
555580d5,
-853f7251,
-80ced03f,
+883f7251,
+80ced33f,
73527251,
-80d4f73f,
-7456a5fc,
+80d4fa3f,
+7456a5ff,
3f800876,
26ffb238,
-8197a008,
+8197a408,
98110854,
54728738,
7294150c,
a039819e,
-dc08732e,
+e008732e,
8e38810b,
94150c80,
-0b819ee0,
+0b819ee4,
0c8b3981,
-9ee00881,
-05819ee0,
+9ee40881,
+05819ee4,
0c981408,
-819edc0c,
+819ee00c,
8fd00b81,
-9ee00827,
+9ee40827,
8638800b,
94150c80,
-0b819ee4,
+0b819ee8,
0b8e3d5a,
5b597881,
ff065580,
@@ -990,7 +990,7 @@ a0165657,
57758025,
f1387481,
268a3874,
-5196d53f,
+5196d83f,
80081757,
77088419,
08709f2a,
@@ -1009,15 +1009,15 @@ f1387481,
88195959,
877927fe,
f538819f,
-84088105,
-819f840c,
+88088105,
+819f880c,
7b7d5551,
7352fc8d,
3f80087e,
60565274,
5355fc81,
3f807583,
-2b819f84,
+2b819f88,
08bf0656,
56587375,
27833881,
@@ -1025,12 +1025,12 @@ f538819f,
08832b54,
57737327,
83388157,
-8051b880,
+8051b883,
3f800881,
ff065581,
-51b7f53f,
+51b7f83f,
800881ff,
-068197a0,
+068197a4,
08941108,
56545673,
802e8338,
@@ -1052,224 +1052,191 @@ ff065581,
85387282,
07537282,
c0800c81,
-9f880881,
-11819f88,
+9f8c0881,
+11819f8c,
0c5383d4,
df7327b9,
38800b81,
-9f880c80,
+9f8c0c80,
54a63973,
-51b6f93f,
+51b6fc3f,
800881ff,
06537280,
2e933873,
81ff0670,
-525390eb,
+525390ee,
3f800852,
-7251968c,
+7251968f,
3f811454,
-a2a63f80,
+a2a93f80,
087426d3,
388051b2,
-8d3f8151,
-b2883f82,
+903f8151,
+b28b3f82,
c0800856,
-9ceb3f80,
-c2be3f81,
-97a00881,
-9f8c0ba0,
+9cee3f80,
+c2c13f81,
+97a40881,
+9f900ba0,
120c81a1,
-8c0ba412,
+900ba412,
0c5380c0,
0ba8140c,
83fa8051,
-81ce3f80,
-08548008,
-ff2e80c4,
-3881a38c,
-08810570,
-81ff0670,
-81a38c0c,
-71832b98,
-06525653,
-72863872,
-81a3900c,
-73732b81,
-a3900807,
-7081a390,
-0c7581fc,
-06819f8c,
-0555740c,
-8197a008,
-7588120c,
-53ffad39,
-8197a008,
-5381a394,
-088c1408,
-2ebf3881,
-a3940870,
+81d13f80,
+08538008,
+ff2e80c7,
+3881a390,
+08810581,
+a3900c81,
+a3900870,
832b9806,
-71fc0681,
-a18c0570,
-08722a55,
-52555383,
-fa8051ba,
-3f81a394,
-08810570,
-81ff0670,
-81a3940c,
-8197a008,
-56515372,
-8c15082e,
-098106c3,
-388197a0,
-08538c80,
-80730cf9,
-aa39803d,
-0d727471,
-0c51823d,
-0d04fe3d,
-0d748411,
-53537108,
-5170802e,
-f9388c13,
-76710c51,
-843d0d04,
-fe3d0d74,
-88117008,
-515253ff,
-5270802e,
-87389013,
-70085351,
-71800c84,
-3d0d04fc,
-3d0d7653,
-80fa8980,
-52775180,
-d1ba3f80,
-08ff0570,
-83ffff06,
-7081ff06,
-750c7088,
-2a84160c,
-51548180,
-0b88140c,
-863d0d04,
+55557386,
+387381a3,
+940c7281,
+ff067075,
+2b81a394,
+08077081,
+a3940c76,
+81fc0681,
+9f900556,
+750c5381,
+97a40875,
+88120c53,
+ffaa3981,
+97a40853,
+81a39808,
+8c14082e,
+bf3881a3,
+98087083,
+2b980671,
+fc0681a1,
+90057008,
+722a5552,
+555383fa,
+8051ba3f,
+81a39808,
+81057081,
+ff067081,
+a3980c81,
+97a40856,
+5153728c,
+15082e09,
+8106c338,
+8197a408,
+538c8080,
+730cf9a7,
+39803d0d,
+7274710c,
+51823d0d,
+04fe3d0d,
+74841153,
+53710851,
+70802ef9,
+388c1376,
+710c5184,
+3d0d04fe,
+3d0d7488,
+11700851,
+5253ff52,
+70802e87,
+38901370,
+08535171,
+800c843d,
+0d04fc3d,
+0d765380,
+fa898052,
+775180d1,
+ba3f8008,
+ff057083,
+ffff0670,
+81ff0675,
+0c70882a,
+84160c51,
+5481800b,
+88140c86,
+3d0d04fd,
+3d0d7578,
+7a555552,
+81517280,
+2e818238,
+90120870,
+862a7081,
+06515151,
+70f23802,
+9b053370,
+1081078c,
+140c5181,
+900b9013,
+0c901208,
+70812a70,
+81065151,
+5170f238,
+90120870,
+872a7081,
+06708132,
+51515151,
+70802eb7,
+3872802e,
+ae3880e8,
+5172812e,
+8338a051,
+7090130c,
+90120870,
+812a7081,
+06515151,
+70f2388c,
+12085170,
+74708105,
+5634ff13,
+53cf3981,
+51873980,
+c00b9013,
+0c70800c,
+853d0d04,
fd3d0d75,
787a5555,
-52815172,
-802e8182,
-38901208,
+52901208,
70862a70,
81065151,
5170f238,
029b0533,
-70108107,
-8c140c51,
-81900b90,
-130c9012,
-0870812a,
-70810651,
-515170f2,
-38901208,
-70872a70,
-81067081,
-32515151,
-5170802e,
-b7387280,
-2eae3880,
-e8517281,
-2e8338a0,
+70108c14,
+0c5181d0,
+5172802e,
+84388190,
51709013,
0c901208,
70812a70,
81065151,
5170f238,
-8c120851,
-70747081,
-055634ff,
-1353cf39,
-81518739,
-80c00b90,
-130c7080,
-0c853d0d,
-04fd3d0d,
-75787a55,
-55529012,
-0870862a,
-70810651,
-515170f2,
-38029b05,
-3370108c,
-140c5181,
-d0517280,
-2e843881,
-90517090,
-130c9012,
-0870812a,
-70810651,
-515170f2,
-38901208,
-70872a70,
-81067081,
-32515151,
-5170802e,
-80cb3872,
-802e80c1,
-3873338c,
-130c80d0,
-5172812e,
-83389051,
-7090130c,
90120870,
-812a7081,
-06515151,
-70f23890,
-12087087,
+872a7081,
+06708132,
+51515151,
+70802e80,
+cb387280,
+2e80c138,
+73338c13,
+0c80d051,
+72812e83,
+38905170,
+90130c90,
+12087081,
2a708106,
-70813251,
51515170,
-802e8e38,
-8114ff14,
-5454ffbb,
-39815189,
-3980c00b,
-90130c80,
-5170800c,
-853d0d04,
-f63d0d7c,
-7e60625a,
-5d5b5680,
-59815585,
-39747a29,
-55745275,
-5180ceb4,
-3f80087a,
-27ef3874,
-802e80d8,
-38745275,
-5180cea0,
-3f800875,
-53765254,
-80ceba3f,
-80087a53,
-75525680,
-ce8a3f80,
-08793070,
-7b079f2a,
-70778024,
-07515154,
-55728538,
-8008ca38,
-768118b0,
-16555858,
-8974258b,
-38b71453,
-7a853880,
-d7145372,
-78348119,
-59ffa439,
-8077348c,
+f2389012,
+0870872a,
+70810670,
+81325151,
+51517080,
+2e8e3881,
+14ff1454,
+54ffbb39,
+81518939,
+80c00b90,
+130c8051,
+70800c85,
3d0d04f6,
3d0d7c7e,
60625a5d,
@@ -1277,18 +1244,18 @@ d7145372,
81558539,
747a2955,
74527551,
-80cdad3f,
+80ceb43f,
80087a27,
ef387480,
2e80d838,
74527551,
-80cd993f,
+80cea03f,
80087553,
76525480,
-cdb33f80,
+ceba3f80,
087a5375,
-525680cd,
-833f8008,
+525680ce,
+8a3f8008,
7930707b,
079f2a70,
77802407,
@@ -1304,2695 +1271,2680 @@ b714537a,
34811959,
ffa43980,
77348c3d,
-0d04f73d,
-0d7b7d7f,
-62029005,
-bb053357,
-59565a5a,
-b0587283,
-38a05875,
-70708105,
-52337159,
-54559039,
-8074258e,
-38ff1477,
-70810559,
-33545472,
-ef3873ff,
-15555380,
-73258938,
-77527951,
-782def39,
-75337557,
-5372802e,
-90387252,
-7951782d,
-75708105,
-573353ed,
-398b3d0d,
-04ed3d0d,
-65676a6a,
-70708105,
-52335b4b,
-5c5f5f76,
-802e83e9,
-3876a52e,
-09810683,
-d0388070,
-71436970,
+0d04f63d,
+0d7c7e60,
+625a5d5b,
+56805981,
+55853974,
+7a295574,
+52755180,
+cdad3f80,
+087a27ef,
+3874802e,
+80d83874,
+52755180,
+cd993f80,
+08755376,
+525480cd,
+b33f8008,
+7a537552,
+5680cd83,
+3f800879,
+30707b07,
+9f2a7077,
+80240751,
+51545572,
+85388008,
+ca387681,
+18b01655,
+58588974,
+258b38b7,
+14537a85,
+3880d714,
+53727834,
+811959ff,
+a4398077,
+348c3d0d,
+04f73d0d,
+7b7d7f62,
+029005bb,
+05335759,
+565a5ab0,
+58728338,
+a0587570,
70810552,
-33714c5a,
-585d4076,
-b02e0981,
-068c3875,
+33715954,
+55903980,
+74258e38,
+ff147770,
+81055933,
+545472ef,
+3873ff15,
+55538073,
+25893877,
+52795178,
+2def3975,
+33755753,
+72802e90,
+38725279,
+51782d75,
70810557,
-33764957,
-8140d017,
-56758926,
-80da3876,
-687d5f5c,
-59933977,
-8a2480c3,
-387c8a29,
-187b7081,
-055d335a,
-5dd01970,
-81ff0658,
-58897727,
-a438ff9f,
-197081ff,
-06ffa91b,
-5a515685,
-76279238,
-ffbf1970,
-81ff0651,
-56758526,
-8a38c919,
-58778025,
-ffb9387a,
-487c4178,
-81ff0657,
-7680ec2e,
-0981068a,
-38677033,
-58810548,
-815c7680,
-e42e80f2,
-387680e4,
-24a73876,
-80d82e81,
-b8387680,
-d8249038,
-76802e82,
-a83876a5,
-2e829238,
-82953976,
-80e32e81,
-e838828b,
-397680f5,
-2e9b3876,
-80f5248b,
-387680f3,
-2e81dd38,
-81f53976,
-80f82e80,
-fc3881eb,
+3353ed39,
+8b3d0d04,
+ed3d0d65,
+676a6a70,
+70810552,
+335b4b5c,
+5f5f7680,
+2e83e938,
+76a52e09,
+810683d0,
+38807071,
+43697070,
+81055233,
+714c5a58,
+5d4076b0,
+2e098106,
+8c387570,
+81055733,
+76495781,
+40d01756,
+75892680,
+da387668,
+7d5f5c59,
+9339778a,
+2480c338,
+7c8a2918,
+7b708105,
+5d335a5d,
+d0197081,
+ff065858,
+897727a4,
+38ff9f19,
+7081ff06,
+ffa91b5a,
+51568576,
+279238ff,
+bf197081,
+ff065156,
+7585268a,
+38c91958,
+778025ff,
+b9387a48,
+7c417881,
+ff065776,
+80ec2e09,
+81068a38,
+67703358,
+81054881,
+5c7680e4,
+2e80f238,
+7680e424,
+a7387680,
+d82e81b8,
+387680d8,
+24903876,
+802e82a8,
+3876a52e,
+82923882,
+95397680,
+e32e81e8,
+38828b39,
+7680f52e,
+9b387680,
+f5248b38,
+7680f32e,
+81dd3881,
+f5397680,
+f82e80fc,
+3881eb39,
+923d841b,
+58587b80,
+2e8e3877,
+5480538a,
+5279775b,
+5680fe39,
+77547b53,
+8a527977,
+5b568191,
39923d84,
1b58587b,
-802e8e38,
-77548053,
-8a527977,
-5b5680fe,
+802ea538,
+79777108,
+7a5a525b,
+56758025,
+8c387530,
+56ad7834,
+0280c505,
+57765480,
+538a5275,
+5180c539,
+79777108,
+7a5a525b,
+56758025,
+8c387530,
+56ad7834,
+0280c505,
+5776547b,
+538a5275,
+5180c139,
+923d587b,
+802e9e38,
+77547680,
+d8327030,
+70802555,
+51569052,
+79841b5b,
+56750851,
+f9e53f9f,
3977547b,
-538a5279,
-775b5681,
-9139923d,
-841b5858,
-7b802ea5,
-38797771,
-087a5a52,
-5b567580,
-258c3875,
-3056ad78,
-340280c5,
-05577654,
-80538a52,
-755180c5,
-39797771,
-087a5a52,
-5b567580,
-258c3875,
-3056ad78,
-340280c5,
-05577654,
-7b538a52,
-755180c1,
-39923d58,
-7b802e9e,
-38775476,
-80d83270,
-30708025,
-55515690,
-5279841b,
-5b567508,
-51f9e53f,
-9f397754,
-7b567680,
-d82e0981,
-06833881,
-56755390,
-5279841b,
-5b567508,
-51facc3f,
-953df405,
-557f5496,
-3979841b,
-83123354,
-5b569839,
-79841b71,
-08575b56,
-80546053,
-7d527e51,
-fbac3f87,
-3976527e,
-517d2d67,
-70335881,
-0548fc93,
-39953d0d,
-047281a3,
-980c7181,
-a39c0c04,
-fb3d0d88,
-3d707084,
-05520857,
-54755381,
-a3980852,
-81a39c08,
-51fbd63f,
-873d0d04,
-ff3d0d73,
-70085351,
-02930533,
-72347008,
-8105710c,
-833d0d04,
-fc3d0d87,
-3d881155,
-7854adf8,
-5351fba9,
-3f805287,
-3d51d13f,
-863d0d04,
-803d0d72,
-88110870,
-08800c51,
-51823d0d,
-04ff3d0d,
-73881108,
-84120871,
-0c535183,
+567680d8,
+2e098106,
+83388156,
+75539052,
+79841b5b,
+56750851,
+facc3f95,
+3df40555,
+7f549639,
+79841b83,
+1233545b,
+56983979,
+841b7108,
+575b5680,
+5460537d,
+527e51fb,
+ac3f8739,
+76527e51,
+7d2d6770,
+33588105,
+48fc9339,
+953d0d04,
+7281a39c,
+0c7181a3,
+a00c04fb,
+3d0d883d,
+70708405,
+52085754,
+755381a3,
+9c085281,
+a3a00851,
+fbd63f87,
3d0d04ff,
-3d0d7384,
-1108810a,
-0784120c,
-705252dd,
-3f7151c8,
-3f800b80,
-0824f638,
-841208fe,
-0a068413,
-0c7151c5,
-3f833d0d,
-04f93d0d,
-797b7058,
-55558057,
-7b145886,
-3d705254,
-ffab3f73,
-51ffb83f,
-8c537352,
-745180cb,
-bd3f7480,
-0c893d0d,
-04fd3d0d,
-75705254,
-fefa3f80,
-089f2a77,
-8008bfff,
-06710c53,
-70535372,
-802e8438,
-73085271,
-800c853d,
-0d04fe3d,
-0d745372,
-51fed13f,
-80089e2a,
-70810651,
-5271812e,
-098106eb,
-38720880,
-0c843d0d,
-04fc3d0d,
-76788412,
-08820a07,
-c0800670,
-84140c71,
-87065557,
-54547180,
-2e843888,
-135372bf,
-ff067507,
-84150c73,
-51fe9a3f,
-7351fe84,
-3f80089e,
-2a708106,
-515271f0,
-38841408,
-fd0a0684,
-150c7351,
-fdfb3f86,
+3d0d7370,
+08535102,
+93053372,
+34700881,
+05710c83,
3d0d04fc,
-3d0d7678,
-7a545555,
-80537274,
-278f3872,
-10157022,
-73058115,
-555351ee,
-3971902a,
-5170802e,
-8d387183,
-ffff0672,
-902a0552,
-ec397180,
-0c863d0d,
-04f83d0d,
-7a7c0288,
-05b30533,
-5b595780,
-7081a3b0,
-57575484,
-53745276,
-5180c8ca,
-3f800899,
-38865377,
-52731681,
-a4b00551,
-80c9ab3f,
-7881a5f0,
-153480cf,
-39811484,
-16851858,
-56549f74,
-27cd389f,
-0b81a3ac,
-08278738,
-800b81a3,
-ac0c81a3,
-ac087082,
-2b780881,
-a3b0120c,
-71100551,
-54865377,
-5281a4b0,
-145180c8,
-e53f81a3,
-ac085478,
-81a5f015,
-34811481,
-a3ac0c8a,
+3d0d873d,
+88115578,
+54adfb53,
+51fba93f,
+8052873d,
+51d13f86,
3d0d0480,
-3d0d028b,
-05337084,
-2981a690,
-05800c51,
+3d0d7288,
+11087008,
+800c5151,
823d0d04,
-fe3d0d02,
-93053370,
-84297110,
-0581a6a0,
-05800c52,
-843d0d04,
-fa3d0d78,
-57805473,
-81ff0670,
-5255c43f,
-84538008,
-52765180,
-c7943f80,
-088a3874,
-51c63f80,
-0854b839,
-81145483,
-7427d838,
-807081a3,
-b0575754,
-84537452,
-765180c6,
-ed3f8008,
-8a387316,
-81a4b005,
-54913981,
-14841685,
-18585654,
-9f7427dc,
-38805473,
-800c883d,
+ff3d0d73,
+88110884,
+1208710c,
+5351833d,
0d04ff3d,
-0d81a3a8,
-08527187,
-26a83802,
-8f053351,
-7081a7c8,
-13340293,
-05335170,
-81a7d013,
-34718429,
-81a7d805,
-76710c51,
-811281a3,
-a80c833d,
-0d04fe3d,
-0d7481a3,
-a00cbbb7,
-53805288,
-51ffb73f,
-bdc35383,
-528351ff,
-ad3f843d,
-0d04fa3d,
-0d02a305,
-3370822b,
-56548653,
-79527310,
-74842905,
-81a6a005,
-5180c6c6,
-3f7a7008,
-81a69017,
-0c547b70,
-0881a6b8,
-170c5480,
-0b81a6d8,
-160c883d,
+0d738411,
+08810a07,
+84120c70,
+5252dd3f,
+7151c83f,
+800b8008,
+24f63884,
+1208fe0a,
+0684130c,
+7151c53f,
+833d0d04,
+f93d0d79,
+7b705855,
+5580577b,
+1458863d,
+705254ff,
+ab3f7351,
+ffb83f8c,
+53735274,
+5180cbbd,
+3f74800c,
+893d0d04,
+fd3d0d75,
+705254fe,
+fa3f8008,
+9f2a7780,
+08bfff06,
+710c5370,
+53537280,
+2e843873,
+08527180,
+0c853d0d,
+04fe3d0d,
+74537251,
+fed13f80,
+089e2a70,
+81065152,
+71812e09,
+8106eb38,
+7208800c,
+843d0d04,
+fc3d0d76,
+78841208,
+820a07c0,
+80067084,
+140c7187,
+06555754,
+5471802e,
+84388813,
+5372bfff,
+06750784,
+150c7351,
+fe9a3f73,
+51fe843f,
+80089e2a,
+70810651,
+5271f038,
+841408fd,
+0a068415,
+0c7351fd,
+fb3f863d,
+0d04fc3d,
+0d76787a,
+54555580,
+53727427,
+8f387210,
+15702273,
+05811555,
+5351ee39,
+71902a51,
+70802e8d,
+387183ff,
+ff067290,
+2a0552ec,
+3971800c,
+863d0d04,
+f83d0d7a,
+7c028805,
+b305335b,
+59578070,
+81a3b457,
+57548453,
+74527651,
+80c8ca3f,
+80089938,
+86537752,
+731681a4,
+b4055180,
+c9ab3f78,
+81a5f415,
+3480cf39,
+81148416,
+85185856,
+549f7427,
+cd389f0b,
+81a3b008,
+27873880,
+0b81a3b0,
+0c81a3b0,
+0870822b,
+780881a3,
+b4120c71,
+10055154,
+86537752,
+81a4b414,
+5180c8e5,
+3f81a3b0,
+08547881,
+a5f41534,
+811481a3,
+b00c8a3d,
0d04803d,
0d028b05,
33708429,
-81a6b805,
+81a69405,
800c5182,
-3d0d04fd,
-3d0d0297,
-05337052,
-52fdb83f,
-80080872,
-5254d73f,
-71822b80,
-08080975,
-0781a6c8,
-120c81a6,
-c805800c,
-853d0d04,
-fb3d0d77,
-79575580,
-547381ff,
-0651c43f,
-84537452,
-80085180,
-c4d83f80,
-08802ea4,
-38811454,
-837427e1,
-387451fd,
-973f8008,
-54800880,
-2e9b3886,
-53800852,
-755180c5,
-a53f8c39,
-865381ff,
-52755180,
-c7f43f81,
-5473800c,
-873d0d04,
-ff3d0d02,
-8f053370,
-842981a6,
-d8057008,
-800c5252,
-833d0d04,
-803d0d72,
-70335151,
-7083268f,
-38708429,
-81a6d805,
-70088105,
-710c5182,
-3d0d04f7,
-3d0d7b7d,
-60637355,
-5b5b5b55,
-d33f81a3,
-a00851f9,
-b53f800b,
-8008831c,
-822a5558,
-54737327,
-9b387480,
-08565675,
-70840557,
-08757084,
-05570c81,
-14ff1454,
-5472ec38,
-8319822a,
-53807327,
-9d387d74,
-84291856,
-56757084,
-05570875,
-70840557,
-0c8114ff,
-14545472,
-ec388318,
-822a5380,
-73279d38,
-7f748429,
-18565675,
-70840557,
-08757084,
-05570c81,
-14ff1454,
-5472ec38,
-73810653,
-72812e09,
-81068938,
-73842917,
-5380730c,
-781a1852,
-81a3a008,
-51f8c23f,
-8b3d0d04,
-ec3d0d02,
-80db0533,
-58778b3d,
-34865381,
-ff52963d,
-d6055180,
-c5e83f77,
-51facd3f,
-86705480,
-0853973d,
-dc055257,
-80c2f73f,
-90860284,
-05b60523,
-810b903d,
-23908002,
-8405ba05,
-2376913d,
-34840284,
-05bd0534,
-81028405,
-be052377,
-51fa913f,
-76538008,
-52963dec,
-055180c2,
-bd3f7751,
-f9e93f84,
+3d0d04fe,
+3d0d0293,
+05337084,
+29711005,
+81a6a405,
+800c5284,
+3d0d04fa,
+3d0d7857,
+80547381,
+ff067052,
+55c43f84,
53800852,
-963df205,
-5180c2aa,
-3f765380,
-52963df6,
-055180c4,
-f93f6770,
-08465780,
-56805580,
-548053b0,
-52963dd0,
-0551fdaf,
-3f963d0d,
+765180c7,
+943f8008,
+8a387451,
+c63f8008,
+54b83981,
+14548374,
+27d83880,
+7081a3b4,
+57575484,
+53745276,
+5180c6ed,
+3f80088a,
+38731681,
+a4b40554,
+91398114,
+84168518,
+5856549f,
+7427dc38,
+80547380,
+0c883d0d,
+04ff3d0d,
+81a3ac08,
+52718726,
+a838028f,
+05335170,
+81a7cc13,
+34029305,
+33517081,
+a7d41334,
+71842981,
+a7dc0576,
+710c5181,
+1281a3ac,
+0c833d0d,
04fe3d0d,
-81a3a408,
-53728f26,
-a3387210,
-81a6e805,
-02840592,
-05225351,
-71712372,
-842981a7,
-88057671,
-0c518113,
-81a3a40c,
-843d0d04,
-e73d0da1,
-3d08a33d,
-08a53d08,
-028c0580,
-ef05339d,
-3d705774,
-565c5c5c,
-5d5bfbc8,
-3f800881,
-ff065776,
-8b388183,
-8051f498,
-3f81f039,
-788e3d34,
-86537752,
-9b3dce05,
-5180c18a,
-3f7851f8,
-cb3f8653,
-8008529b,
-3dd40551,
-80c0f73f,
+7481a3a4,
+0cbbba53,
+80528851,
+ffb73fbd,
+c6538352,
+8351ffad,
+3f843d0d,
+04fa3d0d,
+02a30533,
+70822b56,
+54865379,
+52731074,
+84290581,
+a6a40551,
+80c6c63f,
+7a700881,
+a694170c,
+547b7008,
+81a6bc17,
+0c54800b,
+81a6dc16,
+0c883d0d,
+04803d0d,
+028b0533,
+70842981,
+a6bc0580,
+0c51823d,
+0d04fd3d,
+0d029705,
+33705252,
+fdb83f80,
+08087252,
+54d73f71,
+822b8008,
+08097507,
+81a6cc12,
+0c81a6cc,
+05800c85,
+3d0d04fb,
+3d0d7779,
+57558054,
+7381ff06,
+51c43f84,
+53745280,
+085180c4,
+d83f8008,
+802ea438,
+81145483,
+7427e138,
+7451fd97,
+3f800854,
+8008802e,
+9b388653,
+80085275,
+5180c5a5,
+3f8c3986,
+5381ff52,
+755180c7,
+f43f8154,
+73800c87,
+3d0d04ff,
+3d0d028f,
+05337084,
+2981a6dc,
+05700880,
+0c525283,
+3d0d0480,
+3d0d7270,
+33515170,
+83268f38,
+70842981,
+a6dc0570,
+08810571,
+0c51823d,
+0d04f73d,
+0d7b7d60,
+6373555b,
+5b5b55d3,
+3f81a3a4,
+0851f9b5,
+3f800b80,
+08831c82,
+2a555854,
+7373279b,
+38748008,
+56567570,
+84055708,
+75708405,
+570c8114,
+ff145454,
+72ec3883,
+19822a53,
+8073279d,
+387d7484,
+29185656,
+75708405,
+57087570,
+8405570c,
+8114ff14,
+545472ec,
+38831882,
+2a538073,
+279d387f,
+74842918,
+56567570,
+84055708,
+75708405,
+570c8114,
+ff145454,
+72ec3873,
+81065372,
+812e0981,
+06893873,
+84291753,
+80730c78,
+1a185281,
+a3a40851,
+f8c23f8b,
+3d0d04ec,
+3d0d0280,
+db053358,
+778b3d34,
+865381ff,
+52963dd6,
+055180c5,
+e83f7751,
+facd3f86,
+70548008,
+53973ddc,
+05525780,
+c2f73f90,
+86028405,
+b6052381,
+0b903d23,
90800284,
-0580c205,
+05ba0523,
+76913d34,
+84028405,
+bd053481,
+028405be,
+05237751,
+fa913f76,
+53800852,
+963dec05,
+5180c2bd,
+3f7751f9,
+e93f8453,
+80085296,
+3df20551,
+80c2aa3f,
+76538052,
+963df605,
+5180c4f9,
+3f677008,
+46578056,
+80558054,
+8053b052,
+963dd005,
+51fdaf3f,
+963d0d04,
+fe3d0d81,
+a3a80853,
+728f26a3,
+38721081,
+a6ec0502,
+84059205,
+22535171,
+71237284,
+2981a78c,
+0576710c,
+51811381,
+a3a80c84,
+3d0d04e7,
+3d0da13d,
+08a33d08,
+a53d0802,
+8c0580ef,
+05339d3d,
+70577456,
+5c5c5c5d,
+5bfbc83f,
+800881ff,
+0657768b,
+38818384,
+51f4983f,
+81f03978,
+8e3d3486,
+5377529b,
+3dce0551,
+80c18a3f,
+7851f8cb,
+3f865380,
+08529b3d,
+d4055180,
+c0f73f90,
+80028405,
+80c20523,
+818a800b,
+933d239c,
+1a577602,
+840580c6,
+0523800b,
+943d2381,
+80800284,
+0580ca05,
+2380c081,
+0b953d23,
+80028405,
+80ce0523,
+7851f7ea,
+3f800808,
+457a0846,
+80538a52,
+9b3ddc05,
+51f68f3f,
+80080957,
+76028405,
+80ce0523,
+0280f305,
+33577698,
+3d340280,
+f7053357,
+76028405,
+80d90534,
+80028405,
+80da0523,
+0280fa05,
+22577699,
+3d230280,
+fe052257,
+76028405,
+80de0523,
+80538452,
+9b3df005,
+51f5bf3f,
+80085379,
+812a527b,
+51f5b33f,
+80080957,
+76028405,
+80da0523,
+80568055,
+79547b53,
+b0529b3d,
+c80551fa,
+d53f9b3d,
+0d04f93d,
+0d7f587e,
+577a5602,
+b6052255,
+02b20522,
+54805380,
+5202a705,
+3351fdbb,
+3f893d0d,
+04e83d0d,
+6ba13d08,
+02880580,
+eb05339b,
+3d705673,
+555b5b5b,
+5bf9843f,
+800881ff,
+0657768b,
+388183bc,
+51f1d43f,
+81b83978,
+8d3d3486,
+5377529a,
+3dce0551,
+bec73f78,
+51f6883f,
+86538008,
+529a3dd4,
+0551beb5,
+3f908002,
+8405be05,
23818a80,
-0b933d23,
+0b923d23,
9c1a5776,
02840580,
-c6052380,
-0b943d23,
+c2052380,
+0b933d23,
81808002,
-840580ca,
+840580c6,
052380c0,
-810b953d,
+910b943d,
23800284,
-0580ce05,
-237851f7,
-ea3f8008,
-08457a08,
-4680538a,
-529b3ddc,
-0551f68f,
+0580ca05,
+237851f5,
+a93f8008,
+08447a08,
+4580538a,
+529a3ddc,
+0551f3ce,
3f800809,
57760284,
-0580ce05,
-230280f3,
-05335776,
-983d3402,
-80f70533,
-57760284,
-0580d905,
-34800284,
-0580da05,
-230280fa,
+0580ca05,
+230280f2,
05225776,
-993d2302,
-80fe0522,
-57760284,
-0580de05,
-23805384,
-529b3df0,
-0551f5bf,
-3f800853,
-79812a52,
-7b51f5b3,
-3f800809,
+973d2302,
+80f60522,
57760284,
-0580da05,
-23805680,
-5579547b,
-53b0529b,
-3dc80551,
-fad53f9b,
-3d0d04f9,
-3d0d7f58,
-7e577a56,
-02b60522,
-5502b205,
-22548053,
-805202a7,
-053351fd,
-bb3f893d,
-0d04e83d,
-0d6ba13d,
-08028805,
-80eb0533,
-9b3d7056,
-73555b5b,
-5b5bf984,
-3f800881,
-ff065776,
-8b388183,
-b851f1d4,
-3f81b839,
-788d3d34,
-86537752,
-9a3dce05,
-51bec73f,
-7851f688,
-3f865380,
-08529a3d,
-d40551be,
-b53f9080,
-028405be,
-0523818a,
-800b923d,
-239c1a57,
-76028405,
-80c20523,
-800b933d,
-23818080,
-02840580,
-c6052380,
-c0910b94,
-3d238002,
-840580ca,
-05237851,
-f5a93f80,
-0808447a,
-08458053,
-8a529a3d,
-dc0551f3,
-ce3f8008,
-09577602,
-840580ca,
-05230280,
-f2052257,
-76973d23,
-0280f605,
-22577602,
-840580d6,
-0523881a,
-5776983d,
-23800284,
-0580da05,
-23805680,
-5579546e,
-53b0529a,
-3dc80551,
-f8c93f9a,
-3d0d04f2,
-3d0d6502,
-840580c3,
-05339412,
-89133353,
-5f5f5877,
-912e0981,
-0680d238,
-800b81a3,
-a4085b59,
-787a2780,
-c43881a7,
-880b81a6,
-e85d5b7b,
-7082055d,
-227d2240,
-58777f2e,
-0981069e,
-387d51f4,
-823f8057,
-8056821d,
-22557754,
-80085361,
-527d517a,
-0858772d,
-8c398119,
-841c5c59,
-797926c7,
-38903d0d,
-04df3d0d,
-8044a33d,
-c4055281,
-a3a00851,
-f0e33f80,
-085d8008,
-802e8584,
-38800851,
-f7923f94,
-1d921e22,
-5f5a7d90,
-862e0981,
-0682fa38,
-7c337a22,
-595b7781,
+0580d605,
+23881a57,
+76983d23,
+80028405,
+80da0523,
+80568055,
+79546e53,
+b0529a3d,
+c80551f8,
+c93f9a3d,
+0d04f23d,
+0d650284,
+0580c305,
+33941289,
+1333535f,
+5f587791,
2e098106,
-84d63882,
-1a225877,
-90802e09,
-810684c8,
-38841a22,
-58778c84,
+80d23880,
+0b81a3a8,
+085b5978,
+7a2780c4,
+3881a78c,
+0b81a6ec,
+5d5b7b70,
+82055d22,
+7d224058,
+777f2e09,
+81069e38,
+7d51f482,
+3f805780,
+56821d22,
+55775480,
+08536152,
+7d517a08,
+58772d8c,
+39811984,
+1c5c5979,
+7926c738,
+903d0d04,
+df3d0d80,
+44a33dc4,
+055281a3,
+a40851f0,
+e33f8008,
+5d800880,
+2e858438,
+800851f7,
+923f941d,
+921e225f,
+5a7d9086,
2e098106,
-84ba3886,
-1a225877,
-822e0981,
-06a43893,
-3d598453,
-a21d5278,
-51bbb33f,
-a13d5886,
-539c1d52,
-7751bba6,
-3f7a5377,
-527851f1,
-c03f861a,
-22587781,
+82fa387c,
+337a2259,
+5b77812e,
+09810684,
+d638821a,
+22587790,
+802e0981,
+0684c838,
+841a2258,
+778c842e,
+09810684,
+ba38861a,
+22587782,
2e098106,
-83fe387a,
-51f2bc3f,
-ac1d5f84,
-53800852,
-7e51ba8a,
-3f80085c,
-800883e4,
-387a51f2,
-b73f8008,
-587a963d,
-349c1d59,
-86537852,
-a33dce05,
-51badb3f,
-7a51f29c,
-3f865380,
-0852a33d,
-d40551ba,
-c93f7d02,
-840580e2,
-05237922,
-9b3d2382,
-1a220284,
-0580e605,
-23841a33,
-9c3d3485,
-1a330284,
-0580e905,
-34820284,
-0580ea05,
-23865377,
-52a33de4,
-0551ba8e,
-3f847054,
-7f53a43d,
-ea055258,
-ba803f86,
+a438933d,
+598453a2,
+1d527851,
+bbb33fa1,
+3d588653,
+9c1d5277,
+51bba63f,
+7a537752,
+7851f1c0,
+3f861a22,
+5877812e,
+09810683,
+fe387a51,
+f2bc3fac,
+1d5f8453,
+8008527e,
+51ba8a3f,
+80085c80,
+0883e438,
+7a51f2b7,
+3f800858,
+7a963d34,
+9c1d5986,
537852a3,
-3dee0551,
-b9f43f77,
-53a21d52,
-a33df405,
-51b9e73f,
-7b567b55,
-7b547b53,
-b052a33d,
-c80551f4,
-fe3f82c0,
-397f51f0,
-fe3f7884,
-2981a788,
-0561f805,
+3dce0551,
+badb3f7a,
+51f29c3f,
+86538008,
+52a33dd4,
+0551bac9,
+3f7d0284,
+0580e205,
+2379229b,
+3d23821a,
+22028405,
+80e60523,
+841a339c,
+3d34851a,
+33028405,
+80e90534,
+82028405,
+80ea0523,
+86537752,
+a33de405,
+51ba8e3f,
+8470547f,
+53a43dea,
+055258ba,
+803f8653,
+7852a33d,
+ee0551b9,
+f43f7753,
+a21d52a3,
+3df40551,
+b9e73f7b,
+567b557b,
+547b53b0,
+52a33dc8,
+0551f4fe,
+3f82c039,
+7f51f0fe,
+3f788429,
+81a78c05,
+61f80558,
+881c5782,
+1c22567b,
+22558008,
+54625360,
+52700851,
+58772d81,
+bd397c51,
+f0d43f77,
+842981a7,
+dc05f81d,
58881c57,
-821c2256,
-7b225580,
-08546253,
-60527008,
-5158772d,
-81bd397c,
-51f0d43f,
-77842981,
-a7d805f8,
-1d58881c,
-57861c22,
-56841c22,
-55800854,
-7e537d52,
-70085158,
-772d81ec,
-397d9080,
-2e098106,
-81e238a8,
-1d7a2270,
-8c2a5a5a,
-5b77842e,
+861c2256,
+841c2255,
+8008547e,
+537d5270,
+08515877,
+2d81ec39,
+7d90802e,
09810681,
-cf387888,
-2a708f06,
-51587785,
-2e098106,
-81be3886,
-1a2280ff,
-ff065978,
-81b2387c,
-33538c1d,
-52a01d70,
-5242eed9,
-3f891a33,
-5877912e,
-098106bf,
-387c3382,
-1b22ec05,
-81a3a408,
-5e424080,
-7c279e38,
-821b2281,
-a6e8405e,
-7e708205,
-40225877,
-7e2efeb1,
-38811959,
-7b7926ec,
-38821b22,
-537a2252,
-8183ec51,
-eae63f89,
-1a335877,
-812e0981,
-0680cd38,
-7c338c1b,
-821c22ec,
-055e5f5d,
-800b81a3,
-a8085b58,
-777a27aa,
-387a3359,
-81a7c818,
-33407860,
+e238a81d,
+7a22708c,
+2a5a5a5b,
+77842e09,
+810681cf,
+3878882a,
+708f0651,
+5877852e,
+09810681,
+be38861a,
+2280ffff,
+06597881,
+b2387c33,
+538c1d52,
+a01d7052,
+42eed93f,
+891a3358,
+77912e09,
+8106bf38,
+7c33821b,
+22ec0581,
+a3a8085e,
+4240807c,
+279e3882,
+1b2281a6,
+ec405e7e,
+70820540,
+2258777e,
+2efeb138,
+8119597b,
+7926ec38,
+821b2253,
+7a225281,
+83f051ea,
+e63f891a,
+33587781,
2e098106,
-9138811b,
-3381a7d0,
-1933415f,
-7e602efd,
-fe388118,
-58797826,
-db387a33,
-52818494,
-51ea8d3f,
-81a3a008,
-51eafc3f,
-a33d0d04,
-820b800c,
-04fd3d0d,
-76548453,
-83808002,
-84059705,
-33525270,
-802e8738,
-855383a0,
-80527284,
-2982c080,
-0570087a,
-9f065551,
-5170802e,
-80c13873,
-94130c77,
-9f067074,
-852b0770,
-a0800798,
-150c5254,
-810b9c13,
-0c9c1208,
-5170812e,
-f8387285,
+80cd387c,
+338c1b82,
+1c22ec05,
+5e5f5d80,
+0b81a3ac,
+085b5877,
+7a27aa38,
+7a335981,
+a7cc1833,
+4078602e,
+09810691,
+38811b33,
+81a7d419,
+33415f7e,
+602efdfe,
+38811858,
+797826db,
+387a3352,
+81849851,
+ea8d3f81,
+a3a40851,
+eafc3fa3,
+3d0d0482,
+0b800c04,
+fd3d0d76,
+54845383,
+80800284,
+05970533,
+52527080,
+2e873885,
+5383a080,
+52728429,
+82c08005,
+70087a9f,
+06555151,
+70802e80,
+c1387394,
+130c779f,
+06707485,
+2b0770a0,
+80079815,
+0c525481,
+0b9c130c,
+9c120851,
+70812ef8,
+3872852b,
+740770b8,
+80079814,
+0c51810b,
+9c130c9c,
+12085170,
+812ef838,
+9c397285,
2b740770,
-b8800798,
+88800798,
140c5181,
0b9c130c,
9c120851,
70812ef8,
-389c3972,
-852b7407,
-70888007,
-98140c51,
-810b9c13,
-0c9c1208,
-5170812e,
-f8389012,
-08800c85,
-3d0d04f7,
-3d0d7b02,
-8405b305,
-33585802,
-b705338b,
-3d348154,
-8b3dfc05,
-53765277,
-51e0923f,
-800881ff,
-0655ff56,
-74802e9e,
-3881548b,
-3df80553,
+38901208,
+800c853d,
+0d04f73d,
+0d7b0284,
+05b30533,
+585802b7,
+05338b3d,
+3481548b,
+3dfc0553,
76527751,
-dede3f80,
+e0923f80,
0881ff06,
-5574802e,
-8538893d,
-33567580,
-0c8b3d0d,
-04f73d0d,
-7b5a8380,
-80567980,
-2e853883,
-a0805681,
-84b451e7,
-fb3f8176,
-0c7981ff,
-068184dc,
-5259e7ec,
-3f845481,
-53805278,
-51fde63f,
-80088280,
-80075884,
-56838080,
-5778802e,
-87388556,
-83a08057,
-75842982,
-c0800570,
-08515675,
-802eb438,
-800b9418,
-0ca1810b,
-98180c81,
-0b9c180c,
-9c170856,
-75812ef8,
-38779018,
-0ca9810b,
-98180c81,
-0b9c180c,
-9c170856,
-75812ef8,
-38993977,
-90180c91,
-800b9818,
-0c810b9c,
-180c9c17,
-08567581,
-2ef83877,
-8f2a5675,
-81065675,
-802e9338,
+55ff5674,
+802e9e38,
+81548b3d,
+f8055376,
+527751de,
+de3f8008,
+81ff0655,
+74802e85,
+38893d33,
+5675800c,
+8b3d0d04,
+f73d0d7b,
+5a838080,
+5679802e,
+853883a0,
+80568184,
+b851e7fb,
+3f81760c,
+7981ff06,
+8184e052,
+59e7ec3f,
84548153,
80527851,
-fcdb3f80,
-088f2a56,
-e63982c0,
-a0567980,
-2e853882,
-c0a45675,
-08708406,
-70822a57,
-58708206,
-70812a56,
-58810653,
-79528185,
-8451e6a4,
-3f8b3d0d,
-04fa3d0d,
-787a7c81,
-85c45459,
-5754e690,
-3f755281,
-85d051e6,
-873f8185,
-d451e680,
-3f73862e,
-83df3873,
-86269b38,
-73812e81,
-91388174,
-26ad3873,
-842e81ff,
-3873852e,
-82d1388a,
-9b397388,
-2e85f538,
-88742684,
-9f387398,
-2e888838,
-7383ffff,
-2e89b638,
-89fe3981,
-85d851e5,
-b73f7652,
-8185d051,
-e5ae3f81,
-85d451e5,
-a73f8f55,
-81752b77,
-06547380,
-2eb03874,
-8f26ab38,
-74842981,
-93a80554,
-73080481,
-85e45197,
-398185ec,
-51913981,
-85f8518b,
-39818688,
-51853981,
-86a051e4,
-eb3fff15,
-55748025,
-ffbe3888,
-cd398186,
-b051e4d8,
+fde63f80,
+08828080,
+07588456,
+83808057,
+78802e87,
+38855683,
+a0805775,
+842982c0,
+80057008,
+51567580,
+2eb43880,
+0b94180c,
+a1810b98,
+180c810b,
+9c180c9c,
+17085675,
+812ef838,
+7790180c,
+a9810b98,
+180c810b,
+9c180c9c,
+17085675,
+812ef838,
+99397790,
+180c9180,
+0b98180c,
+810b9c18,
+0c9c1708,
+5675812e,
+f838778f,
+2a567581,
+06567580,
+2e933884,
+54815380,
+527851fc,
+db3f8008,
+8f2a56e6,
+3982c0a0,
+5679802e,
+853882c0,
+a4567508,
+70840670,
+822a5758,
+70820670,
+812a5658,
+81065379,
+52818588,
+51e6a43f,
+8b3d0d04,
+fa3d0d78,
+7a7c8185,
+c8545957,
+54e6903f,
+75528185,
+d451e687,
+3f8185d8,
+51e6803f,
+73862e83,
+df387386,
+269b3873,
+812e8191,
+38817426,
+ad387384,
+2e81ff38,
+73852e82,
+d1388a9b,
+3973882e,
+85f53888,
+7426849f,
+3873982e,
+88883873,
+83ffff2e,
+89b63889,
+fe398185,
+dc51e5b7,
3f765281,
-85d051e4,
-cf3f8185,
-d451e4c8,
+85d451e5,
+ae3f8185,
+d851e5a7,
3f8f5581,
752b7706,
5473802e,
-b6387482,
-2ea03874,
-82248838,
-74812e9c,
-38b93974,
-872e0981,
-06b13881,
-86bc51e4,
-9b3f8655,
-d2398186,
-cc519839,
-8186d851,
-e48a3f80,
-55c13974,
-822e0981,
-068d3881,
-86ec51e3,
-f73f8155,
-ffad39ff,
-15557480,
-25ffa438,
-87d43981,
-86fc51e3,
-df3f7652,
-8185d051,
-e3d63f81,
-85d451e3,
-cf3f8f55,
-81752b77,
-06547380,
-2ea03874,
-802e9438,
-807524a0,
-38748f24,
-9b388187,
-8c51e3ac,
-3f923981,
-87a05183,
-bd397488,
-388187b4,
-5183b339,
-ff155574,
-8025c538,
-86fc3981,
-87cc51e3,
-873f7652,
-8185d051,
-e2fe3f81,
-85d451e2,
-f73f8f55,
-81752b77,
-06547380,
-2e80c238,
-748726bd,
-38748429,
-8193e805,
-54730804,
-8187e451,
-a9398187,
-f851a339,
-8187fc51,
-9d398188,
-84519739,
-81888c51,
-91398188,
-94518b39,
-81889c51,
-85398188,
-a851e2a8,
+b038748f,
+26ab3874,
+84298193,
+ac055473,
+08048185,
+e8519739,
+8185f051,
+91398185,
+fc518b39,
+81868c51,
+85398186,
+a451e4eb,
3fff1555,
748025ff,
-ab38868a,
-398188c0,
-51e2953f,
+be3888cd,
+398186b4,
+51e4d83f,
76528185,
-d051e28c,
-3f8185d4,
-51e2853f,
+d451e4cf,
+3f8185d8,
+51e4c83f,
8f558175,
2b770654,
-73802ebc,
-38748e2e,
-a038748e,
+73802eb6,
+3874822e,
+a0387482,
24883874,
-8d2ea138,
-ab39748f,
+812e9c38,
+b9397487,
2e098106,
-a3388188,
-dc51e1d8,
-3f8e55d2,
-398188f0,
-51e1cd3f,
-8d55c739,
-81898451,
-e1c23f8c,
-55ffbb39,
+b1388186,
+c051e49b,
+3f8655d2,
+398186d0,
+51983981,
+86dc51e4,
+8a3f8055,
+c1397482,
+2e098106,
+8d388186,
+f051e3f7,
+3f8155ff,
+ad39ff15,
+55748025,
+ffa43887,
+d4398187,
+8051e3df,
+3f765281,
+85d451e3,
+d63f8185,
+d851e3cf,
+3f8f5581,
+752b7706,
+5473802e,
+a0387480,
+2e943880,
+7524a038,
+748f249b,
+38818790,
+51e3ac3f,
+92398187,
+a45183bd,
+39748838,
+8187b851,
+83b339ff,
+15557480,
+25c53886,
+fc398187,
+d051e387,
+3f765281,
+85d451e2,
+fe3f8185,
+d851e2f7,
+3f8f5581,
+752b7706,
+5473802e,
+80c23874,
+8726bd38,
+74842981,
+93ec0554,
+73080481,
+87e851a9,
+398187fc,
+51a33981,
+8880519d,
+39818888,
+51973981,
+88905191,
+39818898,
+518b3981,
+88a05185,
+398188ac,
+51e2a83f,
ff155574,
-8025ffb2,
-38859f39,
-81899c51,
-e1aa3f76,
-528185d0,
-51e1a13f,
-8185d451,
-e19a3f75,
-812e0981,
-0680f038,
-768f0654,
-738f2684,
-f5387384,
-29819488,
-05547308,
-048189a8,
-51818f39,
-8189b451,
-81883981,
-89c05181,
-81398189,
-d05180fa,
-398189dc,
-5180f339,
-8189e851,
-80ec3981,
-89f85180,
-e539818a,
-845180de,
-39818a94,
-5180d739,
-818aa051,
-80d03981,
-8aac5180,
-c939818a,
-b85180c2,
-39818ac8,
-51bc3975,
-832e0981,
-06848738,
-76830654,
-73812ea0,
-38817426,
-a1387382,
-2e903873,
-832e0981,
-0683eb38,
-818ad851,
-9139818a,
-e8518b39,
-818af851,
-8539818b,
-8851dfe4,
-3f83cf39,
-818b9851,
-dfda3f76,
-528185d0,
-51dfd13f,
-8185d451,
-dfca3f8f,
+8025ffab,
+38868a39,
+8188c451,
+e2953f76,
+528185d4,
+51e28c3f,
+8185d851,
+e2853f8f,
5581752b,
77065473,
-802e81ef,
-38748f26,
-81e93874,
-84298194,
-c8055473,
-0804768e,
-2a813270,
-81065154,
-73802e81,
-ce38818b,
-a45181c4,
-3975812e,
-09810681,
-be38818b,
-b85181b4,
-3975812e,
-09810681,
-ae38818b,
-d05181a4,
-39818bec,
-51819d39,
-818c8051,
-81963975,
-812e0981,
-06819038,
-818c9451,
-81863975,
-812e0981,
-06818038,
-818cb451,
-80f63975,
-812e0981,
-0680f038,
-818a9451,
-80e63975,
-812e0981,
-0680e038,
-818aa051,
-80d63975,
-812e0981,
-0680d038,
-818aac51,
-80c63975,
-812e0981,
-0680c038,
-818ab851,
-b7397581,
+802ebc38,
+748e2ea0,
+38748e24,
+8838748d,
+2ea138ab,
+39748f2e,
+098106a3,
+388188e0,
+51e1d83f,
+8e55d239,
+8188f451,
+e1cd3f8d,
+55c73981,
+898851e1,
+c23f8c55,
+ffbb39ff,
+15557480,
+25ffb238,
+859f3981,
+89a051e1,
+aa3f7652,
+8185d451,
+e1a13f81,
+85d851e1,
+9a3f7581,
2e098106,
-b238818c,
-c851a939,
-75812e09,
-8106a438,
-818cd451,
-9b397581,
+80f03876,
+8f065473,
+8f2684f5,
+38738429,
+81948c05,
+54730804,
+8189ac51,
+818f3981,
+89b85181,
+88398189,
+c4518181,
+398189d4,
+5180fa39,
+8189e051,
+80f33981,
+89ec5180,
+ec398189,
+fc5180e5,
+39818a88,
+5180de39,
+818a9851,
+80d73981,
+8aa45180,
+d039818a,
+b05180c9,
+39818abc,
+5180c239,
+818acc51,
+bc397583,
2e098106,
-9638818c,
-e0518d39,
-75812e09,
-81068838,
-8186a451,
-ddce3fff,
-15557480,
-25fdfe38,
-81b03981,
-8cec51dd,
-bb3f7652,
-8185d051,
-ddb23f81,
-85d451dd,
-ab3f8f55,
+84873876,
+83065473,
+812ea038,
+817426a1,
+3873822e,
+90387383,
+2e098106,
+83eb3881,
+8adc5191,
+39818aec,
+518b3981,
+8afc5185,
+39818b8c,
+51dfe43f,
+83cf3981,
+8b9c51df,
+da3f7652,
+8185d451,
+dfd13f81,
+85d851df,
+ca3f8f55,
81752b77,
06547380,
-2eba3874,
-8c2680fd,
-38748429,
-81958805,
-54730804,
-818cfc51,
-9d39818d,
-8c519739,
-818dac51,
-9139818d,
-bc518b39,
-818dcc51,
-8539818d,
-dc51dce8,
-3f80ca39,
-74812eb2,
-38748124,
-88387480,
-2eb438b9,
-3974822e,
-95387483,
+2e81ef38,
+748f2681,
+e9387484,
+298194cc,
+05547308,
+04768e2a,
+81327081,
+06515473,
+802e81ce,
+38818ba8,
+5181c439,
+75812e09,
+810681be,
+38818bbc,
+5181b439,
+75812e09,
+810681ae,
+38818bd4,
+5181a439,
+818bf051,
+819d3981,
+8c845181,
+96397581,
2e098106,
-ac38818d,
-ec51dcc0,
-3f8255ff,
-9339818e,
-8051dcb4,
-3f8155ff,
-8739818e,
-9451dca8,
-3f7355fe,
-fb39818e,
-a851fcb6,
-39ff1555,
-748025fe,
-eb38818e,
-bc5180c7,
-39765281,
-8ec051dc,
-833f769e,
-80067088,
-2a545476,
-83e08006,
-708c2a53,
-54818edc,
-51dbe93f,
-768e0670,
-812a5354,
-818eec51,
-dbda3f76,
-81065473,
-802e8838,
-818ef851,
-dbca3f81,
-91e051db,
-c33fa139,
-818f9451,
-dbba3f73,
-528185d0,
-51dbb13f,
-818fac51,
-dbaa3f76,
-528181dc,
-51dba13f,
-883d0d04,
-e53d0d6e,
-02840580,
-f7053358,
-58a45381,
-95bc529d,
-3dd80551,
-a8883f89,
-3d55a853,
-8195e052,
-7451a7fa,
-3f8191e0,
-51daed3f,
-74568955,
-77548153,
-75085276,
-51f0e23f,
-80084c6b,
-53815275,
-70840557,
-0851f4ad,
-3fff1555,
-748025dc,
-38933d56,
-88557754,
-83537508,
-527651f0,
-b83f8008,
-4c6b5383,
-52757084,
-05570851,
-f4833fff,
-15557480,
-25dc3881,
-91e051da,
-933f9d3d,
-0d04f93d,
-0d795882,
-c0a05577,
-802e8538,
-82c0a455,
-74087083,
+81903881,
+8c985181,
+86397581,
+2e098106,
+81803881,
+8cb85180,
+f6397581,
+2e098106,
+80f03881,
+8a985180,
+e6397581,
+2e098106,
+80e03881,
+8aa45180,
+d6397581,
+2e098106,
+80d03881,
+8ab05180,
+c6397581,
+2e098106,
+80c03881,
+8abc51b7,
+3975812e,
+098106b2,
+38818ccc,
+51a93975,
+812e0981,
+06a43881,
+8cd8519b,
+3975812e,
+09810696,
+38818ce4,
+518d3975,
+812e0981,
+06883881,
+86a851dd,
+ce3fff15,
+55748025,
+fdfe3881,
+b039818c,
+f051ddbb,
+3f765281,
+85d451dd,
+b23f8185,
+d851ddab,
+3f8f5581,
+752b7706,
+5473802e,
+ba38748c,
+2680fd38,
+74842981,
+958c0554,
+73080481,
+8d80519d,
+39818d90,
+51973981,
+8db05191,
+39818dc0,
+518b3981,
+8dd05185,
+39818de0,
+51dce83f,
+80ca3974,
+812eb238,
+74812488,
+3874802e,
+b438b939,
+74822e95,
+3874832e,
+098106ac,
+38818df0,
+51dcc03f,
+8255ff93,
+39818e84,
+51dcb43f,
+8155ff87,
+39818e98,
+51dca83f,
+7355fefb,
+39818eac,
+51fcb639,
+ff155574,
+8025feeb,
+38818ec0,
+5180c739,
+7652818e,
+c451dc83,
+3f769e80,
+0670882a,
+54547683,
+e0800670,
+8c2a5354,
+818ee051,
+dbe93f76,
+8e067081,
+2a535481,
+8ef051db,
+da3f7681,
+06547380,
+2e883881,
+8efc51db,
+ca3f8191,
+e451dbc3,
+3fa13981,
+8f9851db,
+ba3f7352,
+8185d451,
+dbb13f81,
+8fb051db,
+aa3f7652,
+8181e051,
+dba13f88,
+3d0d04e5,
+3d0d6e02,
+840580f7,
+05335858,
+a4538195,
+c0529d3d,
+d80551a8,
+883f893d,
+55a85381,
+95e45274,
+51a7fa3f,
+8191e451,
+daed3f74,
+56895577,
+54815375,
+08527651,
+f0e23f80,
+084c6b53,
+81527570,
+84055708,
+51f4ad3f,
+ff155574,
+8025dc38,
+933d5688,
+55775483,
+53750852,
+7651f0b8,
+3f80084c,
+6b538352,
+75708405,
+570851f4,
+833fff15,
+55748025,
+dc388191,
+e451da93,
+3f9d3d0d,
+04f93d0d,
+795882c0,
+a0557780,
+2e853882,
+c0a45574,
+0870832a,
+70810651,
+56567480,
+2e8e3875,
+81065377,
+52818fc0,
+51d9e03f,
+75842a70,
+81065155,
+74802e92,
+38758206,
+70812a54,
+55775281,
+8fe851d9,
+c23f7585,
2a708106,
-51565674,
-802e8e38,
-75810653,
-7752818f,
-bc51d9e0,
-3f75842a,
+56577480,
+2e923875,
+84067082,
+2a545577,
+52819094,
+51d9a43f,
+75b80655,
+74802e80,
+c6387587,
+065574bf,
+3882c090,
+5577802e,
+853882c0,
+94557408,
+5574812e,
+098106a7,
+387751f0,
+e33f8452,
+8051fdd3,
+3f80e451,
+83a93f84,
+528051fd,
+c63f80e4,
+51839c3f,
+84528051,
+fdb93f76,
+81065574,
+802e82bd,
+3875822a,
70810651,
5574802e,
-92387582,
-0670812a,
-54557752,
-818fe451,
-d9c23f75,
-852a7081,
-06565774,
-802e9238,
-75840670,
-822a5455,
-77528190,
-9051d9a4,
-3f75b806,
+8d387752,
+8190bc51,
+d8b53f82,
+a4397752,
+8190f851,
+d8a93f83,
+fc805677,
+802e8538,
+83f88056,
+815182d7,
+3f835380,
+d0527551,
+efa83f80,
+0b800824,
+81e43880,
+08810655,
+7481cb38,
+8008842a,
+70810651,
5574802e,
-80c63875,
-87065574,
-bf3882c0,
-90557780,
-2e853882,
-c0945574,
-08557481,
-2e098106,
-a7387751,
-f0e33f84,
-528051fd,
-d33f80e4,
-5183a93f,
-84528051,
-fdc63f80,
-e451839c,
-3f845280,
-51fdb93f,
-76810655,
-74802e82,
-bd387582,
-2a708106,
-51557480,
-2e8d3877,
-528190b8,
-51d8b53f,
-82a43977,
-528190f4,
-51d8a93f,
-83fc8056,
-77802e85,
-3883f880,
-56815182,
-d73f8353,
-80d05275,
-51efa83f,
-800b8008,
-2481e438,
-80088106,
-557481cb,
-38800884,
+88388191,
+b85181d6,
+39800885,
2a708106,
51557480,
2e883881,
-91b45181,
-d6398008,
-852a7081,
+91d05181,
+c1398008,
+862a7081,
06515574,
802e8838,
-8191cc51,
-81c13980,
-08862a70,
+8191e851,
+81ac3986,
+5380d052,
+7551eece,
+3f800b80,
+0824818a,
+38800881,
+06557480,
+2e883881,
+92805181,
+89398008,
+812a7081,
+06515574,
+802e8838,
+8192a051,
+80f43980,
+08832a70,
81065155,
74802e88,
-388191e4,
-5181ac39,
-865380d0,
+388192c0,
+5180df39,
+885380d0,
527551ee,
-ce3f800b,
-80082481,
-8a388008,
-81065574,
-802e8838,
-8191fc51,
-81893980,
-08812a70,
+813f800b,
+800824be,
+38800882,
+2a813270,
81065155,
-74802e88,
-3881929c,
-5180f439,
-8008832a,
-70810651,
-5574802e,
-88388192,
-bc5180df,
-39885380,
-d0527551,
-ee813f80,
-0b800824,
-be388008,
-822a8132,
-70810651,
-5574bc38,
-8a5380d0,
-527551ed,
-e23f800b,
-8008249f,
-38800887,
-2a708106,
-51557480,
-2e9d3892,
+74bc388a,
5380d052,
-7551edc3,
-3f800880,
-25873881,
-92dc518b,
-398192fc,
-51853981,
-938c51d6,
-8f3f81a7,
-f8183356,
-84548153,
-81527781,
-ff067052,
-57ebfe3f,
-8008822a,
+7551ede2,
+3f800b80,
+08249f38,
+8008872a,
70810651,
-557481a7,
-f8193475,
-93387480,
-2e8e3876,
-51da943f,
-80085276,
-51dfb53f,
-893d0d04,
-803d0d72,
-81a7f811,
-33800c51,
-823d0d04,
-fd3d0d75,
-54805372,
-74259b38,
+5574802e,
+9d389253,
+80d05275,
+51edc33f,
+80088025,
+87388192,
+e0518b39,
+81938051,
+85398193,
+9051d68f,
+3f81a7fc,
+18335684,
+54815381,
+527781ff,
+06705257,
+ebfe3f80,
+08822a70,
+81065155,
+7481a7fc,
+19347593,
+3874802e,
+8e387651,
+da943f80,
+08527651,
+dfb53f89,
+3d0d0480,
+3d0d7281,
+a7fc1133,
+800c5182,
+3d0d04fd,
+3d0d7554,
+80537274,
+259b3882,
+c0800852,
82c08008,
-5282c080,
-08707331,
-51518a96,
-897127f1,
-38811353,
-e239853d,
-0d04fd3d,
-0d780284,
-059f0533,
-77bfffff,
-06555254,
-70802e86,
-3872880a,
-07537282,
-0a075380,
-0b83f68c,
-0870842a,
-70810651,
-51525270,
-722e9d38,
-81125273,
-72278538,
-80519d39,
-83f68c08,
-70842a70,
-81065151,
-5170e538,
-7683f680,
-0c7283f6,
-840c8151,
-70800c85,
-3d0d04fc,
-3d0d777a,
-028805a3,
-053379bf,
-ffff0656,
-53565470,
+70733151,
+518a9689,
+7127f138,
+811353e2,
+39853d0d,
+04fd3d0d,
+78028405,
+9f053377,
+bfffff06,
+55525470,
802e8638,
72880a07,
-5372840a,
+5372820a,
0753800b,
83f68c08,
70842a70,
81065151,
52527072,
-2e993881,
-12527175,
-26b73883,
+2e9d3881,
+12527372,
+27853880,
+519d3983,
f68c0870,
842a7081,
06515151,
-70e93873,
-802e8438,
-80740c72,
-83f6840c,
-800b83f6,
-8c087081,
-06515252,
-70722e99,
-38811252,
-74722785,
-3880519a,
-3983f68c,
+70e53876,
+83f6800c,
+7283f684,
+0c815170,
+800c853d,
+0d04fc3d,
+0d777a02,
+8805a305,
+3379bfff,
+ff065653,
+56547080,
+2e863872,
+880a0753,
+72840a07,
+53800b83,
+f68c0870,
+842a7081,
+06515152,
+5270722e,
+99388112,
+52717526,
+b73883f6,
+8c087084,
+2a708106,
+51515170,
+e9387380,
+2e843880,
+740c7283,
+f6840c80,
+0b83f68c,
08708106,
-515170e9,
-3873802e,
-873883f6,
-8808740c,
-81517080,
-0c863d0d,
-04f63d0d,
-7d7f5a5a,
-817d9fff,
-ff069880,
-80075957,
-80567579,
-27af3875,
-16558280,
-80548153,
-8c3dfc05,
-52741851,
-feb93f80,
-0881ff06,
-7a165657,
-02aa0522,
-75238116,
-56757927,
-843876d3,
-3876800c,
-8c3d0d04,
+51525270,
+722e9938,
+81125274,
+72278538,
+80519a39,
+83f68c08,
+70810651,
+5170e938,
+73802e87,
+3883f688,
+08740c81,
+5170800c,
+863d0d04,
f63d0d7d,
-7f713070,
-73078025,
-7073a026,
-07515157,
-595a8056,
-74762e09,
-810681ee,
-38828080,
-54815381,
-aa528888,
-51fd833f,
-800881ff,
-06568280,
-80548153,
-80d55288,
-8051fcee,
-3f800876,
-06567580,
-2eb13882,
-80805481,
-53a55298,
-808051fc,
-d53f8008,
-81ff0656,
-75802e97,
-38828080,
-548153ff,
-18529880,
-8051fcba,
-3f800881,
-ff06567c,
-9fffff06,
-98808007,
-59805776,
-7827a838,
-75802e9e,
-3876177a,
-11702251,
-57558280,
-80548153,
-75527419,
-51fc873f,
-800881ff,
-06568117,
-57d53975,
-802e9638,
+7f5a5a81,
+7d9fffff,
+06988080,
+07595780,
+56757927,
+af387516,
+55828080,
+5481538c,
+3dfc0552,
+741851fe,
+b93f8008,
+81ff067a,
+16565702,
+aa052275,
+23811656,
+75792784,
+3876d338,
+76800c8c,
+3d0d04f6,
+3d0d7d7f,
+71307073,
+07802570,
+73a02607,
+51515759,
+5a805674,
+762e0981,
+0681ee38,
82808054,
-8153a952,
-98808051,
-fbe83f80,
+815381aa,
+52888851,
+fd833f80,
0881ff06,
-56771855,
-82808054,
-81538c3d,
-fc055274,
-19fe0551,
-fcb13f80,
-08760656,
-75802e9e,
-38817a16,
-028805aa,
-0522fe12,
-22707232,
-872a7075,
-06515152,
-59565674,
-c4387580,
-0c8c3d0d,
-04f73d0d,
-82808054,
-805381b9,
-845281c0,
-51fbf03f,
+56828080,
+54815380,
+d5528880,
+51fcee3f,
+80087606,
+5675802e,
+b1388280,
+80548153,
+a5529880,
+8051fcd5,
+3f800881,
+ff065675,
+802e9738,
82808054,
-805381b9,
-805281e0,
-51fbe03f,
-81588280,
-80548053,
-98819152,
-81c051fa,
-e93f8008,
-78065776,
-802e8338,
-77578280,
-80548053,
-fdf5b6fd,
-ef528480,
-51facb3f,
+8153ff18,
+52988080,
+51fcba3f,
800881ff,
-06770657,
-76802e83,
-38775782,
-80805477,
-5381acf8,
-52848451,
-faac3f80,
+06567c9f,
+ffff0698,
+80800759,
+80577678,
+27a83875,
+802e9e38,
+76177a11,
+70225157,
+55828080,
+54815375,
+52741951,
+fc873f80,
+0881ff06,
+56811757,
+d5397580,
+2e963882,
+80805481,
+53a95298,
+808051fb,
+e83f8008,
+81ff0656,
+77185582,
+80805481,
+538c3dfc,
+05527419,
+fe0551fc,
+b13f8008,
+76065675,
+802e9e38,
+817a1602,
+8805aa05,
+22fe1222,
+70723287,
+2a707506,
+51515259,
+565674c4,
+3875800c,
+8c3d0d04,
+f73d0d82,
+80805480,
+5381b988,
+5281c051,
+fbf03f82,
+80805480,
+5381b984,
+5281e051,
+fbe03f81,
+58828080,
+54805398,
+81915281,
+c051fae9,
+3f800878,
+06577680,
+2e833877,
+57828080,
+548053fd,
+f5b6fdef,
+52848051,
+facb3f80,
0881ff06,
77065776,
802e8338,
-77578a3d,
-59828080,
-54775378,
-528051fa,
-f23f8008,
+77578280,
+80547753,
+81acf852,
+848451fa,
+ac3f8008,
+81ff0677,
+06577680,
+2e833877,
+578a3d59,
+82808054,
+77537852,
+8051faf2,
+3f800881,
+ff067706,
+5776802e,
+83387757,
+82808054,
+77537952,
+848651f9,
+f03f8008,
81ff0677,
06577680,
2e833877,
57828080,
-54775379,
-52848651,
-f9f03f80,
+54805378,
+52848051,
+fab83f80,
0881ff06,
77065776,
802e8338,
-77578280,
+775779fd,
+f5b6fdef,
+32703070,
+72077009,
+709f2c7c,
+065c5151,
+57558280,
80548053,
-78528480,
-51fab83f,
+78528484,
+51fa833f,
800881ff,
06770657,
76802e83,
-38775779,
-fdf5b6fd,
-ef327030,
-70720770,
-09709f2c,
-7c065c51,
-51575582,
-80805480,
-53785284,
-8451fa83,
-3f800881,
-ff067706,
-5776802e,
-83388157,
-77810658,
-7987d6c1,
-acf82e83,
-38805880,
-5576802e,
-88387780,
-2e833881,
-557481ff,
-06800c8b,
-3d0d04fd,
-3d0d8280,
+38815777,
+81065879,
+87d6c1ac,
+f82e8338,
+80588055,
+76802e88,
+3877802e,
+83388155,
+7481ff06,
+800c8b3d,
+0d04fd3d,
+0d828080,
+54805381,
+b9880852,
+81c051f8,
+d43f8280,
80548053,
81b98408,
-5281c051,
-f8d43f82,
-80805480,
-5381b980,
-085281e0,
-51f8c33f,
-853d0d04,
-fc3d0d76,
-912b5582,
-80805480,
-53745281,
-e051f8aa,
-3f800881,
-ff06800c,
-863d0d04,
-fa3d0d82,
-80805481,
-5381aa52,
-888851f8,
-8d3f8008,
-81ff0655,
-74802e81,
-b0388280,
+5281e051,
+f8c33f85,
+3d0d04fc,
+3d0d7691,
+2b558280,
+80548053,
+745281e0,
+51f8aa3f,
+800881ff,
+06800c86,
+3d0d04fa,
+3d0d8280,
80548153,
-80d55288,
-8051f7f2,
+81aa5288,
+8851f88d,
3f800881,
ff065574,
-802e8195,
+802e81b0,
38828080,
-54815381,
-80528888,
-51f7d73f,
+54815380,
+d5528880,
+51f7f23f,
800881ff,
06557480,
-2e80fa38,
+2e819538,
82808054,
-815381aa,
+81538180,
52888851,
-f7bc3f80,
+f7d73f80,
0881ff06,
5574802e,
-80df3882,
+80fa3882,
80805481,
-5380d552,
-888051f7,
-a13f8008,
+5381aa52,
+888851f7,
+bc3f8008,
81ff0655,
74802e80,
-c4388280,
+df388280,
80548153,
-b0529880,
-8051f786,
+80d55288,
+8051f7a1,
3f800881,
ff065574,
-802eaa38,
-82808054,
-8153883d,
-fc055298,
-808051f7,
-ce3f8008,
-81ff0602,
-84059a05,
-22575575,
-83ffff2e,
-098106d3,
-3874800c,
-883d0d04,
-fd3d0d82,
-80805480,
-53815280,
-d851f6ba,
-3f853d0d,
-04fa3d0d,
-82808054,
-8053883d,
-fc055280,
-d851f787,
+802e80c4,
+38828080,
+548153b0,
+52988080,
+51f7863f,
+800881ff,
+06557480,
+2eaa3882,
+80805481,
+53883dfc,
+05529880,
+8051f7ce,
3f800881,
-06558356,
-74802e86,
-38029b05,
-33567580,
-0c883d0d,
-04fe3d0d,
-800b83f6,
-8c087084,
-2a708106,
-51515252,
-70722ea2,
-3881b988,
-08538112,
-52727227,
-85388051,
-9f3983f6,
-8c087084,
+ff060284,
+059a0522,
+57557583,
+ffff2e09,
+8106d338,
+74800c88,
+3d0d04fd,
+3d0d8280,
+80548053,
+815280d8,
+51f6ba3f,
+853d0d04,
+fa3d0d82,
+80805480,
+53883dfc,
+055280d8,
+51f7873f,
+80088106,
+55835674,
+802e8638,
+029b0533,
+5675800c,
+883d0d04,
+fe3d0d80,
+0b83f68c,
+0870842a,
+70810651,
+51525270,
+722ea238,
+81b98c08,
+53811252,
+72722785,
+3880519f,
+3983f68c,
+0870842a,
+70810651,
+515170e5,
+387483f6,
+800c810a,
+0b83f684,
+0c815170,
+800c843d,
+0d04fe3d,
+0d7483f6,
+8c087081,
2a708106,
-51515170,
-e5387483,
-f6800c81,
-0a0b83f6,
-840c8151,
-70800c84,
-3d0d04fe,
-3d0d7483,
-f68c0870,
-812a7081,
-06515152,
-5370802e,
-80e43880,
-e2b90b81,
-b99c0c83,
-f6800881,
-b9940c83,
-f6840870,
-bfffff06,
-81b9900c,
-709c2a70,
-81065152,
-527081b9,
-9834719d,
+51515253,
+70802e80,
+e43880e2,
+bc0b81b9,
+a00c83f6,
+800881b9,
+980c83f6,
+840870bf,
+ffff0681,
+b9940c70,
+9c2a7081,
+06515252,
+7081b99c,
+34719d2a,
+70810651,
+5170802e,
+8938810b,
+81b99034,
+9b39719e,
2a708106,
51517080,
-2e893881,
-0b81b98c,
-349b3971,
-9e2a7081,
-06515170,
-802e8938,
-820b81b9,
-8c348639,
-7081b98c,
-3481b98c,
-730c8151,
-84397073,
-0c70800c,
-843d0d04,
-fb3d0d87,
-3dfc0551,
-fef13f80,
-0881ff06,
-5372802e,
-80c53875,
-8c113354,
-5572bc38,
-74335372,
-822e0981,
-068e3884,
-15088816,
-08710c54,
-8153a639,
-72812e09,
-81069c38,
-84150870,
-08515382,
-80805272,
-51901508,
-53722d80,
-0881ff06,
-53833980,
-5372800c,
-873d0d04,
-f93d0d79,
-7b575780,
-70565474,
-802e8a38,
-751451ba,
-71348114,
-54751475,
-18703370,
-842a545a,
-54528196,
-88113372,
-34811476,
-1174338f,
-06535354,
-81968811,
-33723481,
-14811656,
-54857527,
-c2387514,
-51807134,
-75800c89,
+2e893882,
+0b81b990,
+34863970,
+81b99034,
+81b99073,
+0c815184,
+3970730c,
+70800c84,
+3d0d04fb,
+3d0d873d,
+fc0551fe,
+f13f8008,
+81ff0653,
+72802e80,
+c538758c,
+11335455,
+72bc3874,
+33537282,
+2e098106,
+8e388415,
+08881608,
+710c5481,
+53a63972,
+812e0981,
+069c3884,
+15087008,
+51538280,
+80527251,
+90150853,
+722d8008,
+81ff0653,
+83398053,
+72800c87,
3d0d04f9,
3d0d797b,
-83123358,
-82123357,
-81123356,
-71335581,
-96985470,
-535957c8,
-ab3f7780,
-0c893d0d,
-04fe3d0d,
-81a7fc08,
-81058306,
-7081a7fc,
-0c701011,
-70882981,
-a8800554,
-51537451,
-fee23f84,
+57578070,
+56547480,
+2e8a3875,
+1451ba71,
+34811454,
+75147518,
+70337084,
+2a545a54,
+5281968c,
+11337234,
+81147611,
+74338f06,
+53535481,
+968c1133,
+72348114,
+81165654,
+857527c2,
+38751451,
+80713475,
+800c893d,
+0d04f93d,
+0d797b83,
+12335882,
+12335781,
+12335671,
+33558196,
+9c547053,
+5957c8ab,
+3f77800c,
+893d0d04,
+fe3d0d81,
+a8800881,
+05830670,
+81a8800c,
+70101170,
+882981a8,
+84055451,
+537451fe,
+e23f843d,
+0d04fe3d,
+0d81a8e4,
+08810583,
+067081a8,
+e40c7090,
+2981a8e8,
+05535374,
+51ff973f,
+843d0d04,
+ff3d0d81,
+a9a82281,
+05517081,
+a9a82383,
3d0d04fe,
-3d0d81a8,
-e0088105,
-83067081,
-a8e00c70,
-902981a8,
-e4055353,
-7451ff97,
-3f843d0d,
+3d0d7451,
+80528811,
+08802e9b,
+3881a9a8,
+22821222,
+71713170,
+83ffff06,
+51515253,
+70822683,
+38815271,
+81ff0680,
+0c843d0d,
04ff3d0d,
-81a9a422,
-81055170,
-81a9a423,
-833d0d04,
-fe3d0d74,
-51805288,
-1108802e,
-9b3881a9,
-a4228212,
-22717131,
-7083ffff,
-06515152,
-53708226,
-83388152,
-7181ff06,
-800c843d,
-0d04ff3d,
-0d737598,
-2b70982c,
-51535102,
-96052271,
-2381a9a4,
-22821223,
-71841234,
-76700888,
-130c5283,
-3d0d04f5,
-3d0d7d7f,
-6362982b,
-70982c02,
-940580c2,
-05225f51,
-5e5e5959,
-80577678,
-2780de38,
-78567551,
-fefe3f80,
-0881ff06,
+7375982b,
+70982c51,
+53510296,
+05227123,
+81a9a822,
+82122371,
+84123476,
+70088813,
+0c52833d,
+0d04f53d,
+0d7d7f63,
+62982b70,
+982c0294,
+0580c205,
+225f515e,
+5e595980,
+57767827,
+80de3878,
+567551fe,
+fe3f8008,
+81ff0655,
+74802eb6,
+38881608,
+7c082e09,
+8106b538,
+84163355,
+7a752e09,
+8106a938,
+75225580,
+5779752e,
+8e387975,
+31902b55,
+76752483,
+38815776,
5574802e,
-b6388816,
-087c082e,
-098106b5,
-38841633,
-557a752e,
-098106a9,
-38752255,
-80577975,
-2e8e3879,
-7531902b,
-55767524,
-83388157,
-76557480,
-2eb6387b,
-5479537a,
-527551a7,
-3981178c,
-17575777,
-7726ffa6,
-38771018,
-708429f4,
-05545578,
-528c1951,
-93c53f7b,
-5479537a,
-527851fe,
-bd3f8157,
-76800c8d,
-3d0d0480,
-3d0d7251,
-8180710c,
-81b0ec0b,
-800c823d,
-0d04fe3d,
-0d7481b0,
-ec525380,
-ff527008,
-73082e09,
-81068938,
-80710c80,
-0b84120c,
-ff128812,
-52527180,
-25e43884,
-3d0d04f6,
-3d0d02b3,
-05337052,
-5bcbb83f,
-80588057,
-80085681,
-a9a82281,
-115a5a78,
-81a9a823,
-7983ffff,
-06558480,
-5480538f,
-527a51cf,
-d73f8c3d,
-0d04f33d,
-0d7f6163,
-65731270,
-335b595e,
-5f5d5e75,
-80dc3881,
-75347581,
-b0f00b81,
-b0ec5a5a,
-5a7d822b,
-1c780888,
-1a5a5656,
-7476082e,
-098106ad,
+b6387b54,
+79537a52,
+7551a739,
+81178c17,
+57577777,
+26ffa638,
+77101870,
+8429f405,
+54557852,
+8c195193,
+c53f7b54,
+79537a52,
+7851febd,
+3f815776,
+800c8d3d,
+0d04803d,
+0d725181,
+80710c81,
+b0f00b80,
+0c823d0d,
+04fe3d0d,
+7481b0f0,
+525380ff,
+52700873,
+082e0981,
+06893880,
+710c800b,
+84120cff,
+12881252,
+52718025,
+e438843d,
+0d04f63d,
+0d02b305,
+3370525b,
+cbb83f80,
+58805780,
+085681a9,
+ac228111,
+5a5a7881,
+a9ac2379,
+83ffff06,
+55848054,
+80538f52,
+7a51cfd7,
+3f8c3d0d,
+04f33d0d,
+7f616365,
+73127033,
+5b595e5f,
+5d5e7580,
+dc388175,
+347581b0,
+f40b81b0,
+f05a5a5a,
+7d822b1c,
+7808881a,
+5a565674,
+76082e09,
+8106ad38,
+8056757b,
+27a6387b,
+57767084,
+05580855,
+7479082e,
+0981068c,
+387a547c,
+537b5275,
+51ffa63f,
+8116567a,
+7626de38,
+811a881a,
+5a5a80ff,
+7a27ffb4,
+388f3d0d,
+04fed43d,
+0d81af3d,
+085d8070,
+81b0f00b,
+b13d5f5b,
+5c577808,
+5574802e,
+b3388419,
+08802eac,
38805675,
-7b27a638,
-7b577670,
-84055808,
-55747908,
-2e098106,
-8c387a54,
-7c537b52,
-7551ffa6,
+77279a38,
+74af3d5b,
+58797084,
+055b0855,
+74782e94,
+38811656,
+767626ed,
+3878087c,
+7084055e,
+0c811757,
+811b881a,
+5a5b80ff,
+7b27ffba,
+38805675,
+77279238,
+8e3d5875,
+18558075,
+34811656,
+767626f3,
+38805675,
+7727ae38,
+ae3d5877,
+70840559,
+0855747d,
+082e0981,
+06933876,
+5481ae3d,
+fb801154,
+fc800552,
+7551fded,
3f811656,
-7a7626de,
-38811a88,
-1a5a5a80,
-ff7a27ff,
-b4388f3d,
-0d04fed4,
-3d0d81af,
-3d085d80,
-7081b0ec,
-0bb13d5f,
-5b5c5778,
-08557480,
-2eb33884,
-1908802e,
-ac388056,
-7577279a,
-3874af3d,
-5b587970,
-84055b08,
+767626d7,
+38805675,
+7727b538,
+81b03d08,
+7008903d,
+b13d5c5c,
+59557870,
+84055a08,
5574782e,
-94388116,
-56767626,
-ed387808,
-7c708405,
-5e0c8117,
-57811b88,
-1a5a5b80,
-ff7b27ff,
-ba388056,
-75772792,
-388e3d58,
-75185580,
-75348116,
-56767626,
-f3388056,
-757727ae,
-38ae3d58,
-77708405,
-59085574,
-7d082e09,
-81069338,
-765481ae,
-3dfb8011,
-54fc8005,
-527551fd,
-ed3f8116,
-56767626,
-d7388056,
-757727b5,
-3881b03d,
-08700890,
-3db13d5c,
-5c595578,
-7084055a,
-08557478,
-2e098106,
-9038751a,
-70335155,
-74802e85,
-3881558b,
-39811656,
-767626db,
-38805574,
-800c81ae,
-3d0d04fb,
-3d0d029f,
-05335680,
-55b03975,
-752ea838,
-75822b81,
-b8ec0575,
-117681ff,
-06535153,
-c5cd3f80,
-08765254,
-c5c53f73,
-52800851,
-fde03f80,
-08733481,
-1555d6fc,
-3f800875,
-26c93887,
-3d0d04ef,
-3d0d6502,
-840580cf,
-05330288,
-0580d205,
-22881370,
-59715872,
-982b7098,
-2c585d40,
-42425e80,
-c05281aa,
-ec51fa8f,
+09810690,
+38751a70,
+33515574,
+802e8538,
+81558b39,
+81165676,
+7626db38,
+80557480,
+0c81ae3d,
+0d04fb3d,
+0d029f05,
+33568055,
+b0397575,
+2ea83875,
+822b81b8,
+f0057511,
+7681ff06,
+535153c5,
+cd3f8008,
+765254c5,
+c53f7352,
+800851fd,
+e03f8008,
+73348115,
+55d6fc3f,
+80087526,
+c938873d,
+0d04ef3d,
+0d650284,
+0580cf05,
+33028805,
+80d20522,
+88137059,
+71587298,
+2b70982c,
+585d4042,
+425e80c0,
+5281aaf0,
+51fa8f3f,
+800881ff,
+06597880,
+2e82bb38,
+81aaf85c,
+bf0b81aa,
+f05b5b88,
+1a08802e,
+9e3881a9,
+a822821b,
+22717131,
+7083ffff,
+06515b43,
+5f827927,
+86387b51,
+fb8b3fff,
+1b8c1b8c,
+1e5e5b5b,
+7a8025cf,
+387c51fa,
+f83f8070,
+5a5f9a39,
+7881ff06,
+51c49f3f,
+881e0880,
+08082e09,
+81068338,
+815f8119,
+59d5d43f,
+80087926,
+df38805c,
+7d087c2e,
+80d9388c,
+1e5b7e80,
+2e883884,
+1e087c26,
+bd38800b,
+81b0f05a,
+5a78088c,
+387c0879,
+0c7a0884,
+1a0ca739,
+811a881a,
+5a5a80ff,
+7a27e638,
+87f85381,
+b0f05281,
+b0f8518d,
+a53f7c08,
+81b0f00c,
+7a0881b0,
+f40c811c,
+841c5c5c,
+7d087c26,
+ffac3881,
+a9b80bfc,
+115b5b80,
+5d8f5c7c,
+842981a9,
+b0055988,
+1908881f,
+082ebc38,
+7851f7bb,
3f800881,
ff065978,
-802e82bb,
-3881aaf4,
-5cbf0b81,
-aaec5b5b,
-881a0880,
-2e9e3881,
-a9a42282,
-1b227171,
-317083ff,
-ff06515b,
-435f8279,
-2786387b,
-51fb8b3f,
-ff1b8c1b,
-8c1e5e5b,
-5b7a8025,
-cf387c51,
-faf83f80,
-705a5f9a,
-397881ff,
-0651c49f,
-3f881e08,
-8008082e,
-09810683,
-38815f81,
-1959d5d4,
-3f800879,
-26df3880,
-5c7d087c,
-2e80d938,
-8c1e5b7e,
-802e8838,
-841e087c,
-26bd3880,
-0b81b0ec,
-5a5a7808,
-8c387c08,
-790c7a08,
-841a0ca7,
-39811a88,
-1a5a5a80,
-ff7a27e6,
-3887f853,
-81b0ec52,
-81b0f451,
-8da53f7c,
-0881b0ec,
-0c7a0881,
-b0f00c81,
-1c841c5c,
-5c7d087c,
-26ffac38,
-81a9b40b,
-fc115b5b,
-805d8f5c,
-7c842981,
-a9ac0559,
-88190888,
-1f082ebc,
-387851f7,
-bb3f8008,
+802eac38,
+793351e8,
+ea3f8008,
81ff0659,
-78802eac,
-38793351,
-e8ea3f80,
-0881ff06,
-5978802e,
-9b387d08,
-84298c05,
-587d577a,
-567f5584,
-81548053,
-8f527933,
-51c9cd3f,
-ff1c831e,
-8c1c8c1e,
-5e5c5e5c,
-7b8025ff,
-a3386051,
-fcc53f93,
-3d0d04f5,
-3d0d6202,
-8405b705,
-33028805,
-80c20522,
-028c0580,
-c605225d,
-5b5d5b78,
-84802e89,
-38788481,
-2e98389f,
-3963587a,
-577e5679,
-55785480,
-5390527b,
-51c8f53f,
-8a397a53,
-79527b51,
-fcc13f8d,
-3d0d04fd,
-f73d0d02,
-88af0533,
-5a805981,
-c2398b3d,
-55888053,
-80527451,
-8cf43f78,
-81ff0651,
-c1c13f80,
-08085d80,
-750c805c,
-8056ad39,
-78762ea5,
-38740884,
-29158c11,
-7781ff06,
-53760881,
-05770c51,
-54c1983f,
-80080874,
-0c841508,
-81058416,
-0c811656,
-d2ce3f80,
-087626cc,
-38800b81,
-a9ac5758,
-7408822b,
-90115557,
-7387ff26,
-b9387551,
-f59e3f80,
-0881ff06,
-5473802e,
-9d388416,
-33547874,
-2e098106,
-91387417,
-8c058817,
-08710c54,
-74088105,
-750c8118,
-8c175758,
-8f7827ff,
-bb387453,
-81a9a822,
-81115555,
-7381a9a8,
-237483ff,
-ff065279,
-51faf03f,
-811959d1,
-db3f8008,
-7926feb6,
-38828b3d,
+78802e9b,
+387d0884,
+298c0558,
+7d577a56,
+7f558481,
+5480538f,
+52793351,
+c9cd3fff,
+1c831e8c,
+1c8c1e5e,
+5c5e5c7b,
+8025ffa3,
+386051fc,
+c53f933d,
+0d04f53d,
+0d620284,
+05b70533,
+02880580,
+c2052202,
+8c0580c6,
+05225d5b,
+5d5b7884,
+802e8938,
+7884812e,
+98389f39,
+63587a57,
+7e567955,
+78548053,
+90527b51,
+c8f53f8a,
+397a5379,
+527b51fc,
+c13f8d3d,
+0d04fdf7,
+3d0d0288,
+af05335a,
+805981c2,
+398b3d55,
+88805380,
+5274518c,
+f43f7881,
+ff0651c1,
+c13f8008,
+085d8075,
+0c805c80,
+56ad3978,
+762ea538,
+74088429,
+158c1177,
+81ff0653,
+76088105,
+770c5154,
+c1983f80,
+0808740c,
+84150881,
+0584160c,
+811656d2,
+ce3f8008,
+7626cc38,
+800b81a9,
+b0575874,
+08822b90,
+11555773,
+87ff26b9,
+387551f5,
+9e3f8008,
+81ff0654,
+73802e9d,
+38841633,
+5478742e,
+09810691,
+3874178c,
+05881708,
+710c5474,
+08810575,
+0c81188c,
+1757588f,
+7827ffbb,
+38745381,
+a9ac2281,
+11555573,
+81a9ac23,
+7483ffff,
+06527951,
+faf03f81,
+1959d1db,
+3f800879,
+26feb638,
+828b3d0d,
+04fa3d0d,
+02a30533,
+028405ae,
+05225757,
+7584802e,
+098106a9,
+38795502,
+b2052254,
+76982b70,
+982c5456,
+905281a9,
+b051f4ea,
+3f800881,
+ff065675,
+802e8638,
+7651fde2,
+3f883d0d,
+04ff3d0d,
+028f0533,
+70842981,
+b8f00502,
+88059305,
+33710570,
+33800c51,
+5252833d,
0d04fa3d,
-0d02a305,
-33028405,
-ae052257,
-57758480,
-2e098106,
-a9387955,
-02b20522,
-5476982b,
-70982c54,
-56905281,
-a9ac51f4,
-ea3f8008,
-81ff0656,
-75802e86,
-387651fd,
-e23f883d,
-0d04ff3d,
-0d028f05,
-33708429,
-81b8ec05,
-02880593,
-05337105,
-7033800c,
-51525283,
-3d0d04fa,
-3d0d80ef,
-df538052,
-8f51c0a6,
-3f80f28a,
-53805290,
-51c09b3f,
-800b81b8,
-ec575780,
-55741654,
-81743481,
-15558375,
-27f33881,
-17841757,
-57837727,
-e638883d,
-0d048c08,
-028c0cf5,
-3d0d8c08,
-9405089d,
-388c088c,
+0d80efe2,
+5380528f,
+51c0a63f,
+80f28d53,
+80529051,
+c09b3f80,
+0b81b8f0,
+57578055,
+74165481,
+74348115,
+55837527,
+f3388117,
+84175757,
+837727e6,
+38883d0d,
+048c0802,
+8c0cf53d,
+0d8c0894,
+05089d38,
+8c088c05,
+088c0890,
05088c08,
-9005088c,
-08880508,
-58565473,
-760c7484,
-170c81bf,
-39800b8c,
-08f0050c,
+88050858,
+56547376,
+0c748417,
+0c81bf39,
800b8c08,
-f4050c8c,
-088c0508,
-8c089005,
-08565473,
-8c08f005,
-0c748c08,
-f4050c8c,
-08f8058c,
-08f00556,
-56887054,
-75537652,
-5486cb3f,
-a00b8c08,
-94050831,
-8c08ec05,
-0c8c08ec,
-05088024,
-9d38800b,
-8c08f005,
-0c8c08ec,
-0508308c,
-08f80508,
-712a8c08,
-f4050c54,
-b9398c08,
-f805088c,
-08ec0508,
-2b8c08e8,
+f0050c80,
+0b8c08f4,
050c8c08,
-f805088c,
-08940508,
-2a8c08f0,
+8c05088c,
+08900508,
+5654738c,
+08f0050c,
+748c08f4,
050c8c08,
-fc05088c,
-08940508,
-2a708c08,
-e8050807,
-8c08f405,
-0c548c08,
-f005088c,
-08f40508,
-8c088805,
-08585654,
-73760c74,
-84170c8c,
+f8058c08,
+f0055656,
+88705475,
+53765254,
+86cb3fa0,
+0b8c0894,
+0508318c,
+08ec050c,
+8c08ec05,
+0880249d,
+38800b8c,
+08f0050c,
+8c08ec05,
+08308c08,
+f8050871,
+2a8c08f4,
+050c54b9,
+398c08f8,
+05088c08,
+ec05082b,
+8c08e805,
+0c8c08f8,
+05088c08,
+9405082a,
+8c08f005,
+0c8c08fc,
+05088c08,
+9405082a,
+708c08e8,
+0508078c,
+08f4050c,
+548c08f0,
+05088c08,
+f405088c,
08880508,
-800c8d3d,
+58565473,
+760c7484,
+170c8c08,
+88050880,
+0c8d3d0d,
+8c0c048c,
+08028c0c,
+fd3d0d80,
+538c088c,
+0508528c,
+08880508,
+5182de3f,
+80087080,
+0c54853d,
0d8c0c04,
8c08028c,
0cfd3d0d,
-80538c08,
+81538c08,
8c050852,
8c088805,
-085182de,
+085182b9,
3f800870,
800c5485,
3d0d8c0c,
048c0802,
-8c0cfd3d,
-0d81538c,
-088c0508,
-528c0888,
-05085182,
-b93f8008,
-70800c54,
-853d0d8c,
-0c048c08,
-028c0cf9,
-3d0d800b,
-8c08fc05,
-0c8c0888,
-05088025,
-ab388c08,
-88050830,
-8c088805,
-0c800b8c,
-08f4050c,
-8c08fc05,
-08883881,
-0b8c08f4,
-050c8c08,
-f405088c,
+8c0cf93d,
+0d800b8c,
08fc050c,
-8c088c05,
+8c088805,
088025ab,
-388c088c,
+388c0888,
0508308c,
-088c050c,
+0888050c,
800b8c08,
-f0050c8c,
+f4050c8c,
08fc0508,
8838810b,
-8c08f005,
-0c8c08f0,
+8c08f405,
+0c8c08f4,
05088c08,
-fc050c80,
-538c088c,
-0508528c,
-08880508,
-5181a73f,
-8008708c,
-08f8050c,
-548c08fc,
-0508802e,
-8c388c08,
-f8050830,
-8c08f805,
-0c8c08f8,
-05087080,
-0c54893d,
-0d8c0c04,
-8c08028c,
-0cfb3d0d,
-800b8c08,
fc050c8c,
-08880508,
-80259338,
-8c088805,
+088c0508,
+8025ab38,
+8c088c05,
08308c08,
-88050c81,
-0b8c08fc,
+8c050c80,
+0b8c08f0,
050c8c08,
-8c050880,
-258c388c,
-088c0508,
-308c088c,
-050c8153,
+fc050888,
+38810b8c,
+08f0050c,
+8c08f005,
+088c08fc,
+050c8053,
8c088c05,
08528c08,
88050851,
-ad3f8008,
-708c08f8,
-050c548c,
-08fc0508,
-802e8c38,
-8c08f805,
-08308c08,
-f8050c8c,
-08f80508,
-70800c54,
-873d0d8c,
-0c048c08,
-028c0cfd,
-3d0d810b,
+81a73f80,
+08708c08,
+f8050c54,
8c08fc05,
-0c800b8c,
+08802e8c,
+388c08f8,
+0508308c,
08f8050c,
-8c088c05,
-088c0888,
-050827ac,
-388c08fc,
-0508802e,
-a338800b,
-8c088c05,
-08249938,
-8c088c05,
-08108c08,
-8c050c8c,
-08fc0508,
-108c08fc,
-050cc939,
-8c08fc05,
-08802e80,
-c9388c08,
-8c05088c,
-08880508,
-26a1388c,
+8c08f805,
+0870800c,
+54893d0d,
+8c0c048c,
+08028c0c,
+fb3d0d80,
+0b8c08fc,
+050c8c08,
+88050880,
+2593388c,
08880508,
+308c0888,
+050c810b,
+8c08fc05,
+0c8c088c,
+05088025,
+8c388c08,
+8c050830,
8c088c05,
-08318c08,
-88050c8c,
+0c81538c,
+088c0508,
+528c0888,
+050851ad,
+3f800870,
+8c08f805,
+0c548c08,
+fc050880,
+2e8c388c,
08f80508,
-8c08fc05,
-08078c08,
+308c08f8,
+050c8c08,
+f8050870,
+800c5487,
+3d0d8c0c,
+048c0802,
+8c0cfd3d,
+0d810b8c,
+08fc050c,
+800b8c08,
f8050c8c,
+088c0508,
+8c088805,
+0827ac38,
+8c08fc05,
+08802ea3,
+38800b8c,
+088c0508,
+2499388c,
+088c0508,
+108c088c,
+050c8c08,
+fc050810,
+8c08fc05,
+0cc9398c,
08fc0508,
-812a8c08,
-fc050c8c,
+802e80c9,
+388c088c,
+05088c08,
+88050826,
+a1388c08,
+8805088c,
088c0508,
-812a8c08,
-8c050cff,
-af398c08,
-90050880,
-2e8f388c,
-08880508,
-708c08f4,
-050c518d,
-398c08f8,
-0508708c,
-08f4050c,
-518c08f4,
-0508800c,
-853d0d8c,
-0c04fc3d,
-0d787779,
-56565283,
-72278c38,
-74740783,
-06517080,
-2eb038ff,
-125271ff,
-2ea03874,
-33743352,
-5372712e,
-098106bd,
-38811581,
-15ff1454,
-555571ff,
-2e098106,
-e238800b,
-800c863d,
-0d047474,
-54517008,
-73082e09,
-81068f38,
-84118414,
-fc145454,
-51718326,
-e9387073,
-5555ffaf,
-39727131,
-800c863d,
-0d04fc3d,
-0d767079,
-7b555555,
-558f7227,
-8c387275,
-07830651,
-70802ea7,
-38ff1252,
-71ff2e98,
-38727081,
-05543374,
-70810556,
-34ff1252,
-71ff2e09,
-8106ea38,
-74800c86,
-3d0d0474,
-51727084,
-05540871,
-70840553,
-0c727084,
-05540871,
-70840553,
-0c727084,
-05540871,
-70840553,
-0c727084,
-05540871,
-70840553,
-0cf01252,
-718f26c9,
-38837227,
-95387270,
-84055408,
-71708405,
-530cfc12,
-52718326,
-ed387054,
-ff8339fa,
-3d0d787a,
-7c727272,
-57575759,
-56567476,
-27b23876,
-15517571,
-27aa3870,
-7717ff14,
-54555371,
-ff2e9638,
-ff14ff14,
-54547233,
-7434ff12,
+318c0888,
+050c8c08,
+f805088c,
+08fc0508,
+078c08f8,
+050c8c08,
+fc050881,
+2a8c08fc,
+050c8c08,
+8c050881,
+2a8c088c,
+050cffaf,
+398c0890,
+0508802e,
+8f388c08,
+88050870,
+8c08f405,
+0c518d39,
+8c08f805,
+08708c08,
+f4050c51,
+8c08f405,
+08800c85,
+3d0d8c0c,
+04fc3d0d,
+78777956,
+56528372,
+278c3874,
+74078306,
+5170802e,
+b038ff12,
5271ff2e,
-098106ec,
-3875800c,
-883d0d04,
-768f2697,
-38ff1252,
-71ff2eed,
-38727081,
-05543374,
-70810556,
-34eb3974,
-76078306,
-5170e238,
-75755451,
+a0387433,
+74335253,
+72712e09,
+8106bd38,
+81158115,
+ff145455,
+5571ff2e,
+098106e2,
+38800b80,
+0c863d0d,
+04747454,
+51700873,
+082e0981,
+068f3884,
+118414fc,
+14545451,
+718326e9,
+38707355,
+55ffaf39,
+72713180,
+0c863d0d,
+04fc3d0d,
+7670797b,
+55555555,
+8f72278c,
+38727507,
+83065170,
+802ea738,
+ff125271,
+ff2e9838,
+72708105,
+54337470,
+81055634,
+ff125271,
+ff2e0981,
+06ea3874,
+800c863d,
+0d047451,
72708405,
54087170,
8405530c,
@@ -4014,114 +3966,163 @@ f0125271,
0cfc1252,
718326ed,
387054ff,
-8839fc3d,
-0d767971,
-028c059f,
-05335755,
-53558372,
-278a3874,
-83065170,
-802ea238,
+8339fa3d,
+0d787a7c,
+72727257,
+57575956,
+56747627,
+b2387615,
+51757127,
+aa387077,
+17ff1454,
+555371ff,
+2e9638ff,
+14ff1454,
+54723374,
+34ff1252,
+71ff2e09,
+8106ec38,
+75800c88,
+3d0d0476,
+8f269738,
ff125271,
-ff2e9338,
-73737081,
-055534ff,
-125271ff,
-2e098106,
-ef387480,
-0c863d0d,
-04747488,
-2b750770,
-71902b07,
-5154518f,
-7227a538,
-72717084,
+ff2eed38,
+72708105,
+54337470,
+81055634,
+eb397476,
+07830651,
+70e23875,
+75545172,
+70840554,
+08717084,
+05530c72,
+70840554,
+08717084,
05530c72,
+70840554,
+08717084,
+05530c72,
+70840554,
+08717084,
+05530cf0,
+1252718f,
+26c93883,
+72279538,
+72708405,
+54087170,
+8405530c,
+fc125271,
+8326ed38,
+7054ff88,
+39fc3d0d,
+76797102,
+8c059f05,
+33575553,
+55837227,
+8a387483,
+06517080,
+2ea238ff,
+125271ff,
+2e933873,
+73708105,
+5534ff12,
+5271ff2e,
+098106ef,
+3874800c,
+863d0d04,
+7474882b,
+75077071,
+902b0751,
+54518f72,
+27a53872,
71708405,
530c7271,
70840553,
0c727170,
8405530c,
-f0125271,
-8f26dd38,
-83722790,
-38727170,
-8405530c,
-fc125271,
-8326f238,
-7053ff90,
-39fd3d0d,
-800b8196,
-c8085454,
-72812e9d,
-387381b8,
-fc0cff89,
-ff3fff89,
-9b3f8197,
-a4528151,
-ff9da03f,
-800851a3,
-3f7281b8,
-fc0cff89,
-e33fff88,
-ff3f8197,
-a4528151,
-ff9d843f,
-80085187,
-3f00ff39,
-00ff39f7,
-3d0d7b81,
-97a80882,
-c811085a,
-545a7780,
-2e80da38,
-81881884,
-1908ff05,
-81712b59,
-55598074,
-2480ea38,
-807424b5,
-3873822b,
-78118805,
-56568180,
-19087706,
-5372802e,
-b6387816,
-70085353,
-79517408,
+72717084,
+05530cf0,
+1252718f,
+26dd3883,
+72279038,
+72717084,
+05530cfc,
+12527183,
+26f23870,
+53ff9039,
+fd3d0d80,
+0b8196cc,
+08545472,
+812e9d38,
+7381b980,
+0cff89fc,
+3fff8998,
+3f8197a8,
+528151ff,
+9d9d3f80,
+0851a33f,
+7281b980,
+0cff89e0,
+3fff88fc,
+3f8197a8,
+528151ff,
+9d813f80,
+0851873f,
+00ff3900,
+ff39f73d,
+0d7b8197,
+ac0882c8,
+11085a54,
+5a77802e,
+80da3881,
+88188419,
+08ff0581,
+712b5955,
+59807424,
+80ea3880,
+7424b538,
+73822b78,
+11880556,
+56818019,
+08770653,
+72802eb6,
+38781670,
+08535379,
+51740853,
+722dff14,
+fc17fc17,
+79812c5a,
+57575473,
+8025d638,
+77085877,
+ffad3881,
+97ac0853,
+bc1308a5,
+387951ff,
+833f7408,
53722dff,
14fc17fc,
1779812c,
5a575754,
-738025d6,
-38770858,
-77ffad38,
-8197a808,
-53bc1308,
-a5387951,
-ff833f74,
-0853722d,
-ff14fc17,
-fc177981,
-2c5a5757,
-54738025,
-ffa838d1,
-398057ff,
-93397251,
-bc130853,
-722d7951,
-fed73fff,
-3d0d819e,
-ac0bfc05,
+738025ff,
+a838d139,
+8057ff93,
+397251bc,
+13085372,
+2d7951fe,
+d73fff3d,
+0d819eb0,
+0bfc0570,
+08525270,
+ff2e9138,
+702dfc12,
70085252,
-70ff2e91,
-38702dfc,
-12700852,
-5270ff2e,
-098106f1,
-38833d0d,
-0404ff88,
-e83f0400,
+70ff2e09,
+8106f138,
+833d0d04,
+04ff88e5,
+3f040000,
00000040,
00000000,
58333030,
@@ -4714,75 +4715,75 @@ e83f0400,
46502b20,
74797065,
2e0a0000,
-00002467,
-0000246e,
-00002461,
-00002461,
-00002461,
-00002461,
-0000246e,
-0000246e,
-0000246e,
-0000246e,
-0000246e,
-0000245b,
-0000246e,
-0000246e,
-00002455,
-0000244f,
-000025aa,
-000025a4,
-0000259e,
-00002598,
-00002592,
-0000258c,
-00002586,
-00002580,
-000026b1,
-000028c6,
-000028c6,
-000028c6,
-000026aa,
-000026a3,
-0000269c,
-00002695,
-0000268e,
-00002687,
-00002680,
-00002679,
-00002672,
-0000266b,
-00002664,
-0000265d,
-000027fc,
-000027ee,
-000027e0,
-000027d2,
-000027c3,
-000027b3,
-000027a3,
-00002793,
-00002783,
-00002773,
-0000276c,
-00002765,
-00002755,
-00002745,
-0000280b,
-0000272e,
-0000286a,
-00002864,
-0000285e,
-00002858,
-000028bd,
-000028bd,
-000028bd,
-000028bd,
-000028bd,
-000028bd,
-000028bd,
-00002852,
-0000284c,
+0000246a,
+00002471,
+00002464,
+00002464,
+00002464,
+00002464,
+00002471,
+00002471,
+00002471,
+00002471,
+00002471,
+0000245e,
+00002471,
+00002471,
+00002458,
+00002452,
+000025ad,
+000025a7,
+000025a1,
+0000259b,
+00002595,
+0000258f,
+00002589,
+00002583,
+000026b4,
+000028c9,
+000028c9,
+000028c9,
+000026ad,
+000026a6,
+0000269f,
+00002698,
+00002691,
+0000268a,
+00002683,
+0000267c,
+00002675,
+0000266e,
+00002667,
+00002660,
+000027ff,
+000027f1,
+000027e3,
+000027d5,
+000027c6,
+000027b6,
+000027a6,
+00002796,
+00002786,
+00002776,
+0000276f,
+00002768,
+00002758,
+00002748,
+0000280e,
+00002731,
+0000286d,
+00002867,
+00002861,
+0000285b,
+000028c0,
+000028c0,
+000028c0,
+000028c0,
+000028c0,
+000028c0,
+000028c0,
+00002855,
+0000284f,
00000000,
00000001,
00000004,
@@ -4820,7 +4821,7 @@ ffffff00,
00000000,
00000000,
00000000,
-00004f34,
+00004f38,
00000000,
00000000,
0050c285,
@@ -4841,12 +4842,12 @@ c0a82802,
00000000,
00000000,
00006000,
-00004b24,
-00004bac,
+00004b28,
+00004bb0,
00000000,
-00004e14,
-00004e70,
-00004ecc,
+00004e18,
+00004e74,
+00004ed0,
00000000,
00000000,
00000000,
@@ -4856,7 +4857,7 @@ c0a82802,
00000000,
00000000,
00000000,
-00004b30,
+00004b34,
00000000,
00000000,
00000000,
@@ -8190,5 +8191,4 @@ ffffffff,
00000000,
00000000,
00000000,
-00000000,
00000000; \ No newline at end of file
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_icon.gise b/fpga/usrp3/top/x300/coregen/chipscope_icon.gise
index a4f878755..29d14a154 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_icon.gise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_icon.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_icon.xise b/fpga/usrp3/top/x300/coregen/chipscope_icon.xise
index 45b6ff0c5..82f131ab5 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_icon.xise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_icon.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_icon.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.gise b/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.gise
index 36f5c446e..e17e6e891 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.gise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.xise b/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.xise
index 84e1a9201..c1b1aa977 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.xise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_icon_2port.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_icon_2port.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_ila.gise b/fpga/usrp3/top/x300/coregen/chipscope_ila.gise
index bf58d19ac..ee7bbd2ac 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_ila.gise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_ila.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_ila.xise b/fpga/usrp3/top/x300/coregen/chipscope_ila.xise
index 9c38762e8..c77f79bd9 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_ila.xise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_ila.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_ila.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_ila_64.gise b/fpga/usrp3/top/x300/coregen/chipscope_ila_64.gise
index 53cc8f886..c0b0e6a23 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_ila_64.gise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_ila_64.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/chipscope_ila_64.xise b/fpga/usrp3/top/x300/coregen/chipscope_ila_64.xise
index 3a41b0d74..bc394c976 100644
--- a/fpga/usrp3/top/x300/coregen/chipscope_ila_64.xise
+++ b/fpga/usrp3/top/x300/coregen/chipscope_ila_64.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="chipscope_ila_64.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen/coregen.cgp b/fpga/usrp3/top/x300/coregen/coregen.cgp
index 3e343ea49..287f67be4 100644
--- a/fpga/usrp3/top/x300/coregen/coregen.cgp
+++ b/fpga/usrp3/top/x300/coregen/coregen.cgp
@@ -1,6 +1,6 @@
SET busformat = BusFormatAngleBracketNotRipped
SET designentry = Verilog
-SET device = xc7k325t
+SET device = xc7k410t
SET devicefamily = kintex7
SET flowvendor = Foundation_ISE
SET package = ffg900
diff --git a/fpga/usrp3/top/x300/coregen/ddr3_32bit.gise b/fpga/usrp3/top/x300/coregen/ddr3_32bit.gise
index ad3ed0e03..53c91b2d8 100644
--- a/fpga/usrp3/top/x300/coregen/ddr3_32bit.gise
+++ b/fpga/usrp3/top/x300/coregen/ddr3_32bit.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/ddr3_32bit.xise b/fpga/usrp3/top/x300/coregen/ddr3_32bit.xise
index be231b442..d8694a9ea 100644
--- a/fpga/usrp3/top/x300/coregen/ddr3_32bit.xise
+++ b/fpga/usrp3/top/x300/coregen/ddr3_32bit.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="ddr3_32bit/user_design/rtl/axi/mig_7series_v1_8_axi_ctrl_addr_decode.v" xil_pn:type="FILE_VERILOG">
diff --git a/fpga/usrp3/top/x300/coregen/fifo_short_2clk.gise b/fpga/usrp3/top/x300/coregen/fifo_short_2clk.gise
index ea47d0f4b..ddb581fc1 100644
--- a/fpga/usrp3/top/x300/coregen/fifo_short_2clk.gise
+++ b/fpga/usrp3/top/x300/coregen/fifo_short_2clk.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/fifo_short_2clk.xise b/fpga/usrp3/top/x300/coregen/fifo_short_2clk.xise
index 0fa5fd50e..a23da93b5 100644
--- a/fpga/usrp3/top/x300/coregen/fifo_short_2clk.xise
+++ b/fpga/usrp3/top/x300/coregen/fifo_short_2clk.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="fifo_short_2clk.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.gise b/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.gise
index a66f268df..64c479aaf 100644
--- a/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.gise
+++ b/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.xise b/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.xise
index 4c5ef82d1..9d088377d 100644
--- a/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.xise
+++ b/fpga/usrp3/top/x300/coregen/fifo_xlnx_16x40_2clk.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="fifo_xlnx_16x40_2clk.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp b/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp
index 75b625f9b..593e2f179 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp
+++ b/fpga/usrp3/top/x300/coregen_dsp/coregen.cgp
@@ -1,6 +1,6 @@
SET busformat = BusFormatAngleBracketNotRipped
SET designentry = Verilog
-SET device = xc7k325t
+SET device = xc7k410t
SET devicefamily = kintex7
SET flowvendor = Other
SET package = ffg900
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.gise b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.gise
index 89731aaf8..5caeab612 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xise b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xise
index 0d52b5d47..61795798c 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec1.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbdec1.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.gise b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.gise
index 3a0d858e5..e87ebf933 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xise b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xise
index ea71dfd55..af99fb5fb 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec2.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbdec2.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.gise b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.gise
index 97abfa58f..d23808b1b 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xise b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xise
index d38b61410..1af65c49d 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbdec3.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbdec3.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint1.gise b/fpga/usrp3/top/x300/coregen_dsp/hbint1.gise
index fb4e6f8ff..c6c4013b9 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint1.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint1.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint1.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbint1.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint1.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint1.xise b/fpga/usrp3/top/x300/coregen_dsp/hbint1.xise
index a18bcca78..ddfc071f2 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint1.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint1.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbint1.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint2.gise b/fpga/usrp3/top/x300/coregen_dsp/hbint2.gise
index f1ee7b4ca..e4b9546a7 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint2.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint2.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint2.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbint2.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint2.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint2.xise b/fpga/usrp3/top/x300/coregen_dsp/hbint2.xise
index 86c139f4e..471c12463 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint2.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint2.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbint2.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint3.gise b/fpga/usrp3/top/x300/coregen_dsp/hbint3.gise
index 2ba7d5965..bad4add7b 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint3.gise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint3.gise
@@ -15,7 +15,7 @@
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint3.ncf b/fpga/usrp3/top/x300/coregen_dsp/hbint3.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint3.ncf
diff --git a/fpga/usrp3/top/x300/coregen_dsp/hbint3.xise b/fpga/usrp3/top/x300/coregen_dsp/hbint3.xise
index 764b94b96..a608666d2 100644
--- a/fpga/usrp3/top/x300/coregen_dsp/hbint3.xise
+++ b/fpga/usrp3/top/x300/coregen_dsp/hbint3.xise
@@ -9,10 +9,10 @@
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
- <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
- <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+ <version xil_pn:ise_version="14.6" xil_pn:schema_version="2"/>
<files>
<file xil_pn:name="hbint3.ngc" xil_pn:type="FILE_NGC">
diff --git a/fpga/usrp3/top/x300/floorplan_X300.ucf b/fpga/usrp3/top/x300/floorplan_X300.ucf
index e2b704d7b..5e1b278c1 100644
--- a/fpga/usrp3/top/x300/floorplan_X300.ucf
+++ b/fpga/usrp3/top/x300/floorplan_X300.ucf
@@ -1,30 +1,4 @@
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y39;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[1].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y40;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[2].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y41;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[3].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y42;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[4].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y43;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[5].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y44;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[6].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y45;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram0/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[7].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X3Y46;
-
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y39;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[1].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y40;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[2].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y41;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[3].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y42;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[4].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y43;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[5].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y44;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[6].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y45;
-INST "x300_core/bus_int/sc/sys_ram/sys_ram1/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[7].ram.r/v6_init.ram/NO_BMM_INFO.SP.SIMPLE_PRIM36.ram" LOC = RAMB36_X4Y46;
-
-INST "x300_core/bus_int/sc/axi_stream_to_wb/input_stream_bram/Mram_ram1" LOC = RAMB36_X3Y35;
-INST "x300_core/bus_int/sc/axi_stream_to_wb/input_stream_bram/Mram_ram2" LOC = RAMB36_X3Y36;
-
-INST "x300_core/bus_int/sc/axi_stream_to_wb/output_stream_bram/Mram_ram1" LOC = RAMB36_X3Y37;
-INST "x300_core/bus_int/sc/axi_stream_to_wb/output_stream_bram/Mram_ram2" LOC = RAMB36_X3Y38;
-
-INST "x300_core/bus_int/sc/zpu_top0*" AREA_GROUP = "pblock_0";
-AREA_GROUP "pblock_0" RANGE=SLICE_X80Y179:SLICE_X145Y242;
INST "x300_core/radio0/*" AREA_GROUP = "pblock_1";
AREA_GROUP "pblock_1" RANGE=SLICE_X0Y101:SLICE_X79Y248;
diff --git a/fpga/usrp3/top/x300/gen_ddrlvds.v b/fpga/usrp3/top/x300/gen_ddrlvds.v
index 396d5feda..680b10c84 100644
--- a/fpga/usrp3/top/x300/gen_ddrlvds.v
+++ b/fpga/usrp3/top/x300/gen_ddrlvds.v
@@ -31,6 +31,8 @@ module gen_ddrlvds
reg rising_edge;
wire [15:0] i_and_q_2x;
reg sync_2x;
+ reg sync_dacs_reg;
+
genvar z;
@@ -55,6 +57,7 @@ module gen_ddrlvds
begin
i_reg <= i;
q_reg <= q;
+ sync_dacs_reg <= sync_dacs;
end
always @(posedge tx_clk_2x)
@@ -67,7 +70,7 @@ module gen_ddrlvds
// To sync multiple AD9146 DAC's an extended assertion of FRAME is required,
// when sync flag set, squash one rising_edge assertion which causes a 3 word assertion of FRAME,
// also reset sync flag. "sync_dacs" comes from 1x clk and pulse lasts 2 2x clock cycles...this is accounted for.
- sync_2x <= (phase_eq_phase2x && sync_2x) ? 1'b0 /*RESET */ : (sync_dacs) ? 1'b1 /* SET */ : sync_2x /* HOLD */;
+ sync_2x <= (phase_eq_phase2x && sync_2x) ? 1'b0 /*RESET */ : (sync_dacs_reg) ? 1'b1 /* SET */ : sync_2x /* HOLD */;
rising_edge <= (phase_eq_phase2x && ~sync_2x);
phase_2x <= phase;
end
diff --git a/host/CMakeLists.txt b/host/CMakeLists.txt
index 1973f7b0a..2c574c54f 100644
--- a/host/CMakeLists.txt
+++ b/host/CMakeLists.txt
@@ -210,8 +210,8 @@ UHD_INSTALL(FILES
# Images download directory for utils/uhd_images_downloader.py
########################################################################
-SET(UHD_IMAGES_MD5SUM "fb5b36972c453e5b7954879873bbcf74")
-SET(UHD_IMAGES_DOWNLOAD_SRC "http://files.ettus.com/binaries/maint_images/archive/uhd-images_003.007.001-20-g468e7f35.zip")
+SET(UHD_IMAGES_MD5SUM "68f8d472a4c9de881d450691fa7222cf")
+SET(UHD_IMAGES_DOWNLOAD_SRC "http://files.ettus.com/binaries/maint_images/archive/uhd-images_003.007.002-rc1.zip")
########################################################################
# Register top level components
diff --git a/host/cmake/Modules/UHDVersion.cmake b/host/cmake/Modules/UHDVersion.cmake
index 00b3029ea..f8e68f9e2 100644
--- a/host/cmake/Modules/UHDVersion.cmake
+++ b/host/cmake/Modules/UHDVersion.cmake
@@ -27,7 +27,7 @@ FIND_PACKAGE(Git QUIET)
########################################################################
SET(UHD_VERSION_MAJOR 003)
SET(UHD_VERSION_MINOR 007)
-SET(UHD_VERSION_PATCH 001)
+SET(UHD_VERSION_PATCH 002)
########################################################################
# Set up trimmed version numbers for DLL resource files and packages
diff --git a/host/lib/usrp/cores/rx_dsp_core_3000.cpp b/host/lib/usrp/cores/rx_dsp_core_3000.cpp
index 584dd6a94..07399d462 100644
--- a/host/lib/usrp/cores/rx_dsp_core_3000.cpp
+++ b/host/lib/usrp/cores/rx_dsp_core_3000.cpp
@@ -177,7 +177,7 @@ public:
void update_scalar(void){
const double factor = 1.0 + std::max(ceil_log2(_scaling_adjustment), 0.0);
- const double target_scalar = (1 << 15)*_scaling_adjustment/_dsp_extra_scaling/factor;
+ const double target_scalar = (1 << (_is_b200 ? 17 : 15))*_scaling_adjustment/_dsp_extra_scaling/factor;
const boost::int32_t actual_scalar = boost::math::iround(target_scalar);
_fxpt_scalar_correction = target_scalar/actual_scalar*factor; //should be small
_iface->poke32(REG_DSP_RX_SCALE_IQ, actual_scalar);
diff --git a/host/lib/usrp/x300/x300_impl.cpp b/host/lib/usrp/x300/x300_impl.cpp
index 0c19e7b39..28d5b49ee 100644
--- a/host/lib/usrp/x300/x300_impl.cpp
+++ b/host/lib/usrp/x300/x300_impl.cpp
@@ -839,8 +839,9 @@ void x300_impl::setup_mb(const size_t mb_i, const uhd::device_addr_t &dev_addr)
try {
wait_for_ref_locked(mb.zpu_ctrl, 1.0);
} catch (uhd::exception::runtime_error &e) {
- UHD_MSG(warning) << "Clock reference failed to lock to internal source during device initialization. " <<
- "Check for the lock before operation or ignore this warning if using another clock source." << std::endl;
+ // Ignore for now - It can sometimes take longer than 1 second to lock and that is OK.
+ //UHD_MSG(warning) << "Clock reference failed to lock to internal source during device initialization. " <<
+ // "Check for the lock before operation or ignore this warning if using another clock source." << std::endl;
}
_tree->access<std::string>(mb_path / "time_source" / "value").set("internal");
UHD_MSG(status) << "References initialized to internal sources" << std::endl;