aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/e320
diff options
context:
space:
mode:
authorMartin Braun <martin.braun@ettus.com>2021-12-22 16:59:41 +0100
committerWade Fife <wade.fife@ettus.com>2022-01-10 08:46:56 -0600
commita9e313676dfbd5703b4763a7c9dc03e62746335b (patch)
tree2343f2a638eb09b191f6b99d033a6504df87a23b /fpga/usrp3/top/e320
parent57e8c028d817db1d960e47d9ab89b39465e363df (diff)
downloaduhd-a9e313676dfbd5703b4763a7c9dc03e62746335b.tar.gz
uhd-a9e313676dfbd5703b4763a7c9dc03e62746335b.tar.bz2
uhd-a9e313676dfbd5703b4763a7c9dc03e62746335b.zip
fpga: e320: Remove copy/paste from N310 code
Diffstat (limited to 'fpga/usrp3/top/e320')
-rw-r--r--fpga/usrp3/top/e320/mb_pins.xdc9
1 files changed, 0 insertions, 9 deletions
diff --git a/fpga/usrp3/top/e320/mb_pins.xdc b/fpga/usrp3/top/e320/mb_pins.xdc
index aa299133c..9fa7a5cd0 100644
--- a/fpga/usrp3/top/e320/mb_pins.xdc
+++ b/fpga/usrp3/top/e320/mb_pins.xdc
@@ -57,15 +57,6 @@ set_property IOSTANDARD LVCMOS33 [get_ports {LED_LINK1}]
set_property PACKAGE_PIN AB16 [get_ports {LED_ACT1}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED_ACT1}]
-## Used with N310 hardware
-#set_property PACKAGE_PIN U25 [get_ports PANEL_LED_GPS]
-#set_property PACKAGE_PIN T25 [get_ports PANEL_LED_LINK]
-#set_property PACKAGE_PIN W29 [get_ports PANEL_LED_PPS]
-#set_property PACKAGE_PIN V24 [get_ports PANEL_LED_REF]
-#set_property IOSTANDARD LVCMOS33 [get_ports PANEL_LED_*]
-#set_property DRIVE 4 [get_ports PANEL_LED_*]
-#set_property SLEW SLOW [get_ports PANEL_LED_*]
-
## XCVR ####################################################################
## Catalina AD9361 Connections
## -- Data Buses